]> git.ipfire.org Git - thirdparty/binutils-gdb.git/blame - gas/config/tc-sh.c
2007-04-16 Denis Pilat <denis.pilat@st.com>
[thirdparty/binutils-gdb.git] / gas / config / tc-sh.c
CommitLineData
ef230218 1/* tc-sh.c -- Assemble code for the Renesas / SuperH SH
4aa3e325 2 Copyright 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
ebd1c875 3 2003, 2004, 2005, 2006 Free Software Foundation, Inc.
252b5132
RH
4
5 This file is part of GAS, the GNU Assembler.
6
7 GAS is free software; you can redistribute it and/or modify
8 it under the terms of the GNU General Public License as published by
9 the Free Software Foundation; either version 2, or (at your option)
10 any later version.
11
12 GAS is distributed in the hope that it will be useful,
13 but WITHOUT ANY WARRANTY; without even the implied warranty of
14 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
15 GNU General Public License for more details.
16
17 You should have received a copy of the GNU General Public License
18 along with GAS; see the file COPYING. If not, write to
4b4da160
NC
19 the Free Software Foundation, 51 Franklin Street - Fifth Floor,
20 Boston, MA 02110-1301, USA. */
252b5132 21
6b31947e 22/* Written By Steve Chamberlain <sac@cygnus.com> */
252b5132 23
252b5132 24#include "as.h"
252b5132
RH
25#include "subsegs.h"
26#define DEFINE_TABLE
27#include "opcodes/sh-opc.h"
3882b010 28#include "safe-ctype.h"
43841e91 29#include "struc-symbol.h"
d4845d57
JR
30
31#ifdef OBJ_ELF
32#include "elf/sh.h"
33#endif
34
0d10e182 35#include "dwarf2dbg.h"
2ce4cc60 36#include "dw2gencfi.h"
0d10e182 37
e08ae979
HPN
38typedef struct
39 {
40 sh_arg_type type;
41 int reg;
42 expressionS immediate;
43 }
44sh_operand_info;
45
252b5132
RH
46const char comment_chars[] = "!";
47const char line_separator_chars[] = ";";
48const char line_comment_chars[] = "!#";
49
8edc77b9
KK
50static void s_uses (int);
51static void s_uacons (int);
252b5132 52
a1cc9221 53#ifdef OBJ_ELF
8edc77b9 54static void sh_elf_cons (int);
538cd60f 55
a1cc9221
AO
56symbolS *GOT_symbol; /* Pre-defined "_GLOBAL_OFFSET_TABLE_" */
57#endif
58
05982cac 59static void
8edc77b9 60big (int ignore ATTRIBUTE_UNUSED)
05982cac
HPN
61{
62 if (! target_big_endian)
63 as_bad (_("directive .big encountered when option -big required"));
64
65 /* Stop further messages. */
66 target_big_endian = 1;
67}
252b5132
RH
68
69static void
8edc77b9 70little (int ignore ATTRIBUTE_UNUSED)
252b5132 71{
05982cac
HPN
72 if (target_big_endian)
73 as_bad (_("directive .little encountered when option -little required"));
74
75 /* Stop further messages. */
252b5132
RH
76 target_big_endian = 0;
77}
78
d4845d57
JR
79/* This table describes all the machine specific pseudo-ops the assembler
80 has to support. The fields are:
81 pseudo-op name without dot
82 function to call to execute this pseudo-op
6b31947e 83 Integer arg to pass to the function. */
d4845d57 84
252b5132
RH
85const pseudo_typeS md_pseudo_table[] =
86{
a1cc9221
AO
87#ifdef OBJ_ELF
88 {"long", sh_elf_cons, 4},
89 {"int", sh_elf_cons, 4},
90 {"word", sh_elf_cons, 2},
91 {"short", sh_elf_cons, 2},
92#else
252b5132
RH
93 {"int", cons, 4},
94 {"word", cons, 2},
a1cc9221 95#endif /* OBJ_ELF */
05982cac 96 {"big", big, 0},
252b5132
RH
97 {"form", listing_psize, 0},
98 {"little", little, 0},
99 {"heading", listing_title, 0},
100 {"import", s_ignore, 0},
101 {"page", listing_eject, 0},
102 {"program", s_ignore, 0},
103 {"uses", s_uses, 0},
104 {"uaword", s_uacons, 2},
105 {"ualong", s_uacons, 4},
de68de20
AO
106 {"uaquad", s_uacons, 8},
107 {"2byte", s_uacons, 2},
108 {"4byte", s_uacons, 4},
109 {"8byte", s_uacons, 8},
324bfcf3
AO
110#ifdef HAVE_SH64
111 {"mode", s_sh64_mode, 0 },
112
113 /* Have the old name too. */
114 {"isa", s_sh64_mode, 0 },
115
116 /* Assert that the right ABI is used. */
117 {"abi", s_sh64_abi, 0 },
118
119 { "vtable_inherit", sh64_vtable_inherit, 0 },
120 { "vtable_entry", sh64_vtable_entry, 0 },
121#endif /* HAVE_SH64 */
252b5132
RH
122 {0, 0, 0}
123};
124
252b5132
RH
125int sh_relax; /* set if -relax seen */
126
127/* Whether -small was seen. */
128
129int sh_small;
130
f55629b8
KK
131/* Flag to generate relocations against symbol values for local symbols. */
132
133static int dont_adjust_reloc_32;
134
37dedf66
NC
135/* Flag to indicate that '$' is allowed as a register prefix. */
136
137static int allow_dollar_register_prefix;
138
139/* Preset architecture set, if given; zero otherwise. */
d4845d57 140
f6f9408f 141static unsigned int preset_target_arch;
d4845d57
JR
142
143/* The bit mask of architectures that could
67c1ffbe 144 accommodate the insns seen so far. */
f6f9408f 145static unsigned int valid_arch;
d4845d57 146
252b5132
RH
147const char EXP_CHARS[] = "eE";
148
6b31947e 149/* Chars that mean this number is a floating point constant. */
252b5132
RH
150/* As in 0f12.456 */
151/* or 0d1.2345e12 */
152const char FLT_CHARS[] = "rRsSfFdDxXpP";
153
154#define C(a,b) ENCODE_RELAX(a,b)
155
252b5132
RH
156#define ENCODE_RELAX(what,length) (((what) << 4) + (length))
157#define GET_WHAT(x) ((x>>4))
158
67c1ffbe 159/* These are the three types of relaxable instruction. */
324bfcf3
AO
160/* These are the types of relaxable instructions; except for END which is
161 a marker. */
252b5132
RH
162#define COND_JUMP 1
163#define COND_JUMP_DELAY 2
164#define UNCOND_JUMP 3
324bfcf3
AO
165
166#ifdef HAVE_SH64
167
168/* A 16-bit (times four) pc-relative operand, at most expanded to 32 bits. */
169#define SH64PCREL16_32 4
170/* A 16-bit (times four) pc-relative operand, at most expanded to 64 bits. */
171#define SH64PCREL16_64 5
172
173/* Variants of the above for adjusting the insn to PTA or PTB according to
174 the label. */
175#define SH64PCREL16PT_32 6
176#define SH64PCREL16PT_64 7
177
178/* A MOVI expansion, expanding to at most 32 or 64 bits. */
179#define MOVI_IMM_32 8
180#define MOVI_IMM_32_PCREL 9
181#define MOVI_IMM_64 10
182#define MOVI_IMM_64_PCREL 11
183#define END 12
184
185#else /* HAVE_SH64 */
186
252b5132
RH
187#define END 4
188
324bfcf3
AO
189#endif /* HAVE_SH64 */
190
252b5132
RH
191#define UNDEF_DISP 0
192#define COND8 1
193#define COND12 2
194#define COND32 3
252b5132
RH
195#define UNDEF_WORD_DISP 4
196
197#define UNCOND12 1
198#define UNCOND32 2
199
324bfcf3
AO
200#ifdef HAVE_SH64
201#define UNDEF_SH64PCREL 0
202#define SH64PCREL16 1
203#define SH64PCREL32 2
204#define SH64PCREL48 3
205#define SH64PCREL64 4
206#define SH64PCRELPLT 5
207
208#define UNDEF_MOVI 0
209#define MOVI_16 1
210#define MOVI_32 2
211#define MOVI_48 3
212#define MOVI_64 4
213#define MOVI_PLT 5
214#define MOVI_GOTOFF 6
215#define MOVI_GOTPC 7
216#endif /* HAVE_SH64 */
217
252b5132
RH
218/* Branch displacements are from the address of the branch plus
219 four, thus all minimum and maximum values have 4 added to them. */
220#define COND8_F 258
221#define COND8_M -252
222#define COND8_LENGTH 2
223
224/* There is one extra instruction before the branch, so we must add
225 two more bytes to account for it. */
226#define COND12_F 4100
227#define COND12_M -4090
228#define COND12_LENGTH 6
229
230#define COND12_DELAY_LENGTH 4
231
232/* ??? The minimum and maximum values are wrong, but this does not matter
233 since this relocation type is not supported yet. */
234#define COND32_F (1<<30)
235#define COND32_M -(1<<30)
236#define COND32_LENGTH 14
237
238#define UNCOND12_F 4098
239#define UNCOND12_M -4092
240#define UNCOND12_LENGTH 2
241
242/* ??? The minimum and maximum values are wrong, but this does not matter
243 since this relocation type is not supported yet. */
244#define UNCOND32_F (1<<30)
245#define UNCOND32_M -(1<<30)
246#define UNCOND32_LENGTH 14
247
324bfcf3
AO
248#ifdef HAVE_SH64
249/* The trivial expansion of a SH64PCREL16 relaxation is just a "PT label,
250 TRd" as is the current insn, so no extra length. Note that the "reach"
251 is calculated from the address *after* that insn, but the offset in the
252 insn is calculated from the beginning of the insn. We also need to
253 take into account the implicit 1 coded as the "A" in PTA when counting
254 forward. If PTB reaches an odd address, we trap that as an error
255 elsewhere, so we don't have to have different relaxation entries. We
256 don't add a one to the negative range, since PTB would then have the
257 farthest backward-reaching value skipped, not generated at relaxation. */
258#define SH64PCREL16_F (32767 * 4 - 4 + 1)
259#define SH64PCREL16_M (-32768 * 4 - 4)
260#define SH64PCREL16_LENGTH 0
261
262/* The next step is to change that PT insn into
263 MOVI ((label - datalabel Ln) >> 16) & 65535, R25
264 SHORI (label - datalabel Ln) & 65535, R25
265 Ln:
266 PTREL R25,TRd
267 which means two extra insns, 8 extra bytes. This is the limit for the
268 32-bit ABI.
269
270 The expressions look a bit bad since we have to adjust this to avoid overflow on a
271 32-bit host. */
272#define SH64PCREL32_F ((((long) 1 << 30) - 1) * 2 + 1 - 4)
273#define SH64PCREL32_LENGTH (2 * 4)
274
275/* Similarly, we just change the MOVI and add a SHORI for the 48-bit
276 expansion. */
277#if BFD_HOST_64BIT_LONG
278/* The "reach" type is long, so we can only do this for a 64-bit-long
279 host. */
280#define SH64PCREL32_M (((long) -1 << 30) * 2 - 4)
281#define SH64PCREL48_F ((((long) 1 << 47) - 1) - 4)
282#define SH64PCREL48_M (((long) -1 << 47) - 4)
283#define SH64PCREL48_LENGTH (3 * 4)
284#else
285/* If the host does not have 64-bit longs, just make this state identical
286 in reach to the 32-bit state. Note that we have a slightly incorrect
287 reach, but the correct one above will overflow a 32-bit number. */
288#define SH64PCREL32_M (((long) -1 << 30) * 2)
289#define SH64PCREL48_F SH64PCREL32_F
290#define SH64PCREL48_M SH64PCREL32_M
291#define SH64PCREL48_LENGTH (3 * 4)
292#endif /* BFD_HOST_64BIT_LONG */
293
294/* And similarly for the 64-bit expansion; a MOVI + SHORI + SHORI + SHORI
295 + PTREL sequence. */
296#define SH64PCREL64_LENGTH (4 * 4)
297
298/* For MOVI, we make the MOVI + SHORI... expansion you can see in the
299 SH64PCREL expansions. The PCREL one is similar, but the other has no
300 pc-relative reach; it must be fully expanded in
301 shmedia_md_estimate_size_before_relax. */
302#define MOVI_16_LENGTH 0
303#define MOVI_16_F (32767 - 4)
304#define MOVI_16_M (-32768 - 4)
305#define MOVI_32_LENGTH 4
306#define MOVI_32_F ((((long) 1 << 30) - 1) * 2 + 1 - 4)
307#define MOVI_48_LENGTH 8
308
309#if BFD_HOST_64BIT_LONG
310/* The "reach" type is long, so we can only do this for a 64-bit-long
311 host. */
312#define MOVI_32_M (((long) -1 << 30) * 2 - 4)
313#define MOVI_48_F ((((long) 1 << 47) - 1) - 4)
314#define MOVI_48_M (((long) -1 << 47) - 4)
315#else
316/* If the host does not have 64-bit longs, just make this state identical
317 in reach to the 32-bit state. Note that we have a slightly incorrect
318 reach, but the correct one above will overflow a 32-bit number. */
319#define MOVI_32_M (((long) -1 << 30) * 2)
320#define MOVI_48_F MOVI_32_F
321#define MOVI_48_M MOVI_32_M
322#endif /* BFD_HOST_64BIT_LONG */
323
324#define MOVI_64_LENGTH 12
325#endif /* HAVE_SH64 */
326
43841e91
NC
327#define EMPTY { 0, 0, 0, 0 }
328
252b5132 329const relax_typeS md_relax_table[C (END, 0)] = {
43841e91
NC
330 EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
331 EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
252b5132 332
43841e91 333 EMPTY,
252b5132
RH
334 /* C (COND_JUMP, COND8) */
335 { COND8_F, COND8_M, COND8_LENGTH, C (COND_JUMP, COND12) },
336 /* C (COND_JUMP, COND12) */
337 { COND12_F, COND12_M, COND12_LENGTH, C (COND_JUMP, COND32), },
338 /* C (COND_JUMP, COND32) */
339 { COND32_F, COND32_M, COND32_LENGTH, 0, },
e66457fb
AM
340 /* C (COND_JUMP, UNDEF_WORD_DISP) */
341 { 0, 0, COND32_LENGTH, 0, },
342 EMPTY, EMPTY, EMPTY,
43841e91 343 EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
252b5132 344
43841e91 345 EMPTY,
252b5132
RH
346 /* C (COND_JUMP_DELAY, COND8) */
347 { COND8_F, COND8_M, COND8_LENGTH, C (COND_JUMP_DELAY, COND12) },
348 /* C (COND_JUMP_DELAY, COND12) */
349 { COND12_F, COND12_M, COND12_DELAY_LENGTH, C (COND_JUMP_DELAY, COND32), },
350 /* C (COND_JUMP_DELAY, COND32) */
351 { COND32_F, COND32_M, COND32_LENGTH, 0, },
e66457fb
AM
352 /* C (COND_JUMP_DELAY, UNDEF_WORD_DISP) */
353 { 0, 0, COND32_LENGTH, 0, },
354 EMPTY, EMPTY, EMPTY,
43841e91 355 EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
252b5132 356
43841e91 357 EMPTY,
252b5132
RH
358 /* C (UNCOND_JUMP, UNCOND12) */
359 { UNCOND12_F, UNCOND12_M, UNCOND12_LENGTH, C (UNCOND_JUMP, UNCOND32), },
360 /* C (UNCOND_JUMP, UNCOND32) */
361 { UNCOND32_F, UNCOND32_M, UNCOND32_LENGTH, 0, },
e66457fb
AM
362 EMPTY,
363 /* C (UNCOND_JUMP, UNDEF_WORD_DISP) */
364 { 0, 0, UNCOND32_LENGTH, 0, },
365 EMPTY, EMPTY, EMPTY,
43841e91 366 EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
324bfcf3
AO
367
368#ifdef HAVE_SH64
369 /* C (SH64PCREL16_32, SH64PCREL16) */
370 EMPTY,
371 { SH64PCREL16_F, SH64PCREL16_M, SH64PCREL16_LENGTH, C (SH64PCREL16_32, SH64PCREL32) },
372 /* C (SH64PCREL16_32, SH64PCREL32) */
373 { 0, 0, SH64PCREL32_LENGTH, 0 },
374 EMPTY, EMPTY,
375 /* C (SH64PCREL16_32, SH64PCRELPLT) */
376 { 0, 0, SH64PCREL32_LENGTH, 0 },
377 EMPTY, EMPTY,
378 EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
379
380 /* C (SH64PCREL16_64, SH64PCREL16) */
381 EMPTY,
382 { SH64PCREL16_F, SH64PCREL16_M, SH64PCREL16_LENGTH, C (SH64PCREL16_64, SH64PCREL32) },
383 /* C (SH64PCREL16_64, SH64PCREL32) */
384 { SH64PCREL32_F, SH64PCREL32_M, SH64PCREL32_LENGTH, C (SH64PCREL16_64, SH64PCREL48) },
385 /* C (SH64PCREL16_64, SH64PCREL48) */
386 { SH64PCREL48_F, SH64PCREL48_M, SH64PCREL48_LENGTH, C (SH64PCREL16_64, SH64PCREL64) },
387 /* C (SH64PCREL16_64, SH64PCREL64) */
388 { 0, 0, SH64PCREL64_LENGTH, 0 },
389 /* C (SH64PCREL16_64, SH64PCRELPLT) */
390 { 0, 0, SH64PCREL64_LENGTH, 0 },
391 EMPTY, EMPTY,
392 EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
393
394 /* C (SH64PCREL16PT_32, SH64PCREL16) */
395 EMPTY,
396 { SH64PCREL16_F, SH64PCREL16_M, SH64PCREL16_LENGTH, C (SH64PCREL16PT_32, SH64PCREL32) },
397 /* C (SH64PCREL16PT_32, SH64PCREL32) */
398 { 0, 0, SH64PCREL32_LENGTH, 0 },
399 EMPTY, EMPTY,
400 /* C (SH64PCREL16PT_32, SH64PCRELPLT) */
401 { 0, 0, SH64PCREL32_LENGTH, 0 },
402 EMPTY, EMPTY,
403 EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
404
405 /* C (SH64PCREL16PT_64, SH64PCREL16) */
406 EMPTY,
407 { SH64PCREL16_F, SH64PCREL16_M, SH64PCREL16_LENGTH, C (SH64PCREL16PT_64, SH64PCREL32) },
408 /* C (SH64PCREL16PT_64, SH64PCREL32) */
409 { SH64PCREL32_F,
5d6255fe 410 SH64PCREL32_M,
324bfcf3
AO
411 SH64PCREL32_LENGTH,
412 C (SH64PCREL16PT_64, SH64PCREL48) },
413 /* C (SH64PCREL16PT_64, SH64PCREL48) */
414 { SH64PCREL48_F, SH64PCREL48_M, SH64PCREL48_LENGTH, C (SH64PCREL16PT_64, SH64PCREL64) },
415 /* C (SH64PCREL16PT_64, SH64PCREL64) */
416 { 0, 0, SH64PCREL64_LENGTH, 0 },
417 /* C (SH64PCREL16PT_64, SH64PCRELPLT) */
418 { 0, 0, SH64PCREL64_LENGTH, 0},
419 EMPTY, EMPTY,
420 EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
421
422 /* C (MOVI_IMM_32, UNDEF_MOVI) */
423 { 0, 0, MOVI_32_LENGTH, 0 },
424 /* C (MOVI_IMM_32, MOVI_16) */
425 { MOVI_16_F, MOVI_16_M, MOVI_16_LENGTH, C (MOVI_IMM_32, MOVI_32) },
426 /* C (MOVI_IMM_32, MOVI_32) */
427 { MOVI_32_F, MOVI_32_M, MOVI_32_LENGTH, 0 },
428 EMPTY, EMPTY, EMPTY,
429 /* C (MOVI_IMM_32, MOVI_GOTOFF) */
430 { 0, 0, MOVI_32_LENGTH, 0 },
431 EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
432
433 /* C (MOVI_IMM_32_PCREL, MOVI_16) */
434 EMPTY,
435 { MOVI_16_F, MOVI_16_M, MOVI_16_LENGTH, C (MOVI_IMM_32_PCREL, MOVI_32) },
436 /* C (MOVI_IMM_32_PCREL, MOVI_32) */
437 { 0, 0, MOVI_32_LENGTH, 0 },
438 EMPTY, EMPTY,
439 /* C (MOVI_IMM_32_PCREL, MOVI_PLT) */
440 { 0, 0, MOVI_32_LENGTH, 0 },
441 EMPTY,
442 /* C (MOVI_IMM_32_PCREL, MOVI_GOTPC) */
443 { 0, 0, MOVI_32_LENGTH, 0 },
444 EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
445
446 /* C (MOVI_IMM_64, UNDEF_MOVI) */
447 { 0, 0, MOVI_64_LENGTH, 0 },
448 /* C (MOVI_IMM_64, MOVI_16) */
449 { MOVI_16_F, MOVI_16_M, MOVI_16_LENGTH, C (MOVI_IMM_64, MOVI_32) },
450 /* C (MOVI_IMM_64, MOVI_32) */
451 { MOVI_32_F, MOVI_32_M, MOVI_32_LENGTH, C (MOVI_IMM_64, MOVI_48) },
452 /* C (MOVI_IMM_64, MOVI_48) */
453 { MOVI_48_F, MOVI_48_M, MOVI_48_LENGTH, C (MOVI_IMM_64, MOVI_64) },
454 /* C (MOVI_IMM_64, MOVI_64) */
455 { 0, 0, MOVI_64_LENGTH, 0 },
456 EMPTY,
457 /* C (MOVI_IMM_64, MOVI_GOTOFF) */
458 { 0, 0, MOVI_64_LENGTH, 0 },
459 EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
460
461 /* C (MOVI_IMM_64_PCREL, MOVI_16) */
462 EMPTY,
463 { MOVI_16_F, MOVI_16_M, MOVI_16_LENGTH, C (MOVI_IMM_64_PCREL, MOVI_32) },
464 /* C (MOVI_IMM_64_PCREL, MOVI_32) */
465 { MOVI_32_F, MOVI_32_M, MOVI_32_LENGTH, C (MOVI_IMM_64_PCREL, MOVI_48) },
466 /* C (MOVI_IMM_64_PCREL, MOVI_48) */
467 { MOVI_48_F, MOVI_48_M, MOVI_48_LENGTH, C (MOVI_IMM_64_PCREL, MOVI_64) },
468 /* C (MOVI_IMM_64_PCREL, MOVI_64) */
469 { 0, 0, MOVI_64_LENGTH, 0 },
470 /* C (MOVI_IMM_64_PCREL, MOVI_PLT) */
471 { 0, 0, MOVI_64_LENGTH, 0 },
472 EMPTY,
473 /* C (MOVI_IMM_64_PCREL, MOVI_GOTPC) */
474 { 0, 0, MOVI_64_LENGTH, 0 },
475 EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY, EMPTY,
476
477#endif /* HAVE_SH64 */
478
252b5132
RH
479};
480
43841e91
NC
481#undef EMPTY
482
252b5132
RH
483static struct hash_control *opcode_hash_control; /* Opcode mnemonics */
484
a1cc9221
AO
485\f
486#ifdef OBJ_ELF
538cd60f
AO
487/* Determinet whether the symbol needs any kind of PIC relocation. */
488
489inline static int
8edc77b9 490sh_PIC_related_p (symbolS *sym)
a1cc9221 491{
538cd60f 492 expressionS *exp;
a1cc9221 493
538cd60f
AO
494 if (! sym)
495 return 0;
496
497 if (sym == GOT_symbol)
498 return 1;
499
324bfcf3
AO
500#ifdef HAVE_SH64
501 if (sh_PIC_related_p (*symbol_get_tc (sym)))
502 return 1;
503#endif
504
538cd60f
AO
505 exp = symbol_get_value_expression (sym);
506
507 return (exp->X_op == O_PIC_reloc
508 || sh_PIC_related_p (exp->X_add_symbol)
509 || sh_PIC_related_p (exp->X_op_symbol));
510}
511
512/* Determine the relocation type to be used to represent the
513 expression, that may be rearranged. */
514
515static int
8edc77b9 516sh_check_fixup (expressionS *main_exp, bfd_reloc_code_real_type *r_type_p)
538cd60f
AO
517{
518 expressionS *exp = main_exp;
519
520 /* This is here for backward-compatibility only. GCC used to generated:
521
522 f@PLT + . - (.LPCS# + 2)
523
524 but we'd rather be able to handle this as a PIC-related reference
525 plus/minus a symbol. However, gas' parser gives us:
526
527 O_subtract (O_add (f@PLT, .), .LPCS#+2)
5d6255fe 528
538cd60f
AO
529 so we attempt to transform this into:
530
531 O_subtract (f@PLT, O_subtract (.LPCS#+2, .))
532
5d6255fe 533 which we can handle simply below. */
538cd60f
AO
534 if (exp->X_op == O_subtract)
535 {
536 if (sh_PIC_related_p (exp->X_op_symbol))
537 return 1;
538
539 exp = symbol_get_value_expression (exp->X_add_symbol);
540
541 if (exp && sh_PIC_related_p (exp->X_op_symbol))
542 return 1;
543
544 if (exp && exp->X_op == O_add
545 && sh_PIC_related_p (exp->X_add_symbol))
546 {
547 symbolS *sym = exp->X_add_symbol;
548
549 exp->X_op = O_subtract;
550 exp->X_add_symbol = main_exp->X_op_symbol;
551
552 main_exp->X_op_symbol = main_exp->X_add_symbol;
553 main_exp->X_add_symbol = sym;
554
555 main_exp->X_add_number += exp->X_add_number;
556 exp->X_add_number = 0;
557 }
558
559 exp = main_exp;
560 }
561 else if (exp->X_op == O_add && sh_PIC_related_p (exp->X_op_symbol))
562 return 1;
563
564 if (exp->X_op == O_symbol || exp->X_op == O_add || exp->X_op == O_subtract)
565 {
324bfcf3
AO
566#ifdef HAVE_SH64
567 if (exp->X_add_symbol
568 && (exp->X_add_symbol == GOT_symbol
569 || (GOT_symbol
570 && *symbol_get_tc (exp->X_add_symbol) == GOT_symbol)))
571 {
572 switch (*r_type_p)
573 {
574 case BFD_RELOC_SH_IMM_LOW16:
575 *r_type_p = BFD_RELOC_SH_GOTPC_LOW16;
576 break;
577
578 case BFD_RELOC_SH_IMM_MEDLOW16:
579 *r_type_p = BFD_RELOC_SH_GOTPC_MEDLOW16;
580 break;
581
582 case BFD_RELOC_SH_IMM_MEDHI16:
583 *r_type_p = BFD_RELOC_SH_GOTPC_MEDHI16;
584 break;
585
586 case BFD_RELOC_SH_IMM_HI16:
587 *r_type_p = BFD_RELOC_SH_GOTPC_HI16;
588 break;
589
590 case BFD_RELOC_NONE:
591 case BFD_RELOC_UNUSED:
592 *r_type_p = BFD_RELOC_SH_GOTPC;
593 break;
5d6255fe 594
324bfcf3
AO
595 default:
596 abort ();
597 }
598 return 0;
599 }
600#else
538cd60f
AO
601 if (exp->X_add_symbol && exp->X_add_symbol == GOT_symbol)
602 {
603 *r_type_p = BFD_RELOC_SH_GOTPC;
604 return 0;
605 }
324bfcf3 606#endif
538cd60f
AO
607 exp = symbol_get_value_expression (exp->X_add_symbol);
608 if (! exp)
609 return 0;
610 }
611
612 if (exp->X_op == O_PIC_reloc)
613 {
324bfcf3
AO
614#ifdef HAVE_SH64
615 switch (*r_type_p)
616 {
617 case BFD_RELOC_NONE:
618 case BFD_RELOC_UNUSED:
619 *r_type_p = exp->X_md;
620 break;
621
622 case BFD_RELOC_SH_IMM_LOW16:
623 switch (exp->X_md)
624 {
625 case BFD_RELOC_32_GOTOFF:
626 *r_type_p = BFD_RELOC_SH_GOTOFF_LOW16;
627 break;
5d6255fe 628
324bfcf3
AO
629 case BFD_RELOC_SH_GOTPLT32:
630 *r_type_p = BFD_RELOC_SH_GOTPLT_LOW16;
631 break;
5d6255fe 632
324bfcf3
AO
633 case BFD_RELOC_32_GOT_PCREL:
634 *r_type_p = BFD_RELOC_SH_GOT_LOW16;
635 break;
5d6255fe 636
324bfcf3
AO
637 case BFD_RELOC_32_PLT_PCREL:
638 *r_type_p = BFD_RELOC_SH_PLT_LOW16;
639 break;
640
641 default:
642 abort ();
643 }
644 break;
645
646 case BFD_RELOC_SH_IMM_MEDLOW16:
647 switch (exp->X_md)
648 {
649 case BFD_RELOC_32_GOTOFF:
650 *r_type_p = BFD_RELOC_SH_GOTOFF_MEDLOW16;
651 break;
5d6255fe 652
324bfcf3
AO
653 case BFD_RELOC_SH_GOTPLT32:
654 *r_type_p = BFD_RELOC_SH_GOTPLT_MEDLOW16;
655 break;
5d6255fe 656
324bfcf3
AO
657 case BFD_RELOC_32_GOT_PCREL:
658 *r_type_p = BFD_RELOC_SH_GOT_MEDLOW16;
659 break;
5d6255fe 660
324bfcf3
AO
661 case BFD_RELOC_32_PLT_PCREL:
662 *r_type_p = BFD_RELOC_SH_PLT_MEDLOW16;
663 break;
664
665 default:
666 abort ();
667 }
668 break;
669
670 case BFD_RELOC_SH_IMM_MEDHI16:
671 switch (exp->X_md)
672 {
673 case BFD_RELOC_32_GOTOFF:
674 *r_type_p = BFD_RELOC_SH_GOTOFF_MEDHI16;
675 break;
5d6255fe 676
324bfcf3
AO
677 case BFD_RELOC_SH_GOTPLT32:
678 *r_type_p = BFD_RELOC_SH_GOTPLT_MEDHI16;
679 break;
5d6255fe 680
324bfcf3
AO
681 case BFD_RELOC_32_GOT_PCREL:
682 *r_type_p = BFD_RELOC_SH_GOT_MEDHI16;
683 break;
5d6255fe 684
324bfcf3
AO
685 case BFD_RELOC_32_PLT_PCREL:
686 *r_type_p = BFD_RELOC_SH_PLT_MEDHI16;
687 break;
688
689 default:
690 abort ();
691 }
692 break;
693
694 case BFD_RELOC_SH_IMM_HI16:
695 switch (exp->X_md)
696 {
697 case BFD_RELOC_32_GOTOFF:
698 *r_type_p = BFD_RELOC_SH_GOTOFF_HI16;
699 break;
5d6255fe 700
324bfcf3
AO
701 case BFD_RELOC_SH_GOTPLT32:
702 *r_type_p = BFD_RELOC_SH_GOTPLT_HI16;
703 break;
5d6255fe 704
324bfcf3
AO
705 case BFD_RELOC_32_GOT_PCREL:
706 *r_type_p = BFD_RELOC_SH_GOT_HI16;
707 break;
5d6255fe 708
324bfcf3
AO
709 case BFD_RELOC_32_PLT_PCREL:
710 *r_type_p = BFD_RELOC_SH_PLT_HI16;
711 break;
712
713 default:
714 abort ();
715 }
716 break;
717
718 default:
719 abort ();
720 }
721#else
538cd60f 722 *r_type_p = exp->X_md;
324bfcf3 723#endif
538cd60f
AO
724 if (exp == main_exp)
725 exp->X_op = O_symbol;
726 else
727 {
728 main_exp->X_add_symbol = exp->X_add_symbol;
729 main_exp->X_add_number += exp->X_add_number;
730 }
731 }
732 else
733 return (sh_PIC_related_p (exp->X_add_symbol)
734 || sh_PIC_related_p (exp->X_op_symbol));
735
736 return 0;
737}
738
739/* Add expression EXP of SIZE bytes to offset OFF of fragment FRAG. */
740
741void
8edc77b9 742sh_cons_fix_new (fragS *frag, int off, int size, expressionS *exp)
538cd60f
AO
743{
744 bfd_reloc_code_real_type r_type = BFD_RELOC_UNUSED;
745
746 if (sh_check_fixup (exp, &r_type))
747 as_bad (_("Invalid PIC expression."));
748
749 if (r_type == BFD_RELOC_UNUSED)
750 switch (size)
a1cc9221 751 {
538cd60f
AO
752 case 1:
753 r_type = BFD_RELOC_8;
754 break;
a1cc9221 755
538cd60f
AO
756 case 2:
757 r_type = BFD_RELOC_16;
758 break;
759
760 case 4:
761 r_type = BFD_RELOC_32;
762 break;
a1cc9221 763
324bfcf3
AO
764#ifdef HAVE_SH64
765 case 8:
766 r_type = BFD_RELOC_64;
767 break;
768#endif
769
538cd60f
AO
770 default:
771 goto error;
772 }
773 else if (size != 4)
774 {
775 error:
776 as_bad (_("unsupported BFD relocation size %u"), size);
777 r_type = BFD_RELOC_UNUSED;
778 }
5d6255fe 779
538cd60f 780 fix_new_exp (frag, off, size, exp, 0, r_type);
a1cc9221
AO
781}
782
783/* The regular cons() function, that reads constants, doesn't support
784 suffixes such as @GOT, @GOTOFF and @PLT, that generate
785 machine-specific relocation types. So we must define it here. */
786/* Clobbers input_line_pointer, checks end-of-line. */
8edc77b9 787/* NBYTES 1=.byte, 2=.word, 4=.long */
a1cc9221 788static void
8edc77b9 789sh_elf_cons (register int nbytes)
a1cc9221 790{
538cd60f 791 expressionS exp;
a1cc9221 792
324bfcf3
AO
793#ifdef HAVE_SH64
794
795 /* Update existing range to include a previous insn, if there was one. */
b34976b6 796 sh64_update_contents_mark (TRUE);
324bfcf3
AO
797
798 /* We need to make sure the contents type is set to data. */
799 sh64_flag_output ();
800
801#endif /* HAVE_SH64 */
802
a1cc9221
AO
803 if (is_it_end_of_statement ())
804 {
805 demand_empty_rest_of_line ();
806 return;
807 }
808
028f09bd
NC
809#ifdef md_cons_align
810 md_cons_align (nbytes);
811#endif
812
a1cc9221
AO
813 do
814 {
815 expression (&exp);
538cd60f 816 emit_expr (&exp, (unsigned int) nbytes);
a1cc9221
AO
817 }
818 while (*input_line_pointer++ == ',');
819
81d4177b 820 input_line_pointer--; /* Put terminator back into stream. */
a1cc9221
AO
821 if (*input_line_pointer == '#' || *input_line_pointer == '!')
822 {
dda5ecfc 823 while (! is_end_of_line[(unsigned char) *input_line_pointer++]);
a1cc9221
AO
824 }
825 else
826 demand_empty_rest_of_line ();
827}
0cc34095
KK
828
829/* The regular frag_offset_fixed_p doesn't work for rs_align_test
830 frags. */
831
832static bfd_boolean
833align_test_frag_offset_fixed_p (const fragS *frag1, const fragS *frag2,
834 bfd_vma *offset)
835{
836 const fragS *frag;
837 bfd_vma off;
838
839 /* Start with offset initialised to difference between the two frags.
840 Prior to assigning frag addresses this will be zero. */
841 off = frag1->fr_address - frag2->fr_address;
842 if (frag1 == frag2)
843 {
844 *offset = off;
845 return TRUE;
846 }
847
848 /* Maybe frag2 is after frag1. */
849 frag = frag1;
0838d2ac
KK
850 while (frag->fr_type == rs_fill
851 || frag->fr_type == rs_align_test)
0cc34095 852 {
0838d2ac
KK
853 if (frag->fr_type == rs_fill)
854 off += frag->fr_fix + frag->fr_offset * frag->fr_var;
855 else
856 off += frag->fr_fix;
0cc34095
KK
857 frag = frag->fr_next;
858 if (frag == NULL)
859 break;
860 if (frag == frag2)
861 {
862 *offset = off;
863 return TRUE;
864 }
865 }
866
867 /* Maybe frag1 is after frag2. */
868 off = frag1->fr_address - frag2->fr_address;
869 frag = frag2;
0838d2ac
KK
870 while (frag->fr_type == rs_fill
871 || frag->fr_type == rs_align_test)
0cc34095 872 {
0838d2ac
KK
873 if (frag->fr_type == rs_fill)
874 off -= frag->fr_fix + frag->fr_offset * frag->fr_var;
875 else
876 off -= frag->fr_fix;
0cc34095
KK
877 frag = frag->fr_next;
878 if (frag == NULL)
879 break;
880 if (frag == frag1)
881 {
882 *offset = off;
883 return TRUE;
884 }
885 }
886
887 return FALSE;
888}
a1cc9221
AO
889#endif /* OBJ_ELF */
890
0cc34095
KK
891/* Optimize a difference of symbols which have rs_align_test frag if
892 possible. */
893
894int
895sh_optimize_expr (expressionS *l, operatorT op, expressionS *r)
896{
897#ifdef OBJ_ELF
898 bfd_vma frag_off;
899
900 if (op == O_subtract
901 && l->X_op == O_symbol
902 && r->X_op == O_symbol
903 && S_GET_SEGMENT (l->X_add_symbol) == S_GET_SEGMENT (r->X_add_symbol)
904 && (SEG_NORMAL (S_GET_SEGMENT (l->X_add_symbol))
905 || r->X_add_symbol == l->X_add_symbol)
906 && align_test_frag_offset_fixed_p (symbol_get_frag (l->X_add_symbol),
907 symbol_get_frag (r->X_add_symbol),
908 &frag_off))
909 {
910 l->X_add_number -= r->X_add_number;
911 l->X_add_number -= frag_off / OCTETS_PER_BYTE;
912 l->X_add_number += (S_GET_VALUE (l->X_add_symbol)
913 - S_GET_VALUE (r->X_add_symbol));
914 l->X_op = O_constant;
915 l->X_add_symbol = 0;
916 return 1;
917 }
918#endif /* OBJ_ELF */
919 return 0;
920}
a1cc9221 921\f
6b31947e
NC
922/* This function is called once, at assembler startup time. This should
923 set up all the tables, etc that the MD part of the assembler needs. */
252b5132
RH
924
925void
8edc77b9 926md_begin (void)
252b5132 927{
5ff37431 928 const sh_opcode_info *opcode;
252b5132 929 char *prev_name = "";
f6f9408f 930 unsigned int target_arch;
252b5132 931
bdfaef52 932 target_arch
e38bc3b5 933 = preset_target_arch ? preset_target_arch : arch_sh_up & ~arch_sh_has_dsp;
d4845d57
JR
934 valid_arch = target_arch;
935
324bfcf3
AO
936#ifdef HAVE_SH64
937 shmedia_md_begin ();
938#endif
939
252b5132
RH
940 opcode_hash_control = hash_new ();
941
6b31947e 942 /* Insert unique names into hash table. */
252b5132
RH
943 for (opcode = sh_table; opcode->name; opcode++)
944 {
5ff37431 945 if (strcmp (prev_name, opcode->name) != 0)
252b5132 946 {
f6f9408f 947 if (!SH_MERGE_ARCH_SET_VALID (opcode->arch, target_arch))
a37c8f88 948 continue;
252b5132
RH
949 prev_name = opcode->name;
950 hash_insert (opcode_hash_control, opcode->name, (char *) opcode);
951 }
252b5132
RH
952 }
953}
954
955static int reg_m;
956static int reg_n;
d4845d57
JR
957static int reg_x, reg_y;
958static int reg_efg;
252b5132
RH
959static int reg_b;
960
3882b010 961#define IDENT_CHAR(c) (ISALNUM (c) || (c) == '_')
dead1419 962
6b31947e
NC
963/* Try to parse a reg name. Return the number of chars consumed. */
964
37dedf66
NC
965static unsigned int
966parse_reg_without_prefix (char *src, int *mode, int *reg)
252b5132 967{
3882b010
L
968 char l0 = TOLOWER (src[0]);
969 char l1 = l0 ? TOLOWER (src[1]) : 0;
e46fee70 970
dead1419 971 /* We use ! IDENT_CHAR for the next character after the register name, to
252b5132 972 make sure that we won't accidentally recognize a symbol name such as
dead1419 973 'sram' or sr_ram as being a reference to the register 'sr'. */
252b5132 974
e46fee70 975 if (l0 == 'r')
252b5132 976 {
e46fee70 977 if (l1 == '1')
d4845d57
JR
978 {
979 if (src[2] >= '0' && src[2] <= '5'
dead1419 980 && ! IDENT_CHAR ((unsigned char) src[3]))
d4845d57
JR
981 {
982 *mode = A_REG_N;
983 *reg = 10 + src[2] - '0';
984 return 3;
985 }
986 }
e46fee70 987 if (l1 >= '0' && l1 <= '9'
dead1419 988 && ! IDENT_CHAR ((unsigned char) src[2]))
d4845d57
JR
989 {
990 *mode = A_REG_N;
e46fee70 991 *reg = (l1 - '0');
d4845d57
JR
992 return 2;
993 }
e46fee70 994 if (l1 >= '0' && l1 <= '7' && strncasecmp (&src[2], "_bank", 5) == 0
dead1419
JR
995 && ! IDENT_CHAR ((unsigned char) src[7]))
996 {
997 *mode = A_REG_B;
e46fee70 998 *reg = (l1 - '0');
dead1419
JR
999 return 7;
1000 }
d4845d57 1001
e46fee70 1002 if (l1 == 'e' && ! IDENT_CHAR ((unsigned char) src[2]))
d4845d57
JR
1003 {
1004 *mode = A_RE;
1005 return 2;
1006 }
e46fee70 1007 if (l1 == 's' && ! IDENT_CHAR ((unsigned char) src[2]))
d4845d57
JR
1008 {
1009 *mode = A_RS;
1010 return 2;
1011 }
252b5132
RH
1012 }
1013
e46fee70 1014 if (l0 == 'a')
252b5132 1015 {
e46fee70 1016 if (l1 == '0')
d4845d57 1017 {
dead1419 1018 if (! IDENT_CHAR ((unsigned char) src[2]))
d4845d57
JR
1019 {
1020 *mode = DSP_REG_N;
1021 *reg = A_A0_NUM;
1022 return 2;
1023 }
3882b010 1024 if (TOLOWER (src[2]) == 'g' && ! IDENT_CHAR ((unsigned char) src[3]))
d4845d57
JR
1025 {
1026 *mode = DSP_REG_N;
1027 *reg = A_A0G_NUM;
1028 return 3;
1029 }
1030 }
e46fee70 1031 if (l1 == '1')
252b5132 1032 {
dead1419 1033 if (! IDENT_CHAR ((unsigned char) src[2]))
252b5132 1034 {
d4845d57
JR
1035 *mode = DSP_REG_N;
1036 *reg = A_A1_NUM;
1037 return 2;
1038 }
3882b010 1039 if (TOLOWER (src[2]) == 'g' && ! IDENT_CHAR ((unsigned char) src[3]))
d4845d57
JR
1040 {
1041 *mode = DSP_REG_N;
1042 *reg = A_A1G_NUM;
252b5132
RH
1043 return 3;
1044 }
1045 }
d4845d57 1046
e46fee70 1047 if (l1 == 'x' && src[2] >= '0' && src[2] <= '1'
dead1419 1048 && ! IDENT_CHAR ((unsigned char) src[3]))
252b5132
RH
1049 {
1050 *mode = A_REG_N;
e46fee70 1051 *reg = 4 + (l1 - '0');
d4845d57
JR
1052 return 3;
1053 }
e46fee70 1054 if (l1 == 'y' && src[2] >= '0' && src[2] <= '1'
dead1419 1055 && ! IDENT_CHAR ((unsigned char) src[3]))
d4845d57
JR
1056 {
1057 *mode = A_REG_N;
e46fee70 1058 *reg = 6 + (l1 - '0');
d4845d57
JR
1059 return 3;
1060 }
e46fee70 1061 if (l1 == 's' && src[2] >= '0' && src[2] <= '3'
dead1419 1062 && ! IDENT_CHAR ((unsigned char) src[3]))
d4845d57 1063 {
e46fee70 1064 int n = l1 - '0';
d4845d57
JR
1065
1066 *mode = A_REG_N;
1067 *reg = n | ((~n & 2) << 1);
1068 return 3;
1069 }
1070 }
1071
912a07db 1072 if (l0 == 'i' && l1 && ! IDENT_CHAR ((unsigned char) src[2]))
d4845d57 1073 {
e46fee70 1074 if (l1 == 's')
d4845d57
JR
1075 {
1076 *mode = A_REG_N;
1077 *reg = 8;
252b5132
RH
1078 return 2;
1079 }
e46fee70 1080 if (l1 == 'x')
d4845d57
JR
1081 {
1082 *mode = A_REG_N;
1083 *reg = 8;
1084 return 2;
1085 }
e46fee70 1086 if (l1 == 'y')
d4845d57
JR
1087 {
1088 *mode = A_REG_N;
1089 *reg = 9;
1090 return 2;
1091 }
1092 }
1093
e46fee70 1094 if (l0 == 'x' && l1 >= '0' && l1 <= '1'
dead1419 1095 && ! IDENT_CHAR ((unsigned char) src[2]))
d4845d57
JR
1096 {
1097 *mode = DSP_REG_N;
e46fee70 1098 *reg = A_X0_NUM + l1 - '0';
d4845d57
JR
1099 return 2;
1100 }
1101
e46fee70 1102 if (l0 == 'y' && l1 >= '0' && l1 <= '1'
dead1419 1103 && ! IDENT_CHAR ((unsigned char) src[2]))
d4845d57
JR
1104 {
1105 *mode = DSP_REG_N;
e46fee70 1106 *reg = A_Y0_NUM + l1 - '0';
d4845d57
JR
1107 return 2;
1108 }
1109
e46fee70 1110 if (l0 == 'm' && l1 >= '0' && l1 <= '1'
dead1419 1111 && ! IDENT_CHAR ((unsigned char) src[2]))
d4845d57
JR
1112 {
1113 *mode = DSP_REG_N;
e46fee70 1114 *reg = l1 == '0' ? A_M0_NUM : A_M1_NUM;
d4845d57 1115 return 2;
252b5132
RH
1116 }
1117
e46fee70
HPN
1118 if (l0 == 's'
1119 && l1 == 's'
3882b010 1120 && TOLOWER (src[2]) == 'r' && ! IDENT_CHAR ((unsigned char) src[3]))
252b5132
RH
1121 {
1122 *mode = A_SSR;
1123 return 3;
1124 }
1125
3882b010 1126 if (l0 == 's' && l1 == 'p' && TOLOWER (src[2]) == 'c'
dead1419 1127 && ! IDENT_CHAR ((unsigned char) src[3]))
252b5132
RH
1128 {
1129 *mode = A_SPC;
1130 return 3;
1131 }
1132
3882b010 1133 if (l0 == 's' && l1 == 'g' && TOLOWER (src[2]) == 'r'
dead1419 1134 && ! IDENT_CHAR ((unsigned char) src[3]))
252b5132
RH
1135 {
1136 *mode = A_SGR;
1137 return 3;
1138 }
1139
3882b010 1140 if (l0 == 'd' && l1 == 's' && TOLOWER (src[2]) == 'r'
dead1419 1141 && ! IDENT_CHAR ((unsigned char) src[3]))
d4845d57
JR
1142 {
1143 *mode = A_DSR;
1144 return 3;
1145 }
1146
3882b010 1147 if (l0 == 'd' && l1 == 'b' && TOLOWER (src[2]) == 'r'
dead1419 1148 && ! IDENT_CHAR ((unsigned char) src[3]))
252b5132
RH
1149 {
1150 *mode = A_DBR;
1151 return 3;
1152 }
1153
e46fee70 1154 if (l0 == 's' && l1 == 'r' && ! IDENT_CHAR ((unsigned char) src[2]))
252b5132
RH
1155 {
1156 *mode = A_SR;
1157 return 2;
1158 }
1159
e46fee70 1160 if (l0 == 's' && l1 == 'p' && ! IDENT_CHAR ((unsigned char) src[2]))
252b5132
RH
1161 {
1162 *mode = A_REG_N;
1163 *reg = 15;
1164 return 2;
1165 }
1166
e46fee70 1167 if (l0 == 'p' && l1 == 'r' && ! IDENT_CHAR ((unsigned char) src[2]))
252b5132
RH
1168 {
1169 *mode = A_PR;
1170 return 2;
1171 }
e46fee70 1172 if (l0 == 'p' && l1 == 'c' && ! IDENT_CHAR ((unsigned char) src[2]))
252b5132 1173 {
015551fc
JR
1174 /* Don't use A_DISP_PC here - that would accept stuff like 'mova pc,r0'
1175 and use an uninitialized immediate. */
1176 *mode = A_PC;
252b5132
RH
1177 return 2;
1178 }
3882b010 1179 if (l0 == 'g' && l1 == 'b' && TOLOWER (src[2]) == 'r'
dead1419 1180 && ! IDENT_CHAR ((unsigned char) src[3]))
252b5132
RH
1181 {
1182 *mode = A_GBR;
1183 return 3;
1184 }
3882b010 1185 if (l0 == 'v' && l1 == 'b' && TOLOWER (src[2]) == 'r'
dead1419 1186 && ! IDENT_CHAR ((unsigned char) src[3]))
252b5132
RH
1187 {
1188 *mode = A_VBR;
1189 return 3;
1190 }
1191
1d70c7fb
AO
1192 if (l0 == 't' && l1 == 'b' && TOLOWER (src[2]) == 'r'
1193 && ! IDENT_CHAR ((unsigned char) src[3]))
1194 {
1195 *mode = A_TBR;
1196 return 3;
1197 }
3882b010 1198 if (l0 == 'm' && l1 == 'a' && TOLOWER (src[2]) == 'c'
dead1419 1199 && ! IDENT_CHAR ((unsigned char) src[4]))
252b5132 1200 {
3882b010 1201 if (TOLOWER (src[3]) == 'l')
252b5132
RH
1202 {
1203 *mode = A_MACL;
1204 return 4;
1205 }
3882b010 1206 if (TOLOWER (src[3]) == 'h')
252b5132
RH
1207 {
1208 *mode = A_MACH;
1209 return 4;
1210 }
1211 }
3882b010 1212 if (l0 == 'm' && l1 == 'o' && TOLOWER (src[2]) == 'd'
912a07db 1213 && ! IDENT_CHAR ((unsigned char) src[3]))
d4845d57
JR
1214 {
1215 *mode = A_MOD;
1216 return 3;
1217 }
e46fee70 1218 if (l0 == 'f' && l1 == 'r')
252b5132
RH
1219 {
1220 if (src[2] == '1')
1221 {
1222 if (src[3] >= '0' && src[3] <= '5'
dead1419 1223 && ! IDENT_CHAR ((unsigned char) src[4]))
252b5132
RH
1224 {
1225 *mode = F_REG_N;
1226 *reg = 10 + src[3] - '0';
1227 return 4;
1228 }
1229 }
1230 if (src[2] >= '0' && src[2] <= '9'
dead1419 1231 && ! IDENT_CHAR ((unsigned char) src[3]))
252b5132
RH
1232 {
1233 *mode = F_REG_N;
1234 *reg = (src[2] - '0');
1235 return 3;
1236 }
1237 }
e46fee70 1238 if (l0 == 'd' && l1 == 'r')
252b5132
RH
1239 {
1240 if (src[2] == '1')
1241 {
1242 if (src[3] >= '0' && src[3] <= '4' && ! ((src[3] - '0') & 1)
dead1419 1243 && ! IDENT_CHAR ((unsigned char) src[4]))
252b5132
RH
1244 {
1245 *mode = D_REG_N;
1246 *reg = 10 + src[3] - '0';
1247 return 4;
1248 }
1249 }
1250 if (src[2] >= '0' && src[2] <= '8' && ! ((src[2] - '0') & 1)
dead1419 1251 && ! IDENT_CHAR ((unsigned char) src[3]))
252b5132
RH
1252 {
1253 *mode = D_REG_N;
1254 *reg = (src[2] - '0');
1255 return 3;
1256 }
1257 }
e46fee70 1258 if (l0 == 'x' && l1 == 'd')
252b5132
RH
1259 {
1260 if (src[2] == '1')
1261 {
1262 if (src[3] >= '0' && src[3] <= '4' && ! ((src[3] - '0') & 1)
dead1419 1263 && ! IDENT_CHAR ((unsigned char) src[4]))
252b5132
RH
1264 {
1265 *mode = X_REG_N;
1266 *reg = 11 + src[3] - '0';
1267 return 4;
1268 }
1269 }
1270 if (src[2] >= '0' && src[2] <= '8' && ! ((src[2] - '0') & 1)
dead1419 1271 && ! IDENT_CHAR ((unsigned char) src[3]))
252b5132
RH
1272 {
1273 *mode = X_REG_N;
1274 *reg = (src[2] - '0') + 1;
1275 return 3;
1276 }
1277 }
e46fee70 1278 if (l0 == 'f' && l1 == 'v')
252b5132 1279 {
dead1419 1280 if (src[2] == '1'&& src[3] == '2' && ! IDENT_CHAR ((unsigned char) src[4]))
252b5132
RH
1281 {
1282 *mode = V_REG_N;
1283 *reg = 12;
1284 return 4;
1285 }
1286 if ((src[2] == '0' || src[2] == '4' || src[2] == '8')
dead1419 1287 && ! IDENT_CHAR ((unsigned char) src[3]))
252b5132
RH
1288 {
1289 *mode = V_REG_N;
1290 *reg = (src[2] - '0');
1291 return 3;
1292 }
1293 }
3882b010
L
1294 if (l0 == 'f' && l1 == 'p' && TOLOWER (src[2]) == 'u'
1295 && TOLOWER (src[3]) == 'l'
dead1419 1296 && ! IDENT_CHAR ((unsigned char) src[4]))
252b5132
RH
1297 {
1298 *mode = FPUL_N;
1299 return 4;
1300 }
1301
3882b010
L
1302 if (l0 == 'f' && l1 == 'p' && TOLOWER (src[2]) == 's'
1303 && TOLOWER (src[3]) == 'c'
1304 && TOLOWER (src[4]) == 'r' && ! IDENT_CHAR ((unsigned char) src[5]))
252b5132
RH
1305 {
1306 *mode = FPSCR_N;
1307 return 5;
1308 }
1309
3882b010
L
1310 if (l0 == 'x' && l1 == 'm' && TOLOWER (src[2]) == 't'
1311 && TOLOWER (src[3]) == 'r'
1312 && TOLOWER (src[4]) == 'x' && ! IDENT_CHAR ((unsigned char) src[5]))
252b5132
RH
1313 {
1314 *mode = XMTRX_M4;
1315 return 5;
1316 }
1317
1318 return 0;
1319}
1320
37dedf66
NC
1321/* Like parse_reg_without_prefix, but this version supports
1322 $-prefixed register names if enabled by the user. */
1323
1324static unsigned int
1325parse_reg (char *src, int *mode, int *reg)
1326{
1327 unsigned int prefix;
1328 unsigned int consumed;
1329
1330 if (src[0] == '$')
1331 {
1332 if (allow_dollar_register_prefix)
1333 {
1334 src ++;
1335 prefix = 1;
1336 }
1337 else
1338 return 0;
1339 }
1340 else
1341 prefix = 0;
1342
1343 consumed = parse_reg_without_prefix (src, mode, reg);
1344
1345 if (consumed == 0)
1346 return 0;
1347
1348 return consumed + prefix;
1349}
1350
c4aa876b 1351static char *
8edc77b9 1352parse_exp (char *s, sh_operand_info *op)
252b5132
RH
1353{
1354 char *save;
1355 char *new;
1356
1357 save = input_line_pointer;
1358 input_line_pointer = s;
015551fc
JR
1359 expression (&op->immediate);
1360 if (op->immediate.X_op == O_absent)
252b5132 1361 as_bad (_("missing operand"));
538cd60f
AO
1362#ifdef OBJ_ELF
1363 else if (op->immediate.X_op == O_PIC_reloc
1364 || sh_PIC_related_p (op->immediate.X_add_symbol)
1365 || sh_PIC_related_p (op->immediate.X_op_symbol))
1366 as_bad (_("misplaced PIC operand"));
1367#endif
252b5132
RH
1368 new = input_line_pointer;
1369 input_line_pointer = save;
1370 return new;
1371}
1372
252b5132
RH
1373/* The many forms of operand:
1374
1375 Rn Register direct
1376 @Rn Register indirect
1377 @Rn+ Autoincrement
1378 @-Rn Autodecrement
1379 @(disp:4,Rn)
1380 @(disp:8,GBR)
1381 @(disp:8,PC)
1382
1383 @(R0,Rn)
1384 @(R0,GBR)
1385
1386 disp:8
1387 disp:12
1388 #imm8
1389 pr, gbr, vbr, macl, mach
252b5132
RH
1390 */
1391
c4aa876b 1392static char *
8edc77b9 1393parse_at (char *src, sh_operand_info *op)
252b5132
RH
1394{
1395 int len;
1396 int mode;
1397 src++;
1d70c7fb
AO
1398 if (src[0] == '@')
1399 {
1400 src = parse_at (src, op);
1401 if (op->type == A_DISP_TBR)
1402 op->type = A_DISP2_TBR;
1403 else
1404 as_bad (_("illegal double indirection"));
1405 }
1406 else if (src[0] == '-')
252b5132 1407 {
6b31947e 1408 /* Must be predecrement. */
252b5132
RH
1409 src++;
1410
1411 len = parse_reg (src, &mode, &(op->reg));
1412 if (mode != A_REG_N)
1413 as_bad (_("illegal register after @-"));
1414
1415 op->type = A_DEC_N;
1416 src += len;
1417 }
1418 else if (src[0] == '(')
1419 {
1420 /* Could be @(disp, rn), @(disp, gbr), @(disp, pc), @(r0, gbr) or
8d4d84c2 1421 @(r0, rn). */
252b5132
RH
1422 src++;
1423 len = parse_reg (src, &mode, &(op->reg));
1424 if (len && mode == A_REG_N)
1425 {
1426 src += len;
1427 if (op->reg != 0)
1428 {
1429 as_bad (_("must be @(r0,...)"));
1430 }
1431 if (src[0] == ',')
252b5132 1432 {
8d4d84c2
AO
1433 src++;
1434 /* Now can be rn or gbr. */
1435 len = parse_reg (src, &mode, &(op->reg));
1436 }
1437 else
1438 {
1439 len = 0;
252b5132 1440 }
8d4d84c2 1441 if (len)
252b5132 1442 {
8d4d84c2
AO
1443 if (mode == A_GBR)
1444 {
1445 op->type = A_R0_GBR;
1446 }
1447 else if (mode == A_REG_N)
1448 {
1449 op->type = A_IND_R0_REG_N;
1450 }
1451 else
1452 {
1453 as_bad (_("syntax error in @(r0,...)"));
1454 }
252b5132
RH
1455 }
1456 else
1457 {
8d4d84c2 1458 as_bad (_("syntax error in @(r0...)"));
252b5132
RH
1459 }
1460 }
1461 else
1462 {
8d4d84c2 1463 /* Must be an @(disp,.. thing). */
015551fc 1464 src = parse_exp (src, op);
252b5132
RH
1465 if (src[0] == ',')
1466 src++;
8d4d84c2 1467 /* Now can be rn, gbr or pc. */
252b5132
RH
1468 len = parse_reg (src, &mode, &op->reg);
1469 if (len)
1470 {
1471 if (mode == A_REG_N)
1472 {
1473 op->type = A_DISP_REG_N;
1474 }
1475 else if (mode == A_GBR)
1476 {
1477 op->type = A_DISP_GBR;
1478 }
1d70c7fb
AO
1479 else if (mode == A_TBR)
1480 {
1481 op->type = A_DISP_TBR;
1482 }
015551fc 1483 else if (mode == A_PC)
252b5132 1484 {
dbb4348d
JR
1485 /* We want @(expr, pc) to uniformly address . + expr,
1486 no matter if expr is a constant, or a more complex
1487 expression, e.g. sym-. or sym1-sym2.
1488 However, we also used to accept @(sym,pc)
67c1ffbe 1489 as addressing sym, i.e. meaning the same as plain sym.
dbb4348d
JR
1490 Some existing code does use the @(sym,pc) syntax, so
1491 we give it the old semantics for now, but warn about
1492 its use, so that users have some time to fix their code.
1493
1494 Note that due to this backward compatibility hack,
1495 we'll get unexpected results when @(offset, pc) is used,
1496 and offset is a symbol that is set later to an an address
1497 difference, or an external symbol that is set to an
1498 address difference in another source file, so we want to
1499 eventually remove it. */
9691d64f
JR
1500 if (op->immediate.X_op == O_symbol)
1501 {
1502 op->type = A_DISP_PC;
1503 as_warn (_("Deprecated syntax."));
1504 }
1505 else
1506 {
1507 op->type = A_DISP_PC_ABS;
1508 /* Such operands don't get corrected for PC==.+4, so
1509 make the correction here. */
1510 op->immediate.X_add_number -= 4;
1511 }
252b5132
RH
1512 }
1513 else
1514 {
1515 as_bad (_("syntax error in @(disp,[Rn, gbr, pc])"));
1516 }
1517 }
1518 else
1519 {
1520 as_bad (_("syntax error in @(disp,[Rn, gbr, pc])"));
1521 }
1522 }
1523 src += len;
1524 if (src[0] != ')')
1525 as_bad (_("expecting )"));
1526 else
1527 src++;
1528 }
1529 else
1530 {
1531 src += parse_reg (src, &mode, &(op->reg));
1532 if (mode != A_REG_N)
006299d3
NC
1533 as_bad (_("illegal register after @"));
1534
252b5132
RH
1535 if (src[0] == '+')
1536 {
1000a02a
NC
1537 char l0, l1;
1538
252b5132 1539 src++;
1000a02a
NC
1540 l0 = TOLOWER (src[0]);
1541 l1 = TOLOWER (src[1]);
1542
1543 if ((l0 == 'r' && l1 == '8')
1544 || (l0 == 'i' && (l1 == 'x' || l1 == 's')))
d4845d57
JR
1545 {
1546 src += 2;
88da98f3 1547 op->type = AX_PMOD_N;
d4845d57 1548 }
006299d3
NC
1549 else if ( (l0 == 'r' && l1 == '9')
1550 || (l0 == 'i' && l1 == 'y'))
d4845d57
JR
1551 {
1552 src += 2;
88da98f3 1553 op->type = AY_PMOD_N;
d4845d57
JR
1554 }
1555 else
1556 op->type = A_INC_N;
252b5132
RH
1557 }
1558 else
006299d3 1559 op->type = A_IND_N;
252b5132
RH
1560 }
1561 return src;
1562}
1563
1564static void
8edc77b9 1565get_operand (char **ptr, sh_operand_info *op)
252b5132
RH
1566{
1567 char *src = *ptr;
1568 int mode = -1;
1569 unsigned int len;
1570
1571 if (src[0] == '#')
1572 {
1573 src++;
015551fc 1574 *ptr = parse_exp (src, op);
252b5132
RH
1575 op->type = A_IMM;
1576 return;
1577 }
1578
1579 else if (src[0] == '@')
1580 {
1581 *ptr = parse_at (src, op);
1582 return;
1583 }
1584 len = parse_reg (src, &mode, &(op->reg));
1585 if (len)
1586 {
1587 *ptr = src + len;
1588 op->type = mode;
1589 return;
1590 }
1591 else
1592 {
6b31947e 1593 /* Not a reg, the only thing left is a displacement. */
015551fc 1594 *ptr = parse_exp (src, op);
252b5132
RH
1595 op->type = A_DISP_PC;
1596 return;
1597 }
1598}
1599
c4aa876b 1600static char *
8edc77b9 1601get_operands (sh_opcode_info *info, char *args, sh_operand_info *operand)
252b5132
RH
1602{
1603 char *ptr = args;
1604 if (info->arg[0])
1605 {
d4845d57
JR
1606 /* The pre-processor will eliminate whitespace in front of '@'
1607 after the first argument; we may be called multiple times
1608 from assemble_ppi, so don't insist on finding whitespace here. */
1609 if (*ptr == ' ')
1610 ptr++;
252b5132
RH
1611
1612 get_operand (&ptr, operand + 0);
1613 if (info->arg[1])
1614 {
1615 if (*ptr == ',')
1616 {
1617 ptr++;
1618 }
1619 get_operand (&ptr, operand + 1);
52ccafd0
JR
1620 /* ??? Hack: psha/pshl have a varying operand number depending on
1621 the type of the first operand. We handle this by having the
1622 three-operand version first and reducing the number of operands
1623 parsed to two if we see that the first operand is an immediate.
1624 This works because no insn with three operands has an immediate
1625 as first operand. */
1626 if (info->arg[2] && operand[0].type != A_IMM)
252b5132
RH
1627 {
1628 if (*ptr == ',')
1629 {
1630 ptr++;
1631 }
1632 get_operand (&ptr, operand + 2);
1633 }
1634 else
1635 {
1636 operand[2].type = 0;
1637 }
1638 }
1639 else
1640 {
1641 operand[1].type = 0;
1642 operand[2].type = 0;
1643 }
1644 }
1645 else
1646 {
1647 operand[0].type = 0;
1648 operand[1].type = 0;
1649 operand[2].type = 0;
1650 }
1651 return ptr;
1652}
1653
1654/* Passed a pointer to a list of opcodes which use different
1655 addressing modes, return the opcode which matches the opcodes
6b31947e 1656 provided. */
252b5132 1657
c4aa876b 1658static sh_opcode_info *
8edc77b9 1659get_specific (sh_opcode_info *opcode, sh_operand_info *operands)
252b5132
RH
1660{
1661 sh_opcode_info *this_try = opcode;
1662 char *name = opcode->name;
1663 int n = 0;
c4aa876b 1664
252b5132
RH
1665 while (opcode->name)
1666 {
1667 this_try = opcode++;
5ff37431 1668 if ((this_try->name != name) && (strcmp (this_try->name, name) != 0))
252b5132
RH
1669 {
1670 /* We've looked so far down the table that we've run out of
6b31947e 1671 opcodes with the same name. */
252b5132
RH
1672 return 0;
1673 }
c4aa876b 1674
6b31947e 1675 /* Look at both operands needed by the opcodes and provided by
252b5132
RH
1676 the user - since an arg test will often fail on the same arg
1677 again and again, we'll try and test the last failing arg the
6b31947e 1678 first on each opcode try. */
252b5132
RH
1679 for (n = 0; this_try->arg[n]; n++)
1680 {
1681 sh_operand_info *user = operands + n;
1682 sh_arg_type arg = this_try->arg[n];
c4aa876b 1683
1d70c7fb
AO
1684 if (SH_MERGE_ARCH_SET_VALID (valid_arch, arch_sh2a_nofpu_up)
1685 && ( arg == A_DISP_REG_M
1686 || arg == A_DISP_REG_N))
1687 {
1688 /* Check a few key IMM* fields for overflow. */
1689 int opf;
1690 long val = user->immediate.X_add_number;
1691
1692 for (opf = 0; opf < 4; opf ++)
1693 switch (this_try->nibbles[opf])
1694 {
1695 case IMM0_4:
1696 case IMM1_4:
1697 if (val < 0 || val > 15)
1698 goto fail;
1699 break;
1700 case IMM0_4BY2:
1701 case IMM1_4BY2:
1702 if (val < 0 || val > 15 * 2)
1703 goto fail;
1704 break;
1705 case IMM0_4BY4:
1706 case IMM1_4BY4:
1707 if (val < 0 || val > 15 * 4)
1708 goto fail;
1709 break;
1710 default:
1711 break;
1712 }
1713 }
252b5132
RH
1714 switch (arg)
1715 {
7679ead9
AO
1716 case A_DISP_PC:
1717 if (user->type == A_DISP_PC_ABS)
1718 break;
1719 /* Fall through. */
252b5132
RH
1720 case A_IMM:
1721 case A_BDISP12:
1722 case A_BDISP8:
1723 case A_DISP_GBR:
1d70c7fb 1724 case A_DISP2_TBR:
252b5132
RH
1725 case A_MACH:
1726 case A_PR:
1727 case A_MACL:
1728 if (user->type != arg)
1729 goto fail;
1730 break;
1731 case A_R0:
1732 /* opcode needs r0 */
1733 if (user->type != A_REG_N || user->reg != 0)
1734 goto fail;
1735 break;
1736 case A_R0_GBR:
1737 if (user->type != A_R0_GBR || user->reg != 0)
1738 goto fail;
1739 break;
1740 case F_FR0:
1741 if (user->type != F_REG_N || user->reg != 0)
1742 goto fail;
1743 break;
1744
1745 case A_REG_N:
1746 case A_INC_N:
1747 case A_DEC_N:
1748 case A_IND_N:
1749 case A_IND_R0_REG_N:
1750 case A_DISP_REG_N:
1751 case F_REG_N:
1752 case D_REG_N:
1753 case X_REG_N:
1754 case V_REG_N:
1755 case FPUL_N:
1756 case FPSCR_N:
d4845d57 1757 case DSP_REG_N:
252b5132
RH
1758 /* Opcode needs rn */
1759 if (user->type != arg)
1760 goto fail;
1761 reg_n = user->reg;
1762 break;
252b5132
RH
1763 case DX_REG_N:
1764 if (user->type != D_REG_N && user->type != X_REG_N)
1765 goto fail;
1766 reg_n = user->reg;
1767 break;
1768 case A_GBR:
1d70c7fb 1769 case A_TBR:
252b5132
RH
1770 case A_SR:
1771 case A_VBR:
d4845d57
JR
1772 case A_DSR:
1773 case A_MOD:
1774 case A_RE:
1775 case A_RS:
252b5132
RH
1776 case A_SSR:
1777 case A_SPC:
1778 case A_SGR:
1779 case A_DBR:
1780 if (user->type != arg)
1781 goto fail;
1782 break;
1783
c4aa876b 1784 case A_REG_B:
252b5132
RH
1785 if (user->type != arg)
1786 goto fail;
1787 reg_b = user->reg;
1788 break;
1789
1d70c7fb
AO
1790 case A_INC_R15:
1791 if (user->type != A_INC_N)
1792 goto fail;
1793 if (user->reg != 15)
1794 goto fail;
1795 reg_n = user->reg;
1796 break;
1797
1798 case A_DEC_R15:
1799 if (user->type != A_DEC_N)
1800 goto fail;
1801 if (user->reg != 15)
1802 goto fail;
1803 reg_n = user->reg;
1804 break;
1805
252b5132
RH
1806 case A_REG_M:
1807 case A_INC_M:
1808 case A_DEC_M:
1809 case A_IND_M:
1810 case A_IND_R0_REG_M:
1811 case A_DISP_REG_M:
d4845d57 1812 case DSP_REG_M:
252b5132
RH
1813 /* Opcode needs rn */
1814 if (user->type != arg - A_REG_M + A_REG_N)
1815 goto fail;
1816 reg_m = user->reg;
1817 break;
1818
88da98f3
MS
1819 case AS_DEC_N:
1820 if (user->type != A_DEC_N)
1821 goto fail;
1822 if (user->reg < 2 || user->reg > 5)
1823 goto fail;
1824 reg_n = user->reg;
1825 break;
13ef8878 1826
88da98f3
MS
1827 case AS_INC_N:
1828 if (user->type != A_INC_N)
1829 goto fail;
1830 if (user->reg < 2 || user->reg > 5)
1831 goto fail;
1832 reg_n = user->reg;
1833 break;
13ef8878 1834
88da98f3
MS
1835 case AS_IND_N:
1836 if (user->type != A_IND_N)
1837 goto fail;
1838 if (user->reg < 2 || user->reg > 5)
1839 goto fail;
1840 reg_n = user->reg;
1841 break;
13ef8878 1842
88da98f3
MS
1843 case AS_PMOD_N:
1844 if (user->type != AX_PMOD_N)
1845 goto fail;
1846 if (user->reg < 2 || user->reg > 5)
1847 goto fail;
1848 reg_n = user->reg;
1849 break;
13ef8878 1850
88da98f3
MS
1851 case AX_INC_N:
1852 if (user->type != A_INC_N)
1853 goto fail;
1854 if (user->reg < 4 || user->reg > 5)
1855 goto fail;
1856 reg_n = user->reg;
1857 break;
13ef8878 1858
88da98f3
MS
1859 case AX_IND_N:
1860 if (user->type != A_IND_N)
1861 goto fail;
1862 if (user->reg < 4 || user->reg > 5)
1863 goto fail;
1864 reg_n = user->reg;
1865 break;
13ef8878 1866
88da98f3
MS
1867 case AX_PMOD_N:
1868 if (user->type != AX_PMOD_N)
1869 goto fail;
1870 if (user->reg < 4 || user->reg > 5)
1871 goto fail;
1872 reg_n = user->reg;
1873 break;
13ef8878 1874
88da98f3
MS
1875 case AXY_INC_N:
1876 if (user->type != A_INC_N)
1877 goto fail;
1878 if ((user->reg < 4 || user->reg > 5)
1879 && (user->reg < 0 || user->reg > 1))
1880 goto fail;
1881 reg_n = user->reg;
1882 break;
13ef8878 1883
88da98f3
MS
1884 case AXY_IND_N:
1885 if (user->type != A_IND_N)
1886 goto fail;
1887 if ((user->reg < 4 || user->reg > 5)
1888 && (user->reg < 0 || user->reg > 1))
1889 goto fail;
1890 reg_n = user->reg;
1891 break;
13ef8878 1892
88da98f3
MS
1893 case AXY_PMOD_N:
1894 if (user->type != AX_PMOD_N)
1895 goto fail;
1896 if ((user->reg < 4 || user->reg > 5)
1897 && (user->reg < 0 || user->reg > 1))
1898 goto fail;
1899 reg_n = user->reg;
1900 break;
13ef8878 1901
88da98f3
MS
1902 case AY_INC_N:
1903 if (user->type != A_INC_N)
1904 goto fail;
1905 if (user->reg < 6 || user->reg > 7)
1906 goto fail;
1907 reg_n = user->reg;
1908 break;
13ef8878 1909
88da98f3
MS
1910 case AY_IND_N:
1911 if (user->type != A_IND_N)
1912 goto fail;
1913 if (user->reg < 6 || user->reg > 7)
1914 goto fail;
1915 reg_n = user->reg;
1916 break;
13ef8878 1917
88da98f3
MS
1918 case AY_PMOD_N:
1919 if (user->type != AY_PMOD_N)
1920 goto fail;
1921 if (user->reg < 6 || user->reg > 7)
1922 goto fail;
1923 reg_n = user->reg;
1924 break;
1925
1926 case AYX_INC_N:
1927 if (user->type != A_INC_N)
1928 goto fail;
1929 if ((user->reg < 6 || user->reg > 7)
1930 && (user->reg < 2 || user->reg > 3))
1931 goto fail;
1932 reg_n = user->reg;
1933 break;
13ef8878 1934
88da98f3
MS
1935 case AYX_IND_N:
1936 if (user->type != A_IND_N)
1937 goto fail;
1938 if ((user->reg < 6 || user->reg > 7)
1939 && (user->reg < 2 || user->reg > 3))
1940 goto fail;
1941 reg_n = user->reg;
1942 break;
13ef8878 1943
88da98f3
MS
1944 case AYX_PMOD_N:
1945 if (user->type != AY_PMOD_N)
1946 goto fail;
1947 if ((user->reg < 6 || user->reg > 7)
1948 && (user->reg < 2 || user->reg > 3))
1949 goto fail;
1950 reg_n = user->reg;
1951 break;
1952
1953 case DSP_REG_A_M:
1954 if (user->type != DSP_REG_N)
1955 goto fail;
1956 if (user->reg != A_A0_NUM
1957 && user->reg != A_A1_NUM)
1958 goto fail;
1959 reg_m = user->reg;
1960 break;
1961
1962 case DSP_REG_AX:
1963 if (user->type != DSP_REG_N)
1964 goto fail;
1965 switch (user->reg)
1966 {
1967 case A_A0_NUM:
1968 reg_x = 0;
1969 break;
1970 case A_A1_NUM:
1971 reg_x = 2;
1972 break;
1973 case A_X0_NUM:
1974 reg_x = 1;
1975 break;
1976 case A_X1_NUM:
1977 reg_x = 3;
1978 break;
1979 default:
1980 goto fail;
1981 }
1982 break;
1983
1984 case DSP_REG_XY:
1985 if (user->type != DSP_REG_N)
1986 goto fail;
1987 switch (user->reg)
1988 {
1989 case A_X0_NUM:
1990 reg_x = 0;
1991 break;
1992 case A_X1_NUM:
1993 reg_x = 2;
1994 break;
1995 case A_Y0_NUM:
1996 reg_x = 1;
1997 break;
1998 case A_Y1_NUM:
1999 reg_x = 3;
2000 break;
2001 default:
2002 goto fail;
2003 }
2004 break;
2005
2006 case DSP_REG_AY:
2007 if (user->type != DSP_REG_N)
2008 goto fail;
2009 switch (user->reg)
2010 {
2011 case A_A0_NUM:
2012 reg_y = 0;
2013 break;
2014 case A_A1_NUM:
2015 reg_y = 1;
2016 break;
2017 case A_Y0_NUM:
2018 reg_y = 2;
2019 break;
2020 case A_Y1_NUM:
2021 reg_y = 3;
2022 break;
2023 default:
2024 goto fail;
2025 }
2026 break;
2027
2028 case DSP_REG_YX:
2029 if (user->type != DSP_REG_N)
2030 goto fail;
2031 switch (user->reg)
2032 {
2033 case A_Y0_NUM:
2034 reg_y = 0;
2035 break;
2036 case A_Y1_NUM:
2037 reg_y = 1;
2038 break;
2039 case A_X0_NUM:
2040 reg_y = 2;
2041 break;
2042 case A_X1_NUM:
2043 reg_y = 3;
2044 break;
2045 default:
2046 goto fail;
2047 }
2048 break;
2049
d4845d57
JR
2050 case DSP_REG_X:
2051 if (user->type != DSP_REG_N)
2052 goto fail;
2053 switch (user->reg)
2054 {
2055 case A_X0_NUM:
2056 reg_x = 0;
2057 break;
2058 case A_X1_NUM:
2059 reg_x = 1;
2060 break;
2061 case A_A0_NUM:
2062 reg_x = 2;
2063 break;
2064 case A_A1_NUM:
2065 reg_x = 3;
2066 break;
2067 default:
2068 goto fail;
2069 }
2070 break;
2071
2072 case DSP_REG_Y:
2073 if (user->type != DSP_REG_N)
2074 goto fail;
2075 switch (user->reg)
2076 {
2077 case A_Y0_NUM:
2078 reg_y = 0;
2079 break;
2080 case A_Y1_NUM:
2081 reg_y = 1;
2082 break;
2083 case A_M0_NUM:
2084 reg_y = 2;
2085 break;
2086 case A_M1_NUM:
2087 reg_y = 3;
2088 break;
2089 default:
2090 goto fail;
2091 }
2092 break;
2093
2094 case DSP_REG_E:
2095 if (user->type != DSP_REG_N)
2096 goto fail;
2097 switch (user->reg)
2098 {
2099 case A_X0_NUM:
2100 reg_efg = 0 << 10;
2101 break;
2102 case A_X1_NUM:
2103 reg_efg = 1 << 10;
2104 break;
2105 case A_Y0_NUM:
2106 reg_efg = 2 << 10;
2107 break;
2108 case A_A1_NUM:
2109 reg_efg = 3 << 10;
2110 break;
2111 default:
2112 goto fail;
2113 }
2114 break;
2115
2116 case DSP_REG_F:
2117 if (user->type != DSP_REG_N)
2118 goto fail;
2119 switch (user->reg)
2120 {
2121 case A_Y0_NUM:
2122 reg_efg |= 0 << 8;
2123 break;
2124 case A_Y1_NUM:
2125 reg_efg |= 1 << 8;
2126 break;
2127 case A_X0_NUM:
2128 reg_efg |= 2 << 8;
2129 break;
2130 case A_A1_NUM:
2131 reg_efg |= 3 << 8;
2132 break;
2133 default:
2134 goto fail;
2135 }
2136 break;
2137
2138 case DSP_REG_G:
2139 if (user->type != DSP_REG_N)
2140 goto fail;
2141 switch (user->reg)
2142 {
2143 case A_M0_NUM:
2144 reg_efg |= 0 << 2;
2145 break;
2146 case A_M1_NUM:
2147 reg_efg |= 1 << 2;
2148 break;
2149 case A_A0_NUM:
2150 reg_efg |= 2 << 2;
2151 break;
2152 case A_A1_NUM:
2153 reg_efg |= 3 << 2;
2154 break;
2155 default:
2156 goto fail;
2157 }
2158 break;
2159
2160 case A_A0:
2161 if (user->type != DSP_REG_N || user->reg != A_A0_NUM)
2162 goto fail;
2163 break;
2164 case A_X0:
2165 if (user->type != DSP_REG_N || user->reg != A_X0_NUM)
2166 goto fail;
2167 break;
2168 case A_X1:
2169 if (user->type != DSP_REG_N || user->reg != A_X1_NUM)
2170 goto fail;
2171 break;
2172 case A_Y0:
2173 if (user->type != DSP_REG_N || user->reg != A_Y0_NUM)
2174 goto fail;
2175 break;
2176 case A_Y1:
2177 if (user->type != DSP_REG_N || user->reg != A_Y1_NUM)
2178 goto fail;
2179 break;
2180
252b5132
RH
2181 case F_REG_M:
2182 case D_REG_M:
2183 case X_REG_M:
2184 case V_REG_M:
2185 case FPUL_M:
2186 case FPSCR_M:
2187 /* Opcode needs rn */
2188 if (user->type != arg - F_REG_M + F_REG_N)
2189 goto fail;
2190 reg_m = user->reg;
2191 break;
2192 case DX_REG_M:
2193 if (user->type != D_REG_N && user->type != X_REG_N)
2194 goto fail;
2195 reg_m = user->reg;
2196 break;
2197 case XMTRX_M4:
2198 if (user->type != XMTRX_M4)
2199 goto fail;
2200 reg_m = 4;
2201 break;
c4aa876b 2202
252b5132
RH
2203 default:
2204 printf (_("unhandled %d\n"), arg);
2205 goto fail;
2206 }
2207 }
f6f9408f 2208 if ( !SH_MERGE_ARCH_SET_VALID (valid_arch, this_try->arch))
a37c8f88 2209 goto fail;
f6f9408f 2210 valid_arch = SH_MERGE_ARCH_SET (valid_arch, this_try->arch);
252b5132 2211 return this_try;
c4aa876b
NC
2212 fail:
2213 ;
252b5132
RH
2214 }
2215
2216 return 0;
2217}
2218
252b5132 2219static void
8edc77b9 2220insert (char *where, int how, int pcrel, sh_operand_info *op)
252b5132
RH
2221{
2222 fix_new_exp (frag_now,
2223 where - frag_now->fr_literal,
2224 2,
015551fc 2225 &op->immediate,
252b5132
RH
2226 pcrel,
2227 how);
2228}
2229
1d70c7fb
AO
2230static void
2231insert4 (char * where, int how, int pcrel, sh_operand_info * op)
2232{
2233 fix_new_exp (frag_now,
2234 where - frag_now->fr_literal,
2235 4,
2236 & op->immediate,
2237 pcrel,
2238 how);
2239}
252b5132 2240static void
8edc77b9 2241build_relax (sh_opcode_info *opcode, sh_operand_info *op)
252b5132
RH
2242{
2243 int high_byte = target_big_endian ? 0 : 1;
2244 char *p;
2245
2246 if (opcode->arg[0] == A_BDISP8)
2247 {
2248 int what = (opcode->nibbles[1] & 4) ? COND_JUMP_DELAY : COND_JUMP;
2249 p = frag_var (rs_machine_dependent,
2250 md_relax_table[C (what, COND32)].rlx_length,
2251 md_relax_table[C (what, COND8)].rlx_length,
2252 C (what, 0),
015551fc
JR
2253 op->immediate.X_add_symbol,
2254 op->immediate.X_add_number,
252b5132
RH
2255 0);
2256 p[high_byte] = (opcode->nibbles[0] << 4) | (opcode->nibbles[1]);
2257 }
2258 else if (opcode->arg[0] == A_BDISP12)
2259 {
2260 p = frag_var (rs_machine_dependent,
2261 md_relax_table[C (UNCOND_JUMP, UNCOND32)].rlx_length,
2262 md_relax_table[C (UNCOND_JUMP, UNCOND12)].rlx_length,
2263 C (UNCOND_JUMP, 0),
015551fc
JR
2264 op->immediate.X_add_symbol,
2265 op->immediate.X_add_number,
252b5132
RH
2266 0);
2267 p[high_byte] = (opcode->nibbles[0] << 4);
2268 }
2269
2270}
2271
6b31947e 2272/* Insert ldrs & ldre with fancy relocations that relaxation can recognize. */
d67b5d6d 2273
015551fc 2274static char *
8edc77b9 2275insert_loop_bounds (char *output, sh_operand_info *operand)
015551fc
JR
2276{
2277 char *name;
2278 symbolS *end_sym;
2279
2280 /* Since the low byte of the opcode will be overwritten by the reloc, we
2281 can just stash the high byte into both bytes and ignore endianness. */
2282 output[0] = 0x8c;
2283 output[1] = 0x8c;
2284 insert (output, BFD_RELOC_SH_LOOP_START, 1, operand);
2285 insert (output, BFD_RELOC_SH_LOOP_END, 1, operand + 1);
2286
2287 if (sh_relax)
2288 {
2289 static int count = 0;
2290
2291 /* If the last loop insn is a two-byte-insn, it is in danger of being
2292 swapped with the insn after it. To prevent this, create a new
2293 symbol - complete with SH_LABEL reloc - after the last loop insn.
2294 If the last loop insn is four bytes long, the symbol will be
2295 right in the middle, but four byte insns are not swapped anyways. */
2296 /* A REPEAT takes 6 bytes. The SH has a 32 bit address space.
2297 Hence a 9 digit number should be enough to count all REPEATs. */
2298 name = alloca (11);
2299 sprintf (name, "_R%x", count++ & 0x3fffffff);
c4aa876b 2300 end_sym = symbol_new (name, undefined_section, 0, &zero_address_frag);
015551fc
JR
2301 /* Make this a local symbol. */
2302#ifdef OBJ_COFF
2303 SF_SET_LOCAL (end_sym);
2304#endif /* OBJ_COFF */
2305 symbol_table_insert (end_sym);
2306 end_sym->sy_value = operand[1].immediate;
2307 end_sym->sy_value.X_add_number += 2;
2308 fix_new (frag_now, frag_now_fix (), 2, end_sym, 0, 1, BFD_RELOC_SH_LABEL);
2309 }
2310
2311 output = frag_more (2);
2312 output[0] = 0x8e;
2313 output[1] = 0x8e;
2314 insert (output, BFD_RELOC_SH_LOOP_START, 1, operand);
2315 insert (output, BFD_RELOC_SH_LOOP_END, 1, operand + 1);
2316
2317 return frag_more (2);
2318}
2319
d67b5d6d 2320/* Now we know what sort of opcodes it is, let's build the bytes. */
6b31947e 2321
0d10e182 2322static unsigned int
8edc77b9 2323build_Mytes (sh_opcode_info *opcode, sh_operand_info *operand)
252b5132
RH
2324{
2325 int index;
1d70c7fb
AO
2326 char nbuf[8];
2327 char *output;
0d10e182 2328 unsigned int size = 2;
252b5132 2329 int low_byte = target_big_endian ? 1 : 0;
1d70c7fb
AO
2330 int max_index = 4;
2331
252b5132
RH
2332 nbuf[0] = 0;
2333 nbuf[1] = 0;
2334 nbuf[2] = 0;
2335 nbuf[3] = 0;
1d70c7fb
AO
2336 nbuf[4] = 0;
2337 nbuf[5] = 0;
2338 nbuf[6] = 0;
2339 nbuf[7] = 0;
2340
2341 if (SH_MERGE_ARCH_SET (opcode->arch, arch_op32))
2342 {
2343 output = frag_more (4);
2344 size = 4;
2345 max_index = 8;
2346 }
2347 else
2348 output = frag_more (2);
252b5132 2349
1d70c7fb 2350 for (index = 0; index < max_index; index++)
252b5132
RH
2351 {
2352 sh_nibble_type i = opcode->nibbles[index];
2353 if (i < 16)
2354 {
2355 nbuf[index] = i;
2356 }
2357 else
2358 {
2359 switch (i)
2360 {
2361 case REG_N:
6a5709a5 2362 case REG_N_D:
252b5132
RH
2363 nbuf[index] = reg_n;
2364 break;
2365 case REG_M:
2366 nbuf[index] = reg_m;
2367 break;
d4845d57
JR
2368 case SDT_REG_N:
2369 if (reg_n < 2 || reg_n > 5)
2370 as_bad (_("Invalid register: 'r%d'"), reg_n);
2371 nbuf[index] = (reg_n & 3) | 4;
2372 break;
252b5132
RH
2373 case REG_NM:
2374 nbuf[index] = reg_n | (reg_m >> 2);
2375 break;
c4aa876b 2376 case REG_B:
252b5132
RH
2377 nbuf[index] = reg_b | 0x08;
2378 break;
6a5709a5
JR
2379 case REG_N_B01:
2380 nbuf[index] = reg_n | 0x01;
2381 break;
1d70c7fb
AO
2382 case IMM0_3s:
2383 nbuf[index] |= 0x08;
2384 case IMM0_3c:
2385 insert (output + low_byte, BFD_RELOC_SH_IMM3, 0, operand);
2386 break;
2387 case IMM0_3Us:
2388 nbuf[index] |= 0x80;
2389 case IMM0_3Uc:
2390 insert (output + low_byte, BFD_RELOC_SH_IMM3U, 0, operand);
2391 break;
2392 case DISP0_12:
2393 insert (output + 2, BFD_RELOC_SH_DISP12, 0, operand);
2394 break;
2395 case DISP0_12BY2:
2396 insert (output + 2, BFD_RELOC_SH_DISP12BY2, 0, operand);
2397 break;
2398 case DISP0_12BY4:
2399 insert (output + 2, BFD_RELOC_SH_DISP12BY4, 0, operand);
2400 break;
2401 case DISP0_12BY8:
2402 insert (output + 2, BFD_RELOC_SH_DISP12BY8, 0, operand);
2403 break;
2404 case DISP1_12:
2405 insert (output + 2, BFD_RELOC_SH_DISP12, 0, operand+1);
2406 break;
2407 case DISP1_12BY2:
2408 insert (output + 2, BFD_RELOC_SH_DISP12BY2, 0, operand+1);
2409 break;
2410 case DISP1_12BY4:
2411 insert (output + 2, BFD_RELOC_SH_DISP12BY4, 0, operand+1);
2412 break;
2413 case DISP1_12BY8:
2414 insert (output + 2, BFD_RELOC_SH_DISP12BY8, 0, operand+1);
2415 break;
2416 case IMM0_20_4:
2417 break;
2418 case IMM0_20:
2419 insert4 (output, BFD_RELOC_SH_DISP20, 0, operand);
2420 break;
2421 case IMM0_20BY8:
2422 insert4 (output, BFD_RELOC_SH_DISP20BY8, 0, operand);
2423 break;
015551fc
JR
2424 case IMM0_4BY4:
2425 insert (output + low_byte, BFD_RELOC_SH_IMM4BY4, 0, operand);
2426 break;
2427 case IMM0_4BY2:
2428 insert (output + low_byte, BFD_RELOC_SH_IMM4BY2, 0, operand);
2429 break;
2430 case IMM0_4:
2431 insert (output + low_byte, BFD_RELOC_SH_IMM4, 0, operand);
2432 break;
2433 case IMM1_4BY4:
2434 insert (output + low_byte, BFD_RELOC_SH_IMM4BY4, 0, operand + 1);
2435 break;
2436 case IMM1_4BY2:
2437 insert (output + low_byte, BFD_RELOC_SH_IMM4BY2, 0, operand + 1);
252b5132 2438 break;
015551fc
JR
2439 case IMM1_4:
2440 insert (output + low_byte, BFD_RELOC_SH_IMM4, 0, operand + 1);
252b5132 2441 break;
015551fc
JR
2442 case IMM0_8BY4:
2443 insert (output + low_byte, BFD_RELOC_SH_IMM8BY4, 0, operand);
252b5132 2444 break;
015551fc
JR
2445 case IMM0_8BY2:
2446 insert (output + low_byte, BFD_RELOC_SH_IMM8BY2, 0, operand);
252b5132 2447 break;
015551fc
JR
2448 case IMM0_8:
2449 insert (output + low_byte, BFD_RELOC_SH_IMM8, 0, operand);
252b5132 2450 break;
015551fc
JR
2451 case IMM1_8BY4:
2452 insert (output + low_byte, BFD_RELOC_SH_IMM8BY4, 0, operand + 1);
252b5132 2453 break;
015551fc
JR
2454 case IMM1_8BY2:
2455 insert (output + low_byte, BFD_RELOC_SH_IMM8BY2, 0, operand + 1);
2456 break;
2457 case IMM1_8:
2458 insert (output + low_byte, BFD_RELOC_SH_IMM8, 0, operand + 1);
252b5132
RH
2459 break;
2460 case PCRELIMM_8BY4:
7679ead9
AO
2461 insert (output, BFD_RELOC_SH_PCRELIMM8BY4,
2462 operand->type != A_DISP_PC_ABS, operand);
252b5132
RH
2463 break;
2464 case PCRELIMM_8BY2:
7679ead9
AO
2465 insert (output, BFD_RELOC_SH_PCRELIMM8BY2,
2466 operand->type != A_DISP_PC_ABS, operand);
015551fc
JR
2467 break;
2468 case REPEAT:
2469 output = insert_loop_bounds (output, operand);
2470 nbuf[index] = opcode->nibbles[3];
2471 operand += 2;
252b5132
RH
2472 break;
2473 default:
2474 printf (_("failed for %d\n"), i);
2475 }
2476 }
2477 }
c4aa876b
NC
2478 if (!target_big_endian)
2479 {
2480 output[1] = (nbuf[0] << 4) | (nbuf[1]);
2481 output[0] = (nbuf[2] << 4) | (nbuf[3]);
2482 }
2483 else
2484 {
2485 output[0] = (nbuf[0] << 4) | (nbuf[1]);
2486 output[1] = (nbuf[2] << 4) | (nbuf[3]);
2487 }
1d70c7fb
AO
2488 if (SH_MERGE_ARCH_SET (opcode->arch, arch_op32))
2489 {
2490 if (!target_big_endian)
2491 {
2492 output[3] = (nbuf[4] << 4) | (nbuf[5]);
2493 output[2] = (nbuf[6] << 4) | (nbuf[7]);
2494 }
2495 else
2496 {
2497 output[2] = (nbuf[4] << 4) | (nbuf[5]);
2498 output[3] = (nbuf[6] << 4) | (nbuf[7]);
2499 }
2500 }
0d10e182 2501 return size;
252b5132
RH
2502}
2503
d4845d57
JR
2504/* Find an opcode at the start of *STR_P in the hash table, and set
2505 *STR_P to the first character after the last one read. */
252b5132 2506
d4845d57 2507static sh_opcode_info *
8edc77b9 2508find_cooked_opcode (char **str_p)
252b5132 2509{
d4845d57 2510 char *str = *str_p;
252b5132
RH
2511 unsigned char *op_start;
2512 unsigned char *op_end;
252b5132
RH
2513 char name[20];
2514 int nlen = 0;
c4aa876b 2515
6b31947e 2516 /* Drop leading whitespace. */
252b5132
RH
2517 while (*str == ' ')
2518 str++;
2519
d4845d57
JR
2520 /* Find the op code end.
2521 The pre-processor will eliminate whitespace in front of
2522 any '@' after the first argument; we may be called from
2523 assemble_ppi, so the opcode might be terminated by an '@'. */
2132e3a3 2524 for (op_start = op_end = (unsigned char *) str;
252b5132
RH
2525 *op_end
2526 && nlen < 20
d4845d57 2527 && !is_end_of_line[*op_end] && *op_end != ' ' && *op_end != '@';
252b5132
RH
2528 op_end++)
2529 {
2530 unsigned char c = op_start[nlen];
2531
2532 /* The machine independent code will convert CMP/EQ into cmp/EQ
d4845d57
JR
2533 because it thinks the '/' is the end of the symbol. Moreover,
2534 all but the first sub-insn is a parallel processing insn won't
3882b010 2535 be capitalized. Instead of hacking up the machine independent
d4845d57 2536 code, we just deal with it here. */
3882b010 2537 c = TOLOWER (c);
252b5132
RH
2538 name[nlen] = c;
2539 nlen++;
2540 }
c4aa876b 2541
252b5132 2542 name[nlen] = 0;
2132e3a3 2543 *str_p = (char *) op_end;
252b5132
RH
2544
2545 if (nlen == 0)
6b31947e 2546 as_bad (_("can't find opcode "));
252b5132 2547
d4845d57
JR
2548 return (sh_opcode_info *) hash_find (opcode_hash_control, name);
2549}
2550
2551/* Assemble a parallel processing insn. */
2552#define DDT_BASE 0xf000 /* Base value for double data transfer insns */
6b31947e 2553
0d10e182 2554static unsigned int
8edc77b9 2555assemble_ppi (char *op_end, sh_opcode_info *opcode)
d4845d57
JR
2556{
2557 int movx = 0;
2558 int movy = 0;
2559 int cond = 0;
2560 int field_b = 0;
2561 char *output;
2562 int move_code;
0d10e182 2563 unsigned int size;
d4845d57 2564
d4845d57
JR
2565 for (;;)
2566 {
2567 sh_operand_info operand[3];
2568
ac62e7a3
JR
2569 /* Some insn ignore one or more register fields, e.g. psts machl,a0.
2570 Make sure we encode a defined insn pattern. */
2571 reg_x = 0;
2572 reg_y = 0;
2573 reg_n = 0;
2574
d4845d57
JR
2575 if (opcode->arg[0] != A_END)
2576 op_end = get_operands (opcode, op_end, operand);
88da98f3 2577 try_another_opcode:
d4845d57
JR
2578 opcode = get_specific (opcode, operand);
2579 if (opcode == 0)
2580 {
6b31947e 2581 /* Couldn't find an opcode which matched the operands. */
d4845d57 2582 char *where = frag_more (2);
0d10e182 2583 size = 2;
d4845d57
JR
2584
2585 where[0] = 0x0;
2586 where[1] = 0x0;
2587 as_bad (_("invalid operands for opcode"));
0d10e182 2588 return size;
d4845d57 2589 }
c4aa876b 2590
d4845d57
JR
2591 if (opcode->nibbles[0] != PPI)
2592 as_bad (_("insn can't be combined with parallel processing insn"));
2593
2594 switch (opcode->nibbles[1])
2595 {
2596
2597 case NOPX:
2598 if (movx)
2599 as_bad (_("multiple movx specifications"));
2600 movx = DDT_BASE;
2601 break;
2602 case NOPY:
2603 if (movy)
2604 as_bad (_("multiple movy specifications"));
2605 movy = DDT_BASE;
2606 break;
2607
88da98f3
MS
2608 case MOVX_NOPY:
2609 if (movx)
2610 as_bad (_("multiple movx specifications"));
2611 if ((reg_n < 4 || reg_n > 5)
2612 && (reg_n < 0 || reg_n > 1))
2613 as_bad (_("invalid movx address register"));
2614 if (movy && movy != DDT_BASE)
2615 as_bad (_("insn cannot be combined with non-nopy"));
2616 movx = ((((reg_n & 1) != 0) << 9)
2617 + (((reg_n & 4) == 0) << 8)
2618 + (reg_x << 6)
2619 + (opcode->nibbles[2] << 4)
2620 + opcode->nibbles[3]
2621 + DDT_BASE);
2622 break;
2623
2624 case MOVY_NOPX:
2625 if (movy)
2626 as_bad (_("multiple movy specifications"));
2627 if ((reg_n < 6 || reg_n > 7)
2628 && (reg_n < 2 || reg_n > 3))
2629 as_bad (_("invalid movy address register"));
2630 if (movx && movx != DDT_BASE)
2631 as_bad (_("insn cannot be combined with non-nopx"));
2632 movy = ((((reg_n & 1) != 0) << 8)
2633 + (((reg_n & 4) == 0) << 9)
2634 + (reg_y << 6)
2635 + (opcode->nibbles[2] << 4)
2636 + opcode->nibbles[3]
2637 + DDT_BASE);
2638 break;
2639
d4845d57
JR
2640 case MOVX:
2641 if (movx)
2642 as_bad (_("multiple movx specifications"));
88da98f3
MS
2643 if (movy & 0x2ac)
2644 as_bad (_("previous movy requires nopx"));
d4845d57
JR
2645 if (reg_n < 4 || reg_n > 5)
2646 as_bad (_("invalid movx address register"));
2647 if (opcode->nibbles[2] & 8)
2648 {
2649 if (reg_m == A_A1_NUM)
2650 movx = 1 << 7;
2651 else if (reg_m != A_A0_NUM)
2652 as_bad (_("invalid movx dsp register"));
2653 }
2654 else
2655 {
2656 if (reg_x > 1)
2657 as_bad (_("invalid movx dsp register"));
2658 movx = reg_x << 7;
2659 }
2660 movx += ((reg_n - 4) << 9) + (opcode->nibbles[2] << 2) + DDT_BASE;
2661 break;
2662
2663 case MOVY:
2664 if (movy)
2665 as_bad (_("multiple movy specifications"));
88da98f3
MS
2666 if (movx & 0x153)
2667 as_bad (_("previous movx requires nopy"));
d4845d57
JR
2668 if (opcode->nibbles[2] & 8)
2669 {
2670 /* Bit 3 in nibbles[2] is intended for bit 4 of the opcode,
2671 so add 8 more. */
2672 movy = 8;
2673 if (reg_m == A_A1_NUM)
2674 movy += 1 << 6;
2675 else if (reg_m != A_A0_NUM)
2676 as_bad (_("invalid movy dsp register"));
2677 }
2678 else
2679 {
2680 if (reg_y > 1)
2681 as_bad (_("invalid movy dsp register"));
2682 movy = reg_y << 6;
2683 }
2684 if (reg_n < 6 || reg_n > 7)
2685 as_bad (_("invalid movy address register"));
2686 movy += ((reg_n - 6) << 8) + opcode->nibbles[2] + DDT_BASE;
2687 break;
2688
2689 case PSH:
015551fc 2690 if (operand[0].immediate.X_op != O_constant)
d4845d57
JR
2691 as_bad (_("dsp immediate shift value not constant"));
2692 field_b = ((opcode->nibbles[2] << 12)
015551fc 2693 | (operand[0].immediate.X_add_number & 127) << 4
d4845d57
JR
2694 | reg_n);
2695 break;
88da98f3
MS
2696 case PPI3NC:
2697 if (cond)
2698 {
2699 opcode++;
2700 goto try_another_opcode;
2701 }
2702 /* Fall through. */
d4845d57
JR
2703 case PPI3:
2704 if (field_b)
2705 as_bad (_("multiple parallel processing specifications"));
2706 field_b = ((opcode->nibbles[2] << 12) + (opcode->nibbles[3] << 8)
2707 + (reg_x << 6) + (reg_y << 4) + reg_n);
88da98f3
MS
2708 switch (opcode->nibbles[4])
2709 {
2710 case HEX_0:
2711 case HEX_XX00:
2712 case HEX_00YY:
2713 break;
2714 case HEX_1:
2715 case HEX_4:
2716 field_b += opcode->nibbles[4] << 4;
2717 break;
2718 default:
2719 abort ();
2720 }
d4845d57
JR
2721 break;
2722 case PDC:
2723 if (cond)
2724 as_bad (_("multiple condition specifications"));
2725 cond = opcode->nibbles[2] << 8;
2726 if (*op_end)
2727 goto skip_cond_check;
2728 break;
2729 case PPIC:
2730 if (field_b)
2731 as_bad (_("multiple parallel processing specifications"));
2732 field_b = ((opcode->nibbles[2] << 12) + (opcode->nibbles[3] << 8)
2733 + cond + (reg_x << 6) + (reg_y << 4) + reg_n);
2734 cond = 0;
88da98f3
MS
2735 switch (opcode->nibbles[4])
2736 {
2737 case HEX_0:
2738 case HEX_XX00:
2739 case HEX_00YY:
2740 break;
2741 case HEX_1:
2742 case HEX_4:
2743 field_b += opcode->nibbles[4] << 4;
2744 break;
2745 default:
2746 abort ();
2747 }
d4845d57
JR
2748 break;
2749 case PMUL:
2750 if (field_b)
2751 {
88da98f3
MS
2752 if ((field_b & 0xef00) == 0xa100)
2753 field_b -= 0x8100;
2754 /* pclr Dz pmuls Se,Sf,Dg */
2755 else if ((field_b & 0xff00) == 0x8d00
f6f9408f 2756 && (SH_MERGE_ARCH_SET_VALID (valid_arch, arch_sh4al_dsp_up)))
88da98f3 2757 {
f6f9408f 2758 valid_arch = SH_MERGE_ARCH_SET (valid_arch, arch_sh4al_dsp_up);
88da98f3
MS
2759 field_b -= 0x8cf0;
2760 }
2761 else
d4845d57 2762 as_bad (_("insn cannot be combined with pmuls"));
d4845d57
JR
2763 switch (field_b & 0xf)
2764 {
2765 case A_X0_NUM:
2766 field_b += 0 - A_X0_NUM;
2767 break;
2768 case A_Y0_NUM:
2769 field_b += 1 - A_Y0_NUM;
2770 break;
2771 case A_A0_NUM:
2772 field_b += 2 - A_A0_NUM;
2773 break;
2774 case A_A1_NUM:
2775 field_b += 3 - A_A1_NUM;
2776 break;
2777 default:
88da98f3 2778 as_bad (_("bad combined pmuls output operand"));
d4845d57 2779 }
7dd04abd
JR
2780 /* Generate warning if the destination register for padd / psub
2781 and pmuls is the same ( only for A0 or A1 ).
2782 If the last nibble is 1010 then A0 is used in both
2783 padd / psub and pmuls. If it is 1111 then A1 is used
2784 as destination register in both padd / psub and pmuls. */
5db33d76
JR
2785
2786 if ((((field_b | reg_efg) & 0x000F) == 0x000A)
2787 || (((field_b | reg_efg) & 0x000F) == 0x000F))
2788 as_warn (_("destination register is same for parallel insns"));
d4845d57
JR
2789 }
2790 field_b += 0x4000 + reg_efg;
2791 break;
2792 default:
2793 abort ();
2794 }
2795 if (cond)
2796 {
2797 as_bad (_("condition not followed by conditionalizable insn"));
2798 cond = 0;
2799 }
2800 if (! *op_end)
2801 break;
2802 skip_cond_check:
2803 opcode = find_cooked_opcode (&op_end);
2804 if (opcode == NULL)
2805 {
2806 (as_bad
2807 (_("unrecognized characters at end of parallel processing insn")));
2808 break;
2809 }
2810 }
2811
2812 move_code = movx | movy;
2813 if (field_b)
2814 {
2815 /* Parallel processing insn. */
2816 unsigned long ppi_code = (movx | movy | 0xf800) << 16 | field_b;
2817
2818 output = frag_more (4);
0d10e182 2819 size = 4;
d4845d57
JR
2820 if (! target_big_endian)
2821 {
2822 output[3] = ppi_code >> 8;
2823 output[2] = ppi_code;
2824 }
2825 else
2826 {
2827 output[2] = ppi_code >> 8;
2828 output[3] = ppi_code;
2829 }
2830 move_code |= 0xf800;
2831 }
2832 else
0d10e182
JL
2833 {
2834 /* Just a double data transfer. */
2835 output = frag_more (2);
2836 size = 2;
2837 }
d4845d57
JR
2838 if (! target_big_endian)
2839 {
2840 output[1] = move_code >> 8;
2841 output[0] = move_code;
2842 }
2843 else
2844 {
2845 output[0] = move_code >> 8;
2846 output[1] = move_code;
2847 }
0d10e182 2848 return size;
d4845d57
JR
2849}
2850
2851/* This is the guts of the machine-dependent assembler. STR points to a
2852 machine dependent instruction. This function is supposed to emit
6b31947e 2853 the frags/bytes it assembles to. */
d4845d57
JR
2854
2855void
8edc77b9 2856md_assemble (char *str)
d4845d57 2857{
2132e3a3 2858 char *op_end;
d4845d57
JR
2859 sh_operand_info operand[3];
2860 sh_opcode_info *opcode;
dda5ecfc 2861 unsigned int size = 0;
ae51a426 2862 char *initial_str = str;
d4845d57 2863
324bfcf3
AO
2864#ifdef HAVE_SH64
2865 if (sh64_isa_mode == sh64_isa_shmedia)
2866 {
2867 shmedia_md_assemble (str);
2868 return;
2869 }
2870 else
2871 {
2872 /* If we've seen pseudo-directives, make sure any emitted data or
2873 frags are marked as data. */
b34976b6 2874 if (!seen_insn)
324bfcf3 2875 {
b34976b6 2876 sh64_update_contents_mark (TRUE);
324bfcf3
AO
2877 sh64_set_contents_type (CRT_SH5_ISA16);
2878 }
2879
b34976b6 2880 seen_insn = TRUE;
324bfcf3
AO
2881 }
2882#endif /* HAVE_SH64 */
2883
d4845d57
JR
2884 opcode = find_cooked_opcode (&str);
2885 op_end = str;
252b5132
RH
2886
2887 if (opcode == NULL)
2888 {
ae51a426 2889 /* The opcode is not in the hash table.
708587a4 2890 This means we definitely have an assembly failure,
ae51a426
JR
2891 but the instruction may be valid in another CPU variant.
2892 In this case emit something better than 'unknown opcode'.
2893 Search the full table in sh-opc.h to check. */
2894
2895 char *name = initial_str;
2896 int name_length = 0;
2897 const sh_opcode_info *op;
2898 int found = 0;
2899
2900 /* identify opcode in string */
871ec896 2901 while (ISSPACE (*name))
ae51a426
JR
2902 {
2903 name++;
2904 }
871ec896 2905 while (!ISSPACE (name[name_length]))
ae51a426
JR
2906 {
2907 name_length++;
2908 }
2909
2910 /* search for opcode in full list */
2911 for (op = sh_table; op->name; op++)
2912 {
f6f9408f
JR
2913 if (strncasecmp (op->name, name, name_length) == 0
2914 && op->name[name_length] == '\0')
ae51a426
JR
2915 {
2916 found = 1;
2917 break;
2918 }
2919 }
2920
2921 if ( found )
2922 {
2923 as_bad (_("opcode not valid for this cpu variant"));
2924 }
2925 else
2926 {
2927 as_bad (_("unknown opcode"));
2928 }
252b5132
RH
2929 return;
2930 }
2931
2932 if (sh_relax
2933 && ! seg_info (now_seg)->tc_segment_info_data.in_code)
2934 {
2935 /* Output a CODE reloc to tell the linker that the following
2936 bytes are instructions, not data. */
2937 fix_new (frag_now, frag_now_fix (), 2, &abs_symbol, 0, 0,
2938 BFD_RELOC_SH_CODE);
2939 seg_info (now_seg)->tc_segment_info_data.in_code = 1;
2940 }
2941
d4845d57
JR
2942 if (opcode->nibbles[0] == PPI)
2943 {
0d10e182 2944 size = assemble_ppi (op_end, opcode);
252b5132
RH
2945 }
2946 else
2947 {
0d10e182
JL
2948 if (opcode->arg[0] == A_BDISP12
2949 || opcode->arg[0] == A_BDISP8)
252b5132 2950 {
26c9b704
JR
2951 /* Since we skip get_specific here, we have to check & update
2952 valid_arch now. */
f6f9408f
JR
2953 if (SH_MERGE_ARCH_SET_VALID (valid_arch, opcode->arch))
2954 valid_arch = SH_MERGE_ARCH_SET (valid_arch, opcode->arch);
26c9b704
JR
2955 else
2956 as_bad (_("Delayed branches not available on SH1"));
0d10e182
JL
2957 parse_exp (op_end + 1, &operand[0]);
2958 build_relax (opcode, &operand[0]);
86157c20
AS
2959
2960 /* All branches are currently 16 bit. */
2961 size = 2;
5fc44b2d
JR
2962 }
2963 else
2964 {
0d10e182
JL
2965 if (opcode->arg[0] == A_END)
2966 {
2967 /* Ignore trailing whitespace. If there is any, it has already
2968 been compressed to a single space. */
2969 if (*op_end == ' ')
2970 op_end++;
2971 }
2972 else
2973 {
2974 op_end = get_operands (opcode, op_end, operand);
2975 }
2976 opcode = get_specific (opcode, operand);
252b5132 2977
0d10e182
JL
2978 if (opcode == 0)
2979 {
2980 /* Couldn't find an opcode which matched the operands. */
2981 char *where = frag_more (2);
2982 size = 2;
252b5132 2983
0d10e182
JL
2984 where[0] = 0x0;
2985 where[1] = 0x0;
2986 as_bad (_("invalid operands for opcode"));
2987 }
2988 else
2989 {
2990 if (*op_end)
2991 as_bad (_("excess operands: '%s'"), op_end);
2992
2993 size = build_Mytes (opcode, operand);
2994 }
252b5132 2995 }
0d10e182 2996 }
252b5132 2997
4dc7ead9 2998 dwarf2_emit_insn (size);
252b5132
RH
2999}
3000
3001/* This routine is called each time a label definition is seen. It
3002 emits a BFD_RELOC_SH_LABEL reloc if necessary. */
3003
3004void
07a53e5c 3005sh_frob_label (symbolS *sym)
252b5132
RH
3006{
3007 static fragS *last_label_frag;
3008 static int last_label_offset;
3009
3010 if (sh_relax
3011 && seg_info (now_seg)->tc_segment_info_data.in_code)
3012 {
3013 int offset;
3014
3015 offset = frag_now_fix ();
3016 if (frag_now != last_label_frag
3017 || offset != last_label_offset)
c4aa876b 3018 {
252b5132
RH
3019 fix_new (frag_now, offset, 2, &abs_symbol, 0, 0, BFD_RELOC_SH_LABEL);
3020 last_label_frag = frag_now;
3021 last_label_offset = offset;
3022 }
3023 }
07a53e5c
RH
3024
3025 dwarf2_emit_label (sym);
252b5132
RH
3026}
3027
3028/* This routine is called when the assembler is about to output some
3029 data. It emits a BFD_RELOC_SH_DATA reloc if necessary. */
3030
3031void
8edc77b9 3032sh_flush_pending_output (void)
252b5132
RH
3033{
3034 if (sh_relax
3035 && seg_info (now_seg)->tc_segment_info_data.in_code)
3036 {
3037 fix_new (frag_now, frag_now_fix (), 2, &abs_symbol, 0, 0,
3038 BFD_RELOC_SH_DATA);
3039 seg_info (now_seg)->tc_segment_info_data.in_code = 0;
3040 }
3041}
3042
3043symbolS *
8edc77b9 3044md_undefined_symbol (char *name ATTRIBUTE_UNUSED)
252b5132
RH
3045{
3046 return 0;
3047}
3048
6b31947e
NC
3049/* Various routines to kill one day. */
3050/* Equal to MAX_PRECISION in atof-ieee.c. */
252b5132
RH
3051#define MAX_LITTLENUMS 6
3052
6b31947e
NC
3053/* Turn a string in input_line_pointer into a floating point constant
3054 of type TYPE, and store the appropriate bytes in *LITP. The number
3055 of LITTLENUMS emitted is stored in *SIZEP . An error message is
3056 returned, or NULL on OK. */
3057
252b5132 3058char *
8edc77b9 3059md_atof (int type, char *litP, int *sizeP)
252b5132
RH
3060{
3061 int prec;
3062 LITTLENUM_TYPE words[4];
3063 char *t;
3064 int i;
3065
3066 switch (type)
3067 {
3068 case 'f':
3069 prec = 2;
3070 break;
3071
3072 case 'd':
3073 prec = 4;
3074 break;
3075
3076 default:
3077 *sizeP = 0;
3078 return _("bad call to md_atof");
3079 }
3080
3081 t = atof_ieee (input_line_pointer, type, words);
3082 if (t)
3083 input_line_pointer = t;
3084
3085 *sizeP = prec * 2;
3086
3087 if (! target_big_endian)
3088 {
3089 for (i = prec - 1; i >= 0; i--)
3090 {
3091 md_number_to_chars (litP, (valueT) words[i], 2);
3092 litP += 2;
3093 }
3094 }
3095 else
3096 {
3097 for (i = 0; i < prec; i++)
3098 {
3099 md_number_to_chars (litP, (valueT) words[i], 2);
3100 litP += 2;
3101 }
3102 }
c4aa876b 3103
252b5132
RH
3104 return NULL;
3105}
3106
3107/* Handle the .uses pseudo-op. This pseudo-op is used just before a
3108 call instruction. It refers to a label of the instruction which
3109 loads the register which the call uses. We use it to generate a
3110 special reloc for the linker. */
3111
3112static void
8edc77b9 3113s_uses (int ignore ATTRIBUTE_UNUSED)
252b5132
RH
3114{
3115 expressionS ex;
3116
3117 if (! sh_relax)
3118 as_warn (_(".uses pseudo-op seen when not relaxing"));
3119
3120 expression (&ex);
3121
3122 if (ex.X_op != O_symbol || ex.X_add_number != 0)
3123 {
3124 as_bad (_("bad .uses format"));
3125 ignore_rest_of_line ();
3126 return;
3127 }
3128
3129 fix_new_exp (frag_now, frag_now_fix (), 2, &ex, 1, BFD_RELOC_SH_USES);
3130
3131 demand_empty_rest_of_line ();
3132}
3133\f
37dedf66
NC
3134enum options
3135{
3136 OPTION_RELAX = OPTION_MD_BASE,
3137 OPTION_BIG,
3138 OPTION_LITTLE,
3139 OPTION_SMALL,
3140 OPTION_DSP,
3141 OPTION_ISA,
3142 OPTION_RENESAS,
3143 OPTION_ALLOW_REG_PREFIX,
3144#ifdef HAVE_SH64
3145 OPTION_ABI,
3146 OPTION_NO_MIX,
3147 OPTION_SHCOMPACT_CONST_CRANGE,
3148 OPTION_NO_EXPAND,
3149 OPTION_PT32,
3150#endif
3151 OPTION_DUMMY /* Not used. This is just here to make it easy to add and subtract options from this enum. */
3152};
3153
5a38dc70 3154const char *md_shortopts = "";
6b31947e
NC
3155struct option md_longopts[] =
3156{
252b5132 3157 {"relax", no_argument, NULL, OPTION_RELAX},
05982cac 3158 {"big", no_argument, NULL, OPTION_BIG},
252b5132 3159 {"little", no_argument, NULL, OPTION_LITTLE},
784906c5
NC
3160 /* The next two switches are here because the
3161 generic parts of the linker testsuite uses them. */
3162 {"EB", no_argument, NULL, OPTION_BIG},
3163 {"EL", no_argument, NULL, OPTION_LITTLE},
252b5132 3164 {"small", no_argument, NULL, OPTION_SMALL},
d4845d57 3165 {"dsp", no_argument, NULL, OPTION_DSP},
37dedf66 3166 {"isa", required_argument, NULL, OPTION_ISA},
f55629b8 3167 {"renesas", no_argument, NULL, OPTION_RENESAS},
37dedf66 3168 {"allow-reg-prefix", no_argument, NULL, OPTION_ALLOW_REG_PREFIX},
f55629b8 3169
324bfcf3 3170#ifdef HAVE_SH64
324bfcf3
AO
3171 {"abi", required_argument, NULL, OPTION_ABI},
3172 {"no-mix", no_argument, NULL, OPTION_NO_MIX},
3173 {"shcompact-const-crange", no_argument, NULL, OPTION_SHCOMPACT_CONST_CRANGE},
3174 {"no-expand", no_argument, NULL, OPTION_NO_EXPAND},
3175 {"expand-pt32", no_argument, NULL, OPTION_PT32},
3176#endif /* HAVE_SH64 */
3177
252b5132
RH
3178 {NULL, no_argument, NULL, 0}
3179};
c4aa876b 3180size_t md_longopts_size = sizeof (md_longopts);
252b5132
RH
3181
3182int
8edc77b9 3183md_parse_option (int c, char *arg ATTRIBUTE_UNUSED)
252b5132
RH
3184{
3185 switch (c)
3186 {
3187 case OPTION_RELAX:
3188 sh_relax = 1;
3189 break;
3190
05982cac
HPN
3191 case OPTION_BIG:
3192 target_big_endian = 1;
3193 break;
3194
252b5132 3195 case OPTION_LITTLE:
252b5132
RH
3196 target_big_endian = 0;
3197 break;
3198
3199 case OPTION_SMALL:
3200 sh_small = 1;
3201 break;
3202
d4845d57 3203 case OPTION_DSP:
e38bc3b5 3204 preset_target_arch = arch_sh_up & ~(arch_sh_sp_fpu|arch_sh_dp_fpu);
d4845d57
JR
3205 break;
3206
f55629b8
KK
3207 case OPTION_RENESAS:
3208 dont_adjust_reloc_32 = 1;
3209 break;
3210
37dedf66
NC
3211 case OPTION_ALLOW_REG_PREFIX:
3212 allow_dollar_register_prefix = 1;
3213 break;
3214
324bfcf3 3215 case OPTION_ISA:
871ec896 3216 if (strcasecmp (arg, "dsp") == 0)
e38bc3b5 3217 preset_target_arch = arch_sh_up & ~(arch_sh_sp_fpu|arch_sh_dp_fpu);
88da98f3 3218 else if (strcasecmp (arg, "fp") == 0)
e38bc3b5 3219 preset_target_arch = arch_sh_up & ~arch_sh_has_dsp;
bdfaef52 3220 else if (strcasecmp (arg, "any") == 0)
e38bc3b5 3221 preset_target_arch = arch_sh_up;
bdfaef52
JR
3222#ifdef HAVE_SH64
3223 else if (strcasecmp (arg, "shmedia") == 0)
324bfcf3
AO
3224 {
3225 if (sh64_isa_mode == sh64_isa_shcompact)
3226 as_bad (_("Invalid combination: --isa=SHcompact with --isa=SHmedia"));
3227 sh64_isa_mode = sh64_isa_shmedia;
3228 }
3229 else if (strcasecmp (arg, "shcompact") == 0)
3230 {
3231 if (sh64_isa_mode == sh64_isa_shmedia)
3232 as_bad (_("Invalid combination: --isa=SHmedia with --isa=SHcompact"));
3233 if (sh64_abi == sh64_abi_64)
3234 as_bad (_("Invalid combination: --abi=64 with --isa=SHcompact"));
3235 sh64_isa_mode = sh64_isa_shcompact;
3236 }
bdfaef52 3237#endif /* HAVE_SH64 */
324bfcf3 3238 else
f6f9408f
JR
3239 {
3240 extern const bfd_arch_info_type bfd_sh_arch;
871ec896 3241 bfd_arch_info_type const *bfd_arch = &bfd_sh_arch;
37dedf66 3242
f6f9408f
JR
3243 preset_target_arch = 0;
3244 for (; bfd_arch; bfd_arch=bfd_arch->next)
3245 {
3246 int len = strlen(bfd_arch->printable_name);
3247
3248 if (bfd_arch->mach == bfd_mach_sh5)
3249 continue;
3250
3251 if (strncasecmp (bfd_arch->printable_name, arg, len) != 0)
3252 continue;
3253
3254 if (arg[len] == '\0')
3255 preset_target_arch =
3256 sh_get_arch_from_bfd_mach (bfd_arch->mach);
3257 else if (strcasecmp(&arg[len], "-up") == 0)
3258 preset_target_arch =
3259 sh_get_arch_up_from_bfd_mach (bfd_arch->mach);
3260 else
3261 continue;
3262 break;
3263 }
3264
3265 if (!preset_target_arch)
3266 as_bad ("Invalid argument to --isa option: %s", arg);
3267 }
324bfcf3
AO
3268 break;
3269
bdfaef52 3270#ifdef HAVE_SH64
324bfcf3
AO
3271 case OPTION_ABI:
3272 if (strcmp (arg, "32") == 0)
3273 {
3274 if (sh64_abi == sh64_abi_64)
3275 as_bad (_("Invalid combination: --abi=32 with --abi=64"));
3276 sh64_abi = sh64_abi_32;
3277 }
3278 else if (strcmp (arg, "64") == 0)
3279 {
3280 if (sh64_abi == sh64_abi_32)
3281 as_bad (_("Invalid combination: --abi=64 with --abi=32"));
3282 if (sh64_isa_mode == sh64_isa_shcompact)
3283 as_bad (_("Invalid combination: --isa=SHcompact with --abi=64"));
3284 sh64_abi = sh64_abi_64;
3285 }
3286 else
3287 as_bad ("Invalid argument to --abi option: %s", arg);
3288 break;
3289
3290 case OPTION_NO_MIX:
b34976b6 3291 sh64_mix = FALSE;
324bfcf3
AO
3292 break;
3293
3294 case OPTION_SHCOMPACT_CONST_CRANGE:
b34976b6 3295 sh64_shcompact_const_crange = TRUE;
324bfcf3
AO
3296 break;
3297
3298 case OPTION_NO_EXPAND:
b34976b6 3299 sh64_expand = FALSE;
324bfcf3
AO
3300 break;
3301
3302 case OPTION_PT32:
b34976b6 3303 sh64_pt32 = TRUE;
324bfcf3
AO
3304 break;
3305#endif /* HAVE_SH64 */
3306
252b5132
RH
3307 default:
3308 return 0;
3309 }
3310
3311 return 1;
3312}
3313
3314void
8edc77b9 3315md_show_usage (FILE *stream)
252b5132 3316{
c4aa876b 3317 fprintf (stream, _("\
252b5132 3318SH options:\n\
37dedf66
NC
3319--little generate little endian code\n\
3320--big generate big endian code\n\
3321--relax alter jump instructions for long displacements\n\
3322--renesas disable optimization with section symbol for\n\
f55629b8 3323 compatibility with Renesas assembler.\n\
37dedf66
NC
3324--small align sections to 4 byte boundaries, not 16\n\
3325--dsp enable sh-dsp insns, and disable floating-point ISAs.\n\
3326--allow-reg-prefix allow '$' as a register name prefix.\n\
3327--isa=[any use most appropriate isa\n\
ae51a426 3328 | dsp same as '-dsp'\n\
f6f9408f
JR
3329 | fp"));
3330 {
3331 extern const bfd_arch_info_type bfd_sh_arch;
871ec896 3332 bfd_arch_info_type const *bfd_arch = &bfd_sh_arch;
37dedf66 3333
f6f9408f
JR
3334 for (; bfd_arch; bfd_arch=bfd_arch->next)
3335 if (bfd_arch->mach != bfd_mach_sh5)
3336 {
3337 fprintf (stream, "\n | %s", bfd_arch->printable_name);
3338 fprintf (stream, "\n | %s-up", bfd_arch->printable_name);
3339 }
3340 }
3341 fprintf (stream, "]\n");
ae51a426
JR
3342#ifdef HAVE_SH64
3343 fprintf (stream, _("\
37dedf66 3344--isa=[shmedia set as the default instruction set for SH64\n\
88da98f3
MS
3345 | SHmedia\n\
3346 | shcompact\n\
ae51a426 3347 | SHcompact]\n"));
324bfcf3 3348 fprintf (stream, _("\
37dedf66 3349--abi=[32|64] set size of expanded SHmedia operands and object\n\
324bfcf3 3350 file type\n\
37dedf66 3351--shcompact-const-crange emit code-range descriptors for constants in\n\
324bfcf3 3352 SHcompact code sections\n\
37dedf66 3353--no-mix disallow SHmedia code in the same section as\n\
324bfcf3 3354 constants and SHcompact code\n\
37dedf66
NC
3355--no-expand do not expand MOVI, PT, PTA or PTB instructions\n\
3356--expand-pt32 with -abi=64, expand PT, PTA and PTB instructions\n\
2acb89ed 3357 to 32 bits only\n"));
324bfcf3 3358#endif /* HAVE_SH64 */
252b5132
RH
3359}
3360\f
252b5132
RH
3361/* This struct is used to pass arguments to sh_count_relocs through
3362 bfd_map_over_sections. */
3363
3364struct sh_count_relocs
3365{
3366 /* Symbol we are looking for. */
3367 symbolS *sym;
3368 /* Count of relocs found. */
3369 int count;
3370};
3371
3372/* Count the number of fixups in a section which refer to a particular
7be1c489 3373 symbol. This is called via bfd_map_over_sections. */
252b5132 3374
252b5132 3375static void
8edc77b9 3376sh_count_relocs (bfd *abfd ATTRIBUTE_UNUSED, segT sec, void *data)
252b5132
RH
3377{
3378 struct sh_count_relocs *info = (struct sh_count_relocs *) data;
3379 segment_info_type *seginfo;
3380 symbolS *sym;
3381 fixS *fix;
3382
3383 seginfo = seg_info (sec);
3384 if (seginfo == NULL)
3385 return;
3386
3387 sym = info->sym;
3388 for (fix = seginfo->fix_root; fix != NULL; fix = fix->fx_next)
3389 {
3390 if (fix->fx_addsy == sym)
3391 {
3392 ++info->count;
3393 fix->fx_tcbit = 1;
3394 }
3395 }
3396}
3397
7be1c489
AM
3398/* Handle the count relocs for a particular section.
3399 This is called via bfd_map_over_sections. */
252b5132 3400
252b5132 3401static void
8edc77b9
KK
3402sh_frob_section (bfd *abfd ATTRIBUTE_UNUSED, segT sec,
3403 void *ignore ATTRIBUTE_UNUSED)
252b5132
RH
3404{
3405 segment_info_type *seginfo;
3406 fixS *fix;
3407
3408 seginfo = seg_info (sec);
3409 if (seginfo == NULL)
3410 return;
3411
e14e52f8
DD
3412 for (fix = seginfo->fix_root; fix != NULL; fix = fix->fx_next)
3413 {
3414 symbolS *sym;
3415
3416 sym = fix->fx_addsy;
3417 /* Check for a local_symbol. */
3418 if (sym && sym->bsym == NULL)
3419 {
3420 struct local_symbol *ls = (struct local_symbol *)sym;
3421 /* See if it's been converted. If so, canonicalize. */
3422 if (local_symbol_converted_p (ls))
3423 fix->fx_addsy = local_symbol_get_real_symbol (ls);
3424 }
3425 }
3426
252b5132
RH
3427 for (fix = seginfo->fix_root; fix != NULL; fix = fix->fx_next)
3428 {
3429 symbolS *sym;
3430 bfd_vma val;
3431 fixS *fscan;
3432 struct sh_count_relocs info;
3433
3434 if (fix->fx_r_type != BFD_RELOC_SH_USES)
3435 continue;
3436
3437 /* The BFD_RELOC_SH_USES reloc should refer to a defined local
3438 symbol in the same section. */
3439 sym = fix->fx_addsy;
3440 if (sym == NULL
3441 || fix->fx_subsy != NULL
3442 || fix->fx_addnumber != 0
3443 || S_GET_SEGMENT (sym) != sec
252b5132
RH
3444 || S_IS_EXTERNAL (sym))
3445 {
3446 as_warn_where (fix->fx_file, fix->fx_line,
3447 _(".uses does not refer to a local symbol in the same section"));
3448 continue;
3449 }
3450
3451 /* Look through the fixups again, this time looking for one
3452 at the same location as sym. */
3453 val = S_GET_VALUE (sym);
3454 for (fscan = seginfo->fix_root;
3455 fscan != NULL;
3456 fscan = fscan->fx_next)
3457 if (val == fscan->fx_frag->fr_address + fscan->fx_where
3458 && fscan->fx_r_type != BFD_RELOC_SH_ALIGN
3459 && fscan->fx_r_type != BFD_RELOC_SH_CODE
3460 && fscan->fx_r_type != BFD_RELOC_SH_DATA
3461 && fscan->fx_r_type != BFD_RELOC_SH_LABEL)
3462 break;
3463 if (fscan == NULL)
3464 {
3465 as_warn_where (fix->fx_file, fix->fx_line,
3466 _("can't find fixup pointed to by .uses"));
3467 continue;
3468 }
3469
3470 if (fscan->fx_tcbit)
3471 {
3472 /* We've already done this one. */
3473 continue;
3474 }
3475
6b31947e
NC
3476 /* The variable fscan should also be a fixup to a local symbol
3477 in the same section. */
252b5132
RH
3478 sym = fscan->fx_addsy;
3479 if (sym == NULL
3480 || fscan->fx_subsy != NULL
3481 || fscan->fx_addnumber != 0
3482 || S_GET_SEGMENT (sym) != sec
252b5132
RH
3483 || S_IS_EXTERNAL (sym))
3484 {
3485 as_warn_where (fix->fx_file, fix->fx_line,
3486 _(".uses target does not refer to a local symbol in the same section"));
3487 continue;
3488 }
3489
3490 /* Now we look through all the fixups of all the sections,
3491 counting the number of times we find a reference to sym. */
3492 info.sym = sym;
3493 info.count = 0;
8edc77b9 3494 bfd_map_over_sections (stdoutput, sh_count_relocs, &info);
252b5132
RH
3495
3496 if (info.count < 1)
3497 abort ();
3498
3499 /* Generate a BFD_RELOC_SH_COUNT fixup at the location of sym.
3500 We have already adjusted the value of sym to include the
3501 fragment address, so we undo that adjustment here. */
3502 subseg_change (sec, 0);
7bcad3e5
NC
3503 fix_new (fscan->fx_frag,
3504 S_GET_VALUE (sym) - fscan->fx_frag->fr_address,
252b5132
RH
3505 4, &abs_symbol, info.count, 0, BFD_RELOC_SH_COUNT);
3506 }
3507}
3508
3509/* This function is called after the symbol table has been completed,
3510 but before the relocs or section contents have been written out.
3511 If we have seen any .uses pseudo-ops, they point to an instruction
3512 which loads a register with the address of a function. We look
3513 through the fixups to find where the function address is being
3514 loaded from. We then generate a COUNT reloc giving the number of
3515 times that function address is referred to. The linker uses this
3516 information when doing relaxing, to decide when it can eliminate
3517 the stored function address entirely. */
3518
3519void
8edc77b9 3520sh_frob_file (void)
252b5132 3521{
324bfcf3
AO
3522#ifdef HAVE_SH64
3523 shmedia_frob_file_before_adjust ();
3524#endif
3525
252b5132
RH
3526 if (! sh_relax)
3527 return;
3528
8edc77b9 3529 bfd_map_over_sections (stdoutput, sh_frob_section, NULL);
252b5132
RH
3530}
3531
3532/* Called after relaxing. Set the correct sizes of the fragments, and
55cf6793 3533 create relocs so that md_apply_fix will fill in the correct values. */
252b5132
RH
3534
3535void
8edc77b9 3536md_convert_frag (bfd *headers ATTRIBUTE_UNUSED, segT seg, fragS *fragP)
252b5132
RH
3537{
3538 int donerelax = 0;
3539
3540 switch (fragP->fr_subtype)
3541 {
3542 case C (COND_JUMP, COND8):
3543 case C (COND_JUMP_DELAY, COND8):
3544 subseg_change (seg, 0);
3545 fix_new (fragP, fragP->fr_fix, 2, fragP->fr_symbol, fragP->fr_offset,
3546 1, BFD_RELOC_SH_PCDISP8BY2);
3547 fragP->fr_fix += 2;
3548 fragP->fr_var = 0;
3549 break;
3550
3551 case C (UNCOND_JUMP, UNCOND12):
3552 subseg_change (seg, 0);
3553 fix_new (fragP, fragP->fr_fix, 2, fragP->fr_symbol, fragP->fr_offset,
3554 1, BFD_RELOC_SH_PCDISP12BY2);
3555 fragP->fr_fix += 2;
3556 fragP->fr_var = 0;
3557 break;
3558
3559 case C (UNCOND_JUMP, UNCOND32):
3560 case C (UNCOND_JUMP, UNDEF_WORD_DISP):
3561 if (fragP->fr_symbol == NULL)
99b222b4
HPN
3562 as_bad_where (fragP->fr_file, fragP->fr_line,
3563 _("displacement overflows 12-bit field"));
252b5132 3564 else if (S_IS_DEFINED (fragP->fr_symbol))
99b222b4
HPN
3565 as_bad_where (fragP->fr_file, fragP->fr_line,
3566 _("displacement to defined symbol %s overflows 12-bit field"),
3567 S_GET_NAME (fragP->fr_symbol));
252b5132 3568 else
99b222b4
HPN
3569 as_bad_where (fragP->fr_file, fragP->fr_line,
3570 _("displacement to undefined symbol %s overflows 12-bit field"),
3571 S_GET_NAME (fragP->fr_symbol));
3572 /* Stabilize this frag, so we don't trip an assert. */
3573 fragP->fr_fix += fragP->fr_var;
3574 fragP->fr_var = 0;
252b5132
RH
3575 break;
3576
3577 case C (COND_JUMP, COND12):
3578 case C (COND_JUMP_DELAY, COND12):
6b31947e 3579 /* A bcond won't fit, so turn it into a b!cond; bra disp; nop. */
252b5132
RH
3580 /* I found that a relax failure for gcc.c-torture/execute/930628-1.c
3581 was due to gas incorrectly relaxing an out-of-range conditional
3582 branch with delay slot. It turned:
3583 bf.s L6 (slot mov.l r12,@(44,r0))
3584 into:
c4aa876b 3585
252b5132
RH
35862c: 8f 01 a0 8b bf.s 32 <_main+32> (slot bra L6)
358730: 00 09 nop
358832: 10 cb mov.l r12,@(44,r0)
3589 Therefore, branches with delay slots have to be handled
3590 differently from ones without delay slots. */
3591 {
3592 unsigned char *buffer =
3593 (unsigned char *) (fragP->fr_fix + fragP->fr_literal);
3594 int highbyte = target_big_endian ? 0 : 1;
3595 int lowbyte = target_big_endian ? 1 : 0;
3596 int delay = fragP->fr_subtype == C (COND_JUMP_DELAY, COND12);
3597
3598 /* Toggle the true/false bit of the bcond. */
3599 buffer[highbyte] ^= 0x2;
3600
d3ecfc59 3601 /* If this is a delayed branch, we may not put the bra in the
252b5132
RH
3602 slot. So we change it to a non-delayed branch, like that:
3603 b! cond slot_label; bra disp; slot_label: slot_insn
3604 ??? We should try if swapping the conditional branch and
3605 its delay-slot insn already makes the branch reach. */
3606
3607 /* Build a relocation to six / four bytes farther on. */
3608 subseg_change (seg, 0);
7be1c489 3609 fix_new (fragP, fragP->fr_fix, 2, section_symbol (seg),
252b5132
RH
3610 fragP->fr_address + fragP->fr_fix + (delay ? 4 : 6),
3611 1, BFD_RELOC_SH_PCDISP8BY2);
3612
3613 /* Set up a jump instruction. */
3614 buffer[highbyte + 2] = 0xa0;
3615 buffer[lowbyte + 2] = 0;
3616 fix_new (fragP, fragP->fr_fix + 2, 2, fragP->fr_symbol,
3617 fragP->fr_offset, 1, BFD_RELOC_SH_PCDISP12BY2);
3618
3619 if (delay)
3620 {
3621 buffer[highbyte] &= ~0x4; /* Removes delay slot from branch. */
3622 fragP->fr_fix += 4;
3623 }
3624 else
3625 {
3626 /* Fill in a NOP instruction. */
3627 buffer[highbyte + 4] = 0x0;
3628 buffer[lowbyte + 4] = 0x9;
3629
3630 fragP->fr_fix += 6;
3631 }
3632 fragP->fr_var = 0;
3633 donerelax = 1;
3634 }
3635 break;
3636
3637 case C (COND_JUMP, COND32):
3638 case C (COND_JUMP_DELAY, COND32):
3639 case C (COND_JUMP, UNDEF_WORD_DISP):
3640 case C (COND_JUMP_DELAY, UNDEF_WORD_DISP):
3641 if (fragP->fr_symbol == NULL)
99b222b4
HPN
3642 as_bad_where (fragP->fr_file, fragP->fr_line,
3643 _("displacement overflows 8-bit field"));
252b5132 3644 else if (S_IS_DEFINED (fragP->fr_symbol))
99b222b4
HPN
3645 as_bad_where (fragP->fr_file, fragP->fr_line,
3646 _("displacement to defined symbol %s overflows 8-bit field"),
3647 S_GET_NAME (fragP->fr_symbol));
252b5132 3648 else
99b222b4
HPN
3649 as_bad_where (fragP->fr_file, fragP->fr_line,
3650 _("displacement to undefined symbol %s overflows 8-bit field "),
3651 S_GET_NAME (fragP->fr_symbol));
3652 /* Stabilize this frag, so we don't trip an assert. */
3653 fragP->fr_fix += fragP->fr_var;
3654 fragP->fr_var = 0;
252b5132
RH
3655 break;
3656
3657 default:
324bfcf3 3658#ifdef HAVE_SH64
b34976b6 3659 shmedia_md_convert_frag (headers, seg, fragP, TRUE);
324bfcf3 3660#else
252b5132 3661 abort ();
324bfcf3 3662#endif
252b5132
RH
3663 }
3664
3665 if (donerelax && !sh_relax)
3666 as_warn_where (fragP->fr_file, fragP->fr_line,
3667 _("overflow in branch to %s; converted into longer instruction sequence"),
3668 (fragP->fr_symbol != NULL
3669 ? S_GET_NAME (fragP->fr_symbol)
3670 : ""));
3671}
3672
3673valueT
8edc77b9 3674md_section_align (segT seg ATTRIBUTE_UNUSED, valueT size)
252b5132 3675{
252b5132
RH
3676#ifdef OBJ_ELF
3677 return size;
3678#else /* ! OBJ_ELF */
3679 return ((size + (1 << bfd_get_section_alignment (stdoutput, seg)) - 1)
3680 & (-1 << bfd_get_section_alignment (stdoutput, seg)));
3681#endif /* ! OBJ_ELF */
252b5132
RH
3682}
3683
3684/* This static variable is set by s_uacons to tell sh_cons_align that
67c1ffbe 3685 the expression does not need to be aligned. */
252b5132
RH
3686
3687static int sh_no_align_cons = 0;
3688
3689/* This handles the unaligned space allocation pseudo-ops, such as
3690 .uaword. .uaword is just like .word, but the value does not need
3691 to be aligned. */
3692
3693static void
8edc77b9 3694s_uacons (int bytes)
252b5132
RH
3695{
3696 /* Tell sh_cons_align not to align this value. */
3697 sh_no_align_cons = 1;
3698 cons (bytes);
3699}
3700
3701/* If a .word, et. al., pseud-op is seen, warn if the value is not
3702 aligned correctly. Note that this can cause warnings to be issued
3703 when assembling initialized structured which were declared with the
3704 packed attribute. FIXME: Perhaps we should require an option to
3705 enable this warning? */
3706
3707void
8edc77b9 3708sh_cons_align (int nbytes)
252b5132
RH
3709{
3710 int nalign;
3711 char *p;
3712
3713 if (sh_no_align_cons)
3714 {
3715 /* This is an unaligned pseudo-op. */
3716 sh_no_align_cons = 0;
3717 return;
3718 }
3719
3720 nalign = 0;
3721 while ((nbytes & 1) == 0)
3722 {
3723 ++nalign;
3724 nbytes >>= 1;
3725 }
3726
3727 if (nalign == 0)
3728 return;
3729
3730 if (now_seg == absolute_section)
3731 {
3732 if ((abs_section_offset & ((1 << nalign) - 1)) != 0)
3733 as_warn (_("misaligned data"));
3734 return;
3735 }
3736
0a9ef439 3737 p = frag_var (rs_align_test, 1, 1, (relax_substateT) 0,
252b5132
RH
3738 (symbolS *) NULL, (offsetT) nalign, (char *) NULL);
3739
3740 record_alignment (now_seg, nalign);
3741}
3742
3743/* When relaxing, we need to output a reloc for any .align directive
3744 that requests alignment to a four byte boundary or larger. This is
3745 also where we check for misaligned data. */
3746
3747void
8edc77b9 3748sh_handle_align (fragS *frag)
252b5132 3749{
0a9ef439
RH
3750 int bytes = frag->fr_next->fr_address - frag->fr_address - frag->fr_fix;
3751
3752 if (frag->fr_type == rs_align_code)
3753 {
3754 static const unsigned char big_nop_pattern[] = { 0x00, 0x09 };
3755 static const unsigned char little_nop_pattern[] = { 0x09, 0x00 };
3756
3757 char *p = frag->fr_literal + frag->fr_fix;
3758
3759 if (bytes & 1)
3760 {
3761 *p++ = 0;
3762 bytes--;
3763 frag->fr_fix += 1;
3764 }
3765
3766 if (target_big_endian)
3767 {
3768 memcpy (p, big_nop_pattern, sizeof big_nop_pattern);
3769 frag->fr_var = sizeof big_nop_pattern;
3770 }
3771 else
3772 {
3773 memcpy (p, little_nop_pattern, sizeof little_nop_pattern);
3774 frag->fr_var = sizeof little_nop_pattern;
3775 }
3776 }
3777 else if (frag->fr_type == rs_align_test)
3778 {
3779 if (bytes != 0)
3780 as_warn_where (frag->fr_file, frag->fr_line, _("misaligned data"));
3781 }
3782
252b5132 3783 if (sh_relax
0a9ef439
RH
3784 && (frag->fr_type == rs_align
3785 || frag->fr_type == rs_align_code)
252b5132
RH
3786 && frag->fr_address + frag->fr_fix > 0
3787 && frag->fr_offset > 1
3788 && now_seg != bss_section)
3789 fix_new (frag, frag->fr_fix, 2, &abs_symbol, frag->fr_offset, 0,
3790 BFD_RELOC_SH_ALIGN);
252b5132
RH
3791}
3792
28602ebf
KK
3793/* See whether the relocation should be resolved locally. */
3794
b34976b6 3795static bfd_boolean
8edc77b9 3796sh_local_pcrel (fixS *fix)
28602ebf 3797{
b34976b6
AM
3798 return (! sh_relax
3799 && (fix->fx_r_type == BFD_RELOC_SH_PCDISP8BY2
3800 || fix->fx_r_type == BFD_RELOC_SH_PCDISP12BY2
3801 || fix->fx_r_type == BFD_RELOC_SH_PCRELIMM8BY2
3802 || fix->fx_r_type == BFD_RELOC_SH_PCRELIMM8BY4
3803 || fix->fx_r_type == BFD_RELOC_8_PCREL
3804 || fix->fx_r_type == BFD_RELOC_SH_SWITCH16
3805 || fix->fx_r_type == BFD_RELOC_SH_SWITCH32));
28602ebf
KK
3806}
3807
252b5132
RH
3808/* See whether we need to force a relocation into the output file.
3809 This is used to force out switch and PC relative relocations when
3810 relaxing. */
3811
3812int
8edc77b9 3813sh_force_relocation (fixS *fix)
252b5132 3814{
8ba4dac0
DJ
3815 /* These relocations can't make it into a DSO, so no use forcing
3816 them for global symbols. */
28602ebf 3817 if (sh_local_pcrel (fix))
8ba4dac0
DJ
3818 return 0;
3819
9efb3b7b 3820 /* Make sure some relocations get emitted. */
ae6063d4 3821 if (fix->fx_r_type == BFD_RELOC_SH_LOOP_START
a161fe53 3822 || fix->fx_r_type == BFD_RELOC_SH_LOOP_END
9efb3b7b
KK
3823 || fix->fx_r_type == BFD_RELOC_SH_TLS_GD_32
3824 || fix->fx_r_type == BFD_RELOC_SH_TLS_LD_32
3825 || fix->fx_r_type == BFD_RELOC_SH_TLS_IE_32
3826 || fix->fx_r_type == BFD_RELOC_SH_TLS_LDO_32
3827 || fix->fx_r_type == BFD_RELOC_SH_TLS_LE_32
ae6063d4 3828 || generic_force_reloc (fix))
252b5132
RH
3829 return 1;
3830
3831 if (! sh_relax)
3832 return 0;
3833
3834 return (fix->fx_pcrel
3835 || SWITCH_TABLE (fix)
3836 || fix->fx_r_type == BFD_RELOC_SH_COUNT
3837 || fix->fx_r_type == BFD_RELOC_SH_ALIGN
3838 || fix->fx_r_type == BFD_RELOC_SH_CODE
3839 || fix->fx_r_type == BFD_RELOC_SH_DATA
324bfcf3
AO
3840#ifdef HAVE_SH64
3841 || fix->fx_r_type == BFD_RELOC_SH_SHMEDIA_CODE
3842#endif
252b5132
RH
3843 || fix->fx_r_type == BFD_RELOC_SH_LABEL);
3844}
3845
3846#ifdef OBJ_ELF
b34976b6 3847bfd_boolean
8edc77b9 3848sh_fix_adjustable (fixS *fixP)
252b5132 3849{
a161fe53
AM
3850 if (fixP->fx_r_type == BFD_RELOC_32_PLT_PCREL
3851 || fixP->fx_r_type == BFD_RELOC_32_GOT_PCREL
3852 || fixP->fx_r_type == BFD_RELOC_SH_GOTPC
f55629b8 3853 || ((fixP->fx_r_type == BFD_RELOC_32) && dont_adjust_reloc_32)
a1cc9221
AO
3854 || fixP->fx_r_type == BFD_RELOC_RVA)
3855 return 0;
3856
252b5132
RH
3857 /* We need the symbol name for the VTABLE entries */
3858 if (fixP->fx_r_type == BFD_RELOC_VTABLE_INHERIT
3859 || fixP->fx_r_type == BFD_RELOC_VTABLE_ENTRY)
3860 return 0;
3861
3862 return 1;
3863}
d4845d57 3864
6b31947e 3865void
8edc77b9 3866sh_elf_final_processing (void)
d4845d57
JR
3867{
3868 int val;
3869
3870 /* Set file-specific flags to indicate if this code needs
88da98f3 3871 a processor with the sh-dsp / sh2e ISA to execute. */
324bfcf3
AO
3872#ifdef HAVE_SH64
3873 /* SH5 and above don't know about the valid_arch arch_sh* bits defined
3874 in sh-opc.h, so check SH64 mode before checking valid_arch. */
3875 if (sh64_isa_mode != sh64_isa_unspecified)
3876 val = EF_SH5;
3877 else
1a320fbb
NC
3878#elif defined TARGET_SYMBIAN
3879 if (1)
1a66a017
NC
3880 {
3881 extern int sh_symbian_find_elf_flags (unsigned int);
3882
3883 val = sh_symbian_find_elf_flags (valid_arch);
3884 }
1a320fbb 3885 else
324bfcf3 3886#endif /* HAVE_SH64 */
f6f9408f 3887 val = sh_find_elf_flags (valid_arch);
d4845d57
JR
3888
3889 elf_elfheader (stdoutput)->e_flags &= ~EF_SH_MACH_MASK;
3890 elf_elfheader (stdoutput)->e_flags |= val;
3891}
252b5132
RH
3892#endif
3893
55e6e397
RS
3894/* Apply fixup FIXP to SIZE-byte field BUF given that VAL is its
3895 assembly-time value. If we're generating a reloc for FIXP,
3896 see whether the addend should be stored in-place or whether
3897 it should be in an ELF r_addend field. */
3898
3899static void
3900apply_full_field_fix (fixS *fixP, char *buf, bfd_vma val, int size)
3901{
3902 reloc_howto_type *howto;
3903
3904 if (fixP->fx_addsy != NULL || fixP->fx_pcrel)
3905 {
3906 howto = bfd_reloc_type_lookup (stdoutput, fixP->fx_r_type);
3907 if (howto && !howto->partial_inplace)
3908 {
3909 fixP->fx_addnumber = val;
3910 return;
3911 }
3912 }
3913 md_number_to_chars (buf, val, size);
3914}
3915
252b5132
RH
3916/* Apply a fixup to the object file. */
3917
252b5132 3918void
55cf6793 3919md_apply_fix (fixS *fixP, valueT *valP, segT seg ATTRIBUTE_UNUSED)
252b5132
RH
3920{
3921 char *buf = fixP->fx_where + fixP->fx_frag->fr_literal;
3922 int lowbyte = target_big_endian ? 1 : 0;
3923 int highbyte = target_big_endian ? 0 : 1;
2ed5f585 3924 long val = (long) *valP;
252b5132
RH
3925 long max, min;
3926 int shift;
3927
a1cc9221
AO
3928 /* A difference between two symbols, the second of which is in the
3929 current section, is transformed in a PC-relative relocation to
3930 the other symbol. We have to adjust the relocation type here. */
3931 if (fixP->fx_pcrel)
3932 {
3933 switch (fixP->fx_r_type)
3934 {
3935 default:
3936 break;
3937
3938 case BFD_RELOC_32:
3939 fixP->fx_r_type = BFD_RELOC_32_PCREL;
3940 break;
3941
3942 /* Currently, we only support 32-bit PCREL relocations.
3943 We'd need a new reloc type to handle 16_PCREL, and
3944 8_PCREL is already taken for R_SH_SWITCH8, which
3945 apparently does something completely different than what
3946 we need. FIXME. */
3947 case BFD_RELOC_16:
3948 bfd_set_error (bfd_error_bad_value);
94f592af 3949 return;
81d4177b 3950
a1cc9221
AO
3951 case BFD_RELOC_8:
3952 bfd_set_error (bfd_error_bad_value);
94f592af 3953 return;
a1cc9221
AO
3954 }
3955 }
3956
6b31947e
NC
3957 /* The function adjust_reloc_syms won't convert a reloc against a weak
3958 symbol into a reloc against a section, but bfd_install_relocation
3959 will screw up if the symbol is defined, so we have to adjust val here
1308f14c
HPN
3960 to avoid the screw up later.
3961
3962 For ordinary relocs, this does not happen for ELF, since for ELF,
3963 bfd_install_relocation uses the "special function" field of the
3964 howto, and does not execute the code that needs to be undone, as long
3965 as the special function does not return bfd_reloc_continue.
3966 It can happen for GOT- and PLT-type relocs the way they are
3967 described in elf32-sh.c as they use bfd_elf_generic_reloc, but it
3968 doesn't matter here since those relocs don't use VAL; see below. */
3969 if (OUTPUT_FLAVOR != bfd_target_elf_flavour
3970 && fixP->fx_addsy != NULL
252b5132
RH
3971 && S_IS_WEAK (fixP->fx_addsy))
3972 val -= S_GET_VALUE (fixP->fx_addsy);
252b5132 3973
bdfaef52
JR
3974 if (SWITCH_TABLE (fixP))
3975 val -= S_GET_VALUE (fixP->fx_subsy);
252b5132
RH
3976
3977 max = min = 0;
3978 shift = 0;
3979 switch (fixP->fx_r_type)
3980 {
1d70c7fb
AO
3981 case BFD_RELOC_SH_IMM3:
3982 max = 0x7;
3983 * buf = (* buf & 0xf8) | (val & 0x7);
3984 break;
3985 case BFD_RELOC_SH_IMM3U:
3986 max = 0x7;
3987 * buf = (* buf & 0x8f) | ((val & 0x7) << 4);
3988 break;
3989 case BFD_RELOC_SH_DISP12:
3990 max = 0xfff;
3991 buf[lowbyte] = val & 0xff;
3992 buf[highbyte] |= (val >> 8) & 0x0f;
3993 break;
3994 case BFD_RELOC_SH_DISP12BY2:
3995 max = 0xfff;
3996 shift = 1;
3997 buf[lowbyte] = (val >> 1) & 0xff;
3998 buf[highbyte] |= (val >> 9) & 0x0f;
3999 break;
4000 case BFD_RELOC_SH_DISP12BY4:
4001 max = 0xfff;
4002 shift = 2;
4003 buf[lowbyte] = (val >> 2) & 0xff;
4004 buf[highbyte] |= (val >> 10) & 0x0f;
4005 break;
4006 case BFD_RELOC_SH_DISP12BY8:
4007 max = 0xfff;
4008 shift = 3;
4009 buf[lowbyte] = (val >> 3) & 0xff;
4010 buf[highbyte] |= (val >> 11) & 0x0f;
4011 break;
4012 case BFD_RELOC_SH_DISP20:
4013 if (! target_big_endian)
4014 abort();
4015 max = 0x7ffff;
4016 min = -0x80000;
28013b5c 4017 buf[1] = (buf[1] & 0x0f) | ((val >> 12) & 0xf0);
1d70c7fb
AO
4018 buf[2] = (val >> 8) & 0xff;
4019 buf[3] = val & 0xff;
4020 break;
4021 case BFD_RELOC_SH_DISP20BY8:
4022 if (!target_big_endian)
4023 abort();
4024 max = 0x7ffff;
4025 min = -0x80000;
4026 shift = 8;
28013b5c 4027 buf[1] = (buf[1] & 0x0f) | ((val >> 20) & 0xf0);
1d70c7fb
AO
4028 buf[2] = (val >> 16) & 0xff;
4029 buf[3] = (val >> 8) & 0xff;
4030 break;
4031
252b5132
RH
4032 case BFD_RELOC_SH_IMM4:
4033 max = 0xf;
4034 *buf = (*buf & 0xf0) | (val & 0xf);
4035 break;
4036
4037 case BFD_RELOC_SH_IMM4BY2:
4038 max = 0xf;
4039 shift = 1;
4040 *buf = (*buf & 0xf0) | ((val >> 1) & 0xf);
4041 break;
4042
4043 case BFD_RELOC_SH_IMM4BY4:
4044 max = 0xf;
4045 shift = 2;
4046 *buf = (*buf & 0xf0) | ((val >> 2) & 0xf);
4047 break;
4048
4049 case BFD_RELOC_SH_IMM8BY2:
4050 max = 0xff;
4051 shift = 1;
4052 *buf = val >> 1;
4053 break;
4054
4055 case BFD_RELOC_SH_IMM8BY4:
4056 max = 0xff;
4057 shift = 2;
4058 *buf = val >> 2;
4059 break;
4060
4061 case BFD_RELOC_8:
4062 case BFD_RELOC_SH_IMM8:
4063 /* Sometimes the 8 bit value is sign extended (e.g., add) and
4064 sometimes it is not (e.g., and). We permit any 8 bit value.
4065 Note that adding further restrictions may invalidate
4066 reasonable looking assembly code, such as ``and -0x1,r0''. */
4067 max = 0xff;
c4aa876b 4068 min = -0xff;
252b5132
RH
4069 *buf++ = val;
4070 break;
4071
4072 case BFD_RELOC_SH_PCRELIMM8BY4:
4073 /* The lower two bits of the PC are cleared before the
4074 displacement is added in. We can assume that the destination
67c1ffbe 4075 is on a 4 byte boundary. If this instruction is also on a 4
252b5132
RH
4076 byte boundary, then we want
4077 (target - here) / 4
4078 and target - here is a multiple of 4.
4079 Otherwise, we are on a 2 byte boundary, and we want
4080 (target - (here - 2)) / 4
4081 and target - here is not a multiple of 4. Computing
4082 (target - (here - 2)) / 4 == (target - here + 2) / 4
4083 works for both cases, since in the first case the addition of
4084 2 will be removed by the division. target - here is in the
4085 variable val. */
4086 val = (val + 2) / 4;
4087 if (val & ~0xff)
4088 as_bad_where (fixP->fx_file, fixP->fx_line, _("pcrel too far"));
4089 buf[lowbyte] = val;
4090 break;
4091
4092 case BFD_RELOC_SH_PCRELIMM8BY2:
4093 val /= 2;
4094 if (val & ~0xff)
4095 as_bad_where (fixP->fx_file, fixP->fx_line, _("pcrel too far"));
4096 buf[lowbyte] = val;
4097 break;
4098
4099 case BFD_RELOC_SH_PCDISP8BY2:
4100 val /= 2;
4101 if (val < -0x80 || val > 0x7f)
4102 as_bad_where (fixP->fx_file, fixP->fx_line, _("pcrel too far"));
4103 buf[lowbyte] = val;
4104 break;
4105
4106 case BFD_RELOC_SH_PCDISP12BY2:
4107 val /= 2;
8637c045 4108 if (val < -0x800 || val > 0x7ff)
252b5132
RH
4109 as_bad_where (fixP->fx_file, fixP->fx_line, _("pcrel too far"));
4110 buf[lowbyte] = val & 0xff;
4111 buf[highbyte] |= (val >> 8) & 0xf;
4112 break;
4113
4114 case BFD_RELOC_32:
a1cc9221 4115 case BFD_RELOC_32_PCREL:
55e6e397 4116 apply_full_field_fix (fixP, buf, val, 4);
252b5132
RH
4117 break;
4118
4119 case BFD_RELOC_16:
55e6e397 4120 apply_full_field_fix (fixP, buf, val, 2);
252b5132
RH
4121 break;
4122
4123 case BFD_RELOC_SH_USES:
fefaa1aa 4124 /* Pass the value into sh_reloc(). */
252b5132
RH
4125 fixP->fx_addnumber = val;
4126 break;
4127
4128 case BFD_RELOC_SH_COUNT:
4129 case BFD_RELOC_SH_ALIGN:
4130 case BFD_RELOC_SH_CODE:
4131 case BFD_RELOC_SH_DATA:
4132 case BFD_RELOC_SH_LABEL:
4133 /* Nothing to do here. */
4134 break;
4135
015551fc
JR
4136 case BFD_RELOC_SH_LOOP_START:
4137 case BFD_RELOC_SH_LOOP_END:
4138
252b5132
RH
4139 case BFD_RELOC_VTABLE_INHERIT:
4140 case BFD_RELOC_VTABLE_ENTRY:
4141 fixP->fx_done = 0;
4142 return;
4143
a1cc9221
AO
4144#ifdef OBJ_ELF
4145 case BFD_RELOC_32_PLT_PCREL:
4146 /* Make the jump instruction point to the address of the operand. At
81d4177b 4147 runtime we merely add the offset to the actual PLT entry. */
94f592af 4148 * valP = 0xfffffffc;
0174e383 4149 val = fixP->fx_offset;
ac3f04d7
AO
4150 if (fixP->fx_subsy)
4151 val -= S_GET_VALUE (fixP->fx_subsy);
55e6e397 4152 apply_full_field_fix (fixP, buf, val, 4);
a1cc9221
AO
4153 break;
4154
4155 case BFD_RELOC_SH_GOTPC:
4156 /* This is tough to explain. We end up with this one if we have
4157 operands that look like "_GLOBAL_OFFSET_TABLE_+[.-.L284]".
4158 The goal here is to obtain the absolute address of the GOT,
4159 and it is strongly preferable from a performance point of
4160 view to avoid using a runtime relocation for this. There are
4161 cases where you have something like:
81d4177b 4162
a1cc9221 4163 .long _GLOBAL_OFFSET_TABLE_+[.-.L66]
81d4177b 4164
a1cc9221
AO
4165 and here no correction would be required. Internally in the
4166 assembler we treat operands of this form as not being pcrel
4167 since the '.' is explicitly mentioned, and I wonder whether
4168 it would simplify matters to do it this way. Who knows. In
4169 earlier versions of the PIC patches, the pcrel_adjust field
4170 was used to store the correction, but since the expression is
4171 not pcrel, I felt it would be confusing to do it this way. */
94f592af 4172 * valP -= 1;
55e6e397 4173 apply_full_field_fix (fixP, buf, val, 4);
a1cc9221
AO
4174 break;
4175
9efb3b7b
KK
4176 case BFD_RELOC_SH_TLS_GD_32:
4177 case BFD_RELOC_SH_TLS_LD_32:
4178 case BFD_RELOC_SH_TLS_IE_32:
2bba4140
KK
4179 S_SET_THREAD_LOCAL (fixP->fx_addsy);
4180 /* Fallthrough */
4181 case BFD_RELOC_32_GOT_PCREL:
4182 case BFD_RELOC_SH_GOTPLT32:
94f592af 4183 * valP = 0; /* Fully resolved at runtime. No addend. */
55e6e397 4184 apply_full_field_fix (fixP, buf, 0, 4);
a1cc9221
AO
4185 break;
4186
9efb3b7b
KK
4187 case BFD_RELOC_SH_TLS_LDO_32:
4188 case BFD_RELOC_SH_TLS_LE_32:
2bba4140
KK
4189 S_SET_THREAD_LOCAL (fixP->fx_addsy);
4190 /* Fallthrough */
4191 case BFD_RELOC_32_GOTOFF:
55e6e397 4192 apply_full_field_fix (fixP, buf, val, 4);
a1cc9221
AO
4193 break;
4194#endif
4195
252b5132 4196 default:
324bfcf3 4197#ifdef HAVE_SH64
55cf6793 4198 shmedia_md_apply_fix (fixP, valP);
324bfcf3
AO
4199 return;
4200#else
252b5132 4201 abort ();
324bfcf3 4202#endif
252b5132
RH
4203 }
4204
4205 if (shift != 0)
4206 {
4207 if ((val & ((1 << shift) - 1)) != 0)
4208 as_bad_where (fixP->fx_file, fixP->fx_line, _("misaligned offset"));
4209 if (val >= 0)
4210 val >>= shift;
4211 else
4212 val = ((val >> shift)
4213 | ((long) -1 & ~ ((long) -1 >> shift)));
4214 }
4215 if (max != 0 && (val < min || val > max))
4216 as_bad_where (fixP->fx_file, fixP->fx_line, _("offset out of range"));
01eaea5a
NC
4217 else if (max != 0)
4218 /* Stop the generic code from trying to overlow check the value as well.
4219 It may not have the correct value anyway, as we do not store val back
4220 into *valP. */
4221 fixP->fx_no_overflow = 1;
252b5132 4222
94f592af
NC
4223 if (fixP->fx_addsy == NULL && fixP->fx_pcrel == 0)
4224 fixP->fx_done = 1;
252b5132
RH
4225}
4226
4227/* Called just before address relaxation. Return the length
4228 by which a fragment must grow to reach it's destination. */
4229
4230int
8edc77b9 4231md_estimate_size_before_relax (fragS *fragP, segT segment_type)
252b5132 4232{
e66457fb
AM
4233 int what;
4234
252b5132
RH
4235 switch (fragP->fr_subtype)
4236 {
93c2a809 4237 default:
324bfcf3
AO
4238#ifdef HAVE_SH64
4239 return shmedia_md_estimate_size_before_relax (fragP, segment_type);
4240#else
93c2a809 4241 abort ();
324bfcf3
AO
4242#endif
4243
93c2a809 4244
252b5132 4245 case C (UNCOND_JUMP, UNDEF_DISP):
6b31947e 4246 /* Used to be a branch to somewhere which was unknown. */
252b5132
RH
4247 if (!fragP->fr_symbol)
4248 {
4249 fragP->fr_subtype = C (UNCOND_JUMP, UNCOND12);
252b5132
RH
4250 }
4251 else if (S_GET_SEGMENT (fragP->fr_symbol) == segment_type)
4252 {
4253 fragP->fr_subtype = C (UNCOND_JUMP, UNCOND12);
252b5132
RH
4254 }
4255 else
4256 {
4257 fragP->fr_subtype = C (UNCOND_JUMP, UNDEF_WORD_DISP);
252b5132
RH
4258 }
4259 break;
4260
252b5132
RH
4261 case C (COND_JUMP, UNDEF_DISP):
4262 case C (COND_JUMP_DELAY, UNDEF_DISP):
e66457fb 4263 what = GET_WHAT (fragP->fr_subtype);
6b31947e 4264 /* Used to be a branch to somewhere which was unknown. */
252b5132
RH
4265 if (fragP->fr_symbol
4266 && S_GET_SEGMENT (fragP->fr_symbol) == segment_type)
4267 {
252b5132 4268 /* Got a symbol and it's defined in this segment, become byte
6b31947e 4269 sized - maybe it will fix up. */
252b5132 4270 fragP->fr_subtype = C (what, COND8);
252b5132
RH
4271 }
4272 else if (fragP->fr_symbol)
4273 {
6b31947e 4274 /* Its got a segment, but its not ours, so it will always be long. */
252b5132 4275 fragP->fr_subtype = C (what, UNDEF_WORD_DISP);
252b5132
RH
4276 }
4277 else
4278 {
6b31947e 4279 /* We know the abs value. */
252b5132 4280 fragP->fr_subtype = C (what, COND8);
252b5132 4281 }
93c2a809 4282 break;
252b5132 4283
93c2a809 4284 case C (UNCOND_JUMP, UNCOND12):
e66457fb 4285 case C (UNCOND_JUMP, UNCOND32):
93c2a809
AM
4286 case C (UNCOND_JUMP, UNDEF_WORD_DISP):
4287 case C (COND_JUMP, COND8):
e66457fb
AM
4288 case C (COND_JUMP, COND12):
4289 case C (COND_JUMP, COND32):
93c2a809
AM
4290 case C (COND_JUMP, UNDEF_WORD_DISP):
4291 case C (COND_JUMP_DELAY, COND8):
e66457fb
AM
4292 case C (COND_JUMP_DELAY, COND12):
4293 case C (COND_JUMP_DELAY, COND32):
93c2a809
AM
4294 case C (COND_JUMP_DELAY, UNDEF_WORD_DISP):
4295 /* When relaxing a section for the second time, we don't need to
e66457fb 4296 do anything besides return the current size. */
252b5132
RH
4297 break;
4298 }
e66457fb
AM
4299
4300 fragP->fr_var = md_relax_table[fragP->fr_subtype].rlx_length;
252b5132
RH
4301 return fragP->fr_var;
4302}
4303
6b31947e 4304/* Put number into target byte order. */
252b5132
RH
4305
4306void
8edc77b9 4307md_number_to_chars (char *ptr, valueT use, int nbytes)
252b5132 4308{
324bfcf3
AO
4309#ifdef HAVE_SH64
4310 /* We might need to set the contents type to data. */
4311 sh64_flag_output ();
4312#endif
4313
252b5132
RH
4314 if (! target_big_endian)
4315 number_to_chars_littleendian (ptr, use, nbytes);
4316 else
4317 number_to_chars_bigendian (ptr, use, nbytes);
4318}
4319
7be1c489 4320/* This version is used in obj-coff.c eg. for the sh-hms target. */
cce5a618
NC
4321
4322long
8edc77b9 4323md_pcrel_from (fixS *fixP)
cce5a618
NC
4324{
4325 return fixP->fx_size + fixP->fx_where + fixP->fx_frag->fr_address + 2;
4326}
4327
252b5132 4328long
8edc77b9 4329md_pcrel_from_section (fixS *fixP, segT sec)
252b5132 4330{
28602ebf
KK
4331 if (! sh_local_pcrel (fixP)
4332 && fixP->fx_addsy != (symbolS *) NULL
ae6063d4 4333 && (generic_force_reloc (fixP)
ef17112f
HPN
4334 || S_GET_SEGMENT (fixP->fx_addsy) != sec))
4335 {
4336 /* The symbol is undefined (or is defined but not in this section,
4337 or we're not sure about it being the final definition). Let the
4338 linker figure it out. We need to adjust the subtraction of a
4339 symbol to the position of the relocated data, though. */
4340 return fixP->fx_subsy ? fixP->fx_where + fixP->fx_frag->fr_address : 0;
4341 }
4342
cce5a618 4343 return md_pcrel_from (fixP);
252b5132
RH
4344}
4345
252b5132
RH
4346/* Create a reloc. */
4347
4348arelent *
8edc77b9 4349tc_gen_reloc (asection *section ATTRIBUTE_UNUSED, fixS *fixp)
252b5132
RH
4350{
4351 arelent *rel;
4352 bfd_reloc_code_real_type r_type;
4353
4354 rel = (arelent *) xmalloc (sizeof (arelent));
49309057
ILT
4355 rel->sym_ptr_ptr = (asymbol **) xmalloc (sizeof (asymbol *));
4356 *rel->sym_ptr_ptr = symbol_get_bfdsym (fixp->fx_addsy);
252b5132
RH
4357 rel->address = fixp->fx_frag->fr_address + fixp->fx_where;
4358
4359 r_type = fixp->fx_r_type;
4360
4361 if (SWITCH_TABLE (fixp))
4362 {
bdfaef52
JR
4363 *rel->sym_ptr_ptr = symbol_get_bfdsym (fixp->fx_subsy);
4364 rel->addend = 0;
252b5132
RH
4365 if (r_type == BFD_RELOC_16)
4366 r_type = BFD_RELOC_SH_SWITCH16;
4367 else if (r_type == BFD_RELOC_8)
4368 r_type = BFD_RELOC_8_PCREL;
4369 else if (r_type == BFD_RELOC_32)
4370 r_type = BFD_RELOC_SH_SWITCH32;
4371 else
4372 abort ();
4373 }
4374 else if (r_type == BFD_RELOC_SH_USES)
4375 rel->addend = fixp->fx_addnumber;
4376 else if (r_type == BFD_RELOC_SH_COUNT)
4377 rel->addend = fixp->fx_offset;
4378 else if (r_type == BFD_RELOC_SH_ALIGN)
4379 rel->addend = fixp->fx_offset;
4380 else if (r_type == BFD_RELOC_VTABLE_INHERIT
4381 || r_type == BFD_RELOC_VTABLE_ENTRY)
4382 rel->addend = fixp->fx_offset;
015551fc
JR
4383 else if (r_type == BFD_RELOC_SH_LOOP_START
4384 || r_type == BFD_RELOC_SH_LOOP_END)
4385 rel->addend = fixp->fx_offset;
4386 else if (r_type == BFD_RELOC_SH_LABEL && fixp->fx_pcrel)
4387 {
4388 rel->addend = 0;
4389 rel->address = rel->addend = fixp->fx_offset;
4390 }
324bfcf3
AO
4391#ifdef HAVE_SH64
4392 else if (shmedia_init_reloc (rel, fixp))
4393 ;
4394#endif
252b5132 4395 else
55e6e397 4396 rel->addend = fixp->fx_addnumber;
252b5132
RH
4397
4398 rel->howto = bfd_reloc_type_lookup (stdoutput, r_type);
78878175 4399
a161fe53 4400 if (rel->howto == NULL)
252b5132
RH
4401 {
4402 as_bad_where (fixp->fx_file, fixp->fx_line,
4403 _("Cannot represent relocation type %s"),
4404 bfd_get_reloc_code_name (r_type));
4405 /* Set howto to a garbage value so that we can keep going. */
4406 rel->howto = bfd_reloc_type_lookup (stdoutput, BFD_RELOC_32);
4407 assert (rel->howto != NULL);
4408 }
78878175
NC
4409#ifdef OBJ_ELF
4410 else if (rel->howto->type == R_SH_IND12W)
4411 rel->addend += fixp->fx_offset - 4;
4412#endif
252b5132
RH
4413
4414 return rel;
4415}
4416
538cd60f
AO
4417#ifdef OBJ_ELF
4418inline static char *
8edc77b9 4419sh_end_of_match (char *cont, char *what)
538cd60f
AO
4420{
4421 int len = strlen (what);
4422
4423 if (strncasecmp (cont, what, strlen (what)) == 0
4424 && ! is_part_of_name (cont[len]))
4425 return cont + len;
4426
4427 return NULL;
5d6255fe 4428}
538cd60f
AO
4429
4430int
9497f5ac
NC
4431sh_parse_name (char const *name,
4432 expressionS *exprP,
4433 enum expr_mode mode,
4434 char *nextcharP)
538cd60f
AO
4435{
4436 char *next = input_line_pointer;
4437 char *next_end;
4438 int reloc_type;
4439 segT segment;
4440
4441 exprP->X_op_symbol = NULL;
4442
4443 if (strcmp (name, GLOBAL_OFFSET_TABLE_NAME) == 0)
4444 {
4445 if (! GOT_symbol)
4446 GOT_symbol = symbol_find_or_make (name);
4447
4448 exprP->X_add_symbol = GOT_symbol;
4449 no_suffix:
4450 /* If we have an absolute symbol or a reg, then we know its
37dedf66 4451 value now. */
538cd60f 4452 segment = S_GET_SEGMENT (exprP->X_add_symbol);
9497f5ac 4453 if (mode != expr_defer && segment == absolute_section)
538cd60f
AO
4454 {
4455 exprP->X_op = O_constant;
4456 exprP->X_add_number = S_GET_VALUE (exprP->X_add_symbol);
4457 exprP->X_add_symbol = NULL;
4458 }
9497f5ac 4459 else if (mode != expr_defer && segment == reg_section)
538cd60f
AO
4460 {
4461 exprP->X_op = O_register;
4462 exprP->X_add_number = S_GET_VALUE (exprP->X_add_symbol);
4463 exprP->X_add_symbol = NULL;
4464 }
4465 else
4466 {
4467 exprP->X_op = O_symbol;
4468 exprP->X_add_number = 0;
4469 }
4470
4471 return 1;
4472 }
4473
4474 exprP->X_add_symbol = symbol_find_or_make (name);
5d6255fe 4475
538cd60f
AO
4476 if (*nextcharP != '@')
4477 goto no_suffix;
4478 else if ((next_end = sh_end_of_match (next + 1, "GOTOFF")))
4479 reloc_type = BFD_RELOC_32_GOTOFF;
324bfcf3
AO
4480 else if ((next_end = sh_end_of_match (next + 1, "GOTPLT")))
4481 reloc_type = BFD_RELOC_SH_GOTPLT32;
538cd60f
AO
4482 else if ((next_end = sh_end_of_match (next + 1, "GOT")))
4483 reloc_type = BFD_RELOC_32_GOT_PCREL;
4484 else if ((next_end = sh_end_of_match (next + 1, "PLT")))
4485 reloc_type = BFD_RELOC_32_PLT_PCREL;
9efb3b7b
KK
4486 else if ((next_end = sh_end_of_match (next + 1, "TLSGD")))
4487 reloc_type = BFD_RELOC_SH_TLS_GD_32;
4488 else if ((next_end = sh_end_of_match (next + 1, "TLSLDM")))
4489 reloc_type = BFD_RELOC_SH_TLS_LD_32;
4490 else if ((next_end = sh_end_of_match (next + 1, "GOTTPOFF")))
4491 reloc_type = BFD_RELOC_SH_TLS_IE_32;
4492 else if ((next_end = sh_end_of_match (next + 1, "TPOFF")))
4493 reloc_type = BFD_RELOC_SH_TLS_LE_32;
4494 else if ((next_end = sh_end_of_match (next + 1, "DTPOFF")))
4495 reloc_type = BFD_RELOC_SH_TLS_LDO_32;
538cd60f
AO
4496 else
4497 goto no_suffix;
4498
4499 *input_line_pointer = *nextcharP;
4500 input_line_pointer = next_end;
4501 *nextcharP = *input_line_pointer;
4502 *input_line_pointer = '\0';
4503
4504 exprP->X_op = O_PIC_reloc;
4505 exprP->X_add_number = 0;
4506 exprP->X_md = reloc_type;
4507
4508 return 1;
4509}
2ce4cc60
KK
4510
4511void
4512sh_cfi_frame_initial_instructions (void)
4513{
4514 cfi_add_CFA_def_cfa (15, 0);
4515}
4516
4517int
1df69f4f 4518sh_regname_to_dw2regnum (char *regname)
2ce4cc60
KK
4519{
4520 unsigned int regnum = -1;
4521 unsigned int i;
4522 const char *p;
4523 char *q;
4524 static struct { char *name; int dw2regnum; } regnames[] =
4525 {
4526 { "pr", 17 }, { "t", 18 }, { "gbr", 19 }, { "mach", 20 },
4527 { "macl", 21 }, { "fpul", 23 }
4528 };
4529
4530 for (i = 0; i < ARRAY_SIZE (regnames); ++i)
4531 if (strcmp (regnames[i].name, regname) == 0)
4532 return regnames[i].dw2regnum;
4533
4534 if (regname[0] == 'r')
4535 {
4536 p = regname + 1;
4537 regnum = strtoul (p, &q, 10);
4538 if (p == q || *q || regnum >= 16)
4539 return -1;
4540 }
4541 else if (regname[0] == 'f' && regname[1] == 'r')
4542 {
4543 p = regname + 2;
4544 regnum = strtoul (p, &q, 10);
4545 if (p == q || *q || regnum >= 16)
4546 return -1;
4547 regnum += 25;
4548 }
4549 else if (regname[0] == 'x' && regname[1] == 'd')
4550 {
4551 p = regname + 2;
4552 regnum = strtoul (p, &q, 10);
4553 if (p == q || *q || regnum >= 8)
4554 return -1;
4555 regnum += 87;
4556 }
4557 return regnum;
4558}
f17c130b 4559#endif /* OBJ_ELF */