]> git.ipfire.org Git - thirdparty/binutils-gdb.git/blame - opcodes/aarch64-dis-2.c
Remove is_a_field_of_this from ada_lookup_symbol
[thirdparty/binutils-gdb.git] / opcodes / aarch64-dis-2.c
CommitLineData
a06ea964 1/* This file is automatically generated by aarch64-gen. Do not edit! */
82704155 2/* Copyright (C) 2012-2019 Free Software Foundation, Inc.
a06ea964
NC
3 Contributed by ARM Ltd.
4
5 This file is part of the GNU opcodes library.
6
7 This library is free software; you can redistribute it and/or modify
8 it under the terms of the GNU General Public License as published by
9 the Free Software Foundation; either version 3, or (at your option)
10 any later version.
11
12 It is distributed in the hope that it will be useful, but WITHOUT
13 ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
14 or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public
15 License for more details.
16
17 You should have received a copy of the GNU General Public License
18 along with this program; see the file COPYING3. If not,
19 see <http://www.gnu.org/licenses/>. */
20
21#include "sysdep.h"
22#include "aarch64-dis.h"
23
24/* Called by aarch64_opcode_lookup. */
25
26static int
27aarch64_opcode_lookup_1 (uint32_t word)
28{
29 if (((word >> 26) & 0x1) == 0)
30 {
31 if (((word >> 25) & 0x1) == 0)
32 {
33 if (((word >> 27) & 0x1) == 0)
34 {
35 if (((word >> 24) & 0x1) == 0)
36 {
37 if (((word >> 31) & 0x1) == 0)
38 {
39 /* 33222222222211111111110000000000
40 10987654321098765432109876543210
7684e580 41 0xxx0000xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 42 adr. */
e54010f1 43 return 1186;
a06ea964
NC
44 }
45 else
46 {
47 /* 33222222222211111111110000000000
48 10987654321098765432109876543210
7684e580 49 1xxx0000xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 50 adrp. */
e54010f1 51 return 1187;
a06ea964
NC
52 }
53 }
54 else
55 {
56 if (((word >> 29) & 0x1) == 0)
57 {
58 if (((word >> 30) & 0x1) == 0)
59 {
60 /* 33222222222211111111110000000000
61 10987654321098765432109876543210
7684e580 62 x00x0001xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
63 add. */
64 return 12;
65 }
66 else
67 {
68 /* 33222222222211111111110000000000
69 10987654321098765432109876543210
7684e580 70 x10x0001xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
71 sub. */
72 return 16;
73 }
74 }
75 else
76 {
77 if (((word >> 30) & 0x1) == 0)
78 {
79 /* 33222222222211111111110000000000
80 10987654321098765432109876543210
7684e580 81 x01x0001xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
82 adds. */
83 return 14;
84 }
85 else
86 {
87 /* 33222222222211111111110000000000
88 10987654321098765432109876543210
7684e580 89 x11x0001xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
90 subs. */
91 return 17;
92 }
93 }
94 }
95 }
96 else
97 {
98 if (((word >> 28) & 0x1) == 0)
99 {
100 if (((word >> 22) & 0x1) == 0)
101 {
102 if (((word >> 23) & 0x1) == 0)
103 {
104 if (((word >> 29) & 0x1) == 0)
105 {
106 if (((word >> 15) & 0x1) == 0)
107 {
108 if (((word >> 21) & 0x1) == 0)
109 {
110 if (((word >> 31) & 0x1) == 0)
111 {
112 if (((word >> 30) & 0x1) == 0)
113 {
114 /* 33222222222211111111110000000000
115 10987654321098765432109876543210
7684e580 116 0000100x000xxxxx0xxxxxxxxxxxxxxx
a06ea964 117 stxrb. */
e6025b54 118 return 936;
a06ea964
NC
119 }
120 else
121 {
122 /* 33222222222211111111110000000000
123 10987654321098765432109876543210
7684e580 124 0100100x000xxxxx0xxxxxxxxxxxxxxx
a06ea964 125 stxrh. */
e6025b54 126 return 942;
a06ea964
NC
127 }
128 }
129 else
130 {
131 /* 33222222222211111111110000000000
132 10987654321098765432109876543210
7684e580 133 1x00100x000xxxxx0xxxxxxxxxxxxxxx
a06ea964 134 stxr. */
e6025b54 135 return 948;
a06ea964
NC
136 }
137 }
138 else
139 {
ee804238
JW
140 if (((word >> 31) & 0x1) == 0)
141 {
142 /* 33222222222211111111110000000000
143 10987654321098765432109876543210
7684e580 144 0x00100x001xxxxx0xxxxxxxxxxxxxxx
ee804238 145 casp. */
e54010f1 146 return 1021;
ee804238
JW
147 }
148 else
149 {
150 /* 33222222222211111111110000000000
151 10987654321098765432109876543210
7684e580 152 1x00100x001xxxxx0xxxxxxxxxxxxxxx
ee804238 153 stxp. */
e6025b54 154 return 950;
ee804238 155 }
a06ea964
NC
156 }
157 }
158 else
159 {
160 if (((word >> 21) & 0x1) == 0)
161 {
162 if (((word >> 31) & 0x1) == 0)
163 {
164 if (((word >> 30) & 0x1) == 0)
165 {
166 /* 33222222222211111111110000000000
167 10987654321098765432109876543210
7684e580 168 0000100x000xxxxx1xxxxxxxxxxxxxxx
a06ea964 169 stlxrb. */
e6025b54 170 return 937;
a06ea964
NC
171 }
172 else
173 {
174 /* 33222222222211111111110000000000
175 10987654321098765432109876543210
7684e580 176 0100100x000xxxxx1xxxxxxxxxxxxxxx
a06ea964 177 stlxrh. */
e6025b54 178 return 943;
a06ea964
NC
179 }
180 }
181 else
182 {
183 /* 33222222222211111111110000000000
184 10987654321098765432109876543210
7684e580 185 1x00100x000xxxxx1xxxxxxxxxxxxxxx
a06ea964 186 stlxr. */
e6025b54 187 return 949;
a06ea964
NC
188 }
189 }
190 else
191 {
ee804238
JW
192 if (((word >> 31) & 0x1) == 0)
193 {
194 /* 33222222222211111111110000000000
195 10987654321098765432109876543210
7684e580 196 0x00100x001xxxxx1xxxxxxxxxxxxxxx
ee804238 197 caspl. */
e54010f1 198 return 1023;
ee804238
JW
199 }
200 else
201 {
202 /* 33222222222211111111110000000000
203 10987654321098765432109876543210
7684e580 204 1x00100x001xxxxx1xxxxxxxxxxxxxxx
ee804238 205 stlxp. */
e6025b54 206 return 951;
ee804238 207 }
a06ea964
NC
208 }
209 }
210 }
211 else
212 {
fb3265b3
SD
213 if (((word >> 30) & 0x1) == 0)
214 {
215 /* 33222222222211111111110000000000
216 10987654321098765432109876543210
217 x010100x00xxxxxxxxxxxxxxxxxxxxxx
218 stnp. */
e54010f1 219 return 970;
fb3265b3
SD
220 }
221 else
222 {
223 /* 33222222222211111111110000000000
224 10987654321098765432109876543210
225 x110100x00xxxxxxxxxxxxxxxxxxxxxx
226 stgp. */
e54010f1 227 return 979;
fb3265b3 228 }
a06ea964
NC
229 }
230 }
231 else
232 {
233 if (((word >> 29) & 0x1) == 0)
234 {
ee804238 235 if (((word >> 15) & 0x1) == 0)
a06ea964 236 {
290806fd 237 if (((word >> 21) & 0x1) == 0)
a06ea964 238 {
290806fd 239 if (((word >> 31) & 0x1) == 0)
ee804238
JW
240 {
241 /* 33222222222211111111110000000000
242 10987654321098765432109876543210
7684e580 243 0x00100x100xxxxx0xxxxxxxxxxxxxxx
290806fd 244 stllrb. */
e54010f1 245 return 968;
ee804238
JW
246 }
247 else
248 {
249 /* 33222222222211111111110000000000
250 10987654321098765432109876543210
7684e580 251 1x00100x100xxxxx0xxxxxxxxxxxxxxx
290806fd 252 stllr. */
e54010f1 253 return 967;
ee804238 254 }
a06ea964
NC
255 }
256 else
257 {
290806fd
MW
258 if (((word >> 31) & 0x1) == 0)
259 {
260 if (((word >> 30) & 0x1) == 0)
261 {
262 /* 33222222222211111111110000000000
263 10987654321098765432109876543210
7684e580 264 0000100x101xxxxx0xxxxxxxxxxxxxxx
290806fd 265 casb. */
e54010f1 266 return 1009;
290806fd
MW
267 }
268 else
269 {
270 /* 33222222222211111111110000000000
271 10987654321098765432109876543210
7684e580 272 0100100x101xxxxx0xxxxxxxxxxxxxxx
290806fd 273 cash. */
e54010f1 274 return 1010;
290806fd
MW
275 }
276 }
277 else
278 {
279 /* 33222222222211111111110000000000
280 10987654321098765432109876543210
7684e580 281 1x00100x101xxxxx0xxxxxxxxxxxxxxx
290806fd 282 cas. */
e54010f1 283 return 1011;
290806fd 284 }
a06ea964
NC
285 }
286 }
287 else
288 {
ee804238
JW
289 if (((word >> 21) & 0x1) == 0)
290 {
291 if (((word >> 31) & 0x1) == 0)
292 {
293 if (((word >> 30) & 0x1) == 0)
294 {
295 /* 33222222222211111111110000000000
296 10987654321098765432109876543210
7684e580 297 0000100x100xxxxx1xxxxxxxxxxxxxxx
ee804238 298 stlrb. */
e6025b54 299 return 940;
ee804238
JW
300 }
301 else
302 {
303 /* 33222222222211111111110000000000
304 10987654321098765432109876543210
7684e580 305 0100100x100xxxxx1xxxxxxxxxxxxxxx
ee804238 306 stlrh. */
e6025b54 307 return 946;
ee804238
JW
308 }
309 }
310 else
311 {
312 /* 33222222222211111111110000000000
313 10987654321098765432109876543210
7684e580 314 1x00100x100xxxxx1xxxxxxxxxxxxxxx
ee804238 315 stlr. */
e6025b54 316 return 956;
ee804238
JW
317 }
318 }
319 else
320 {
321 if (((word >> 31) & 0x1) == 0)
322 {
323 if (((word >> 30) & 0x1) == 0)
324 {
325 /* 33222222222211111111110000000000
326 10987654321098765432109876543210
7684e580 327 0000100x101xxxxx1xxxxxxxxxxxxxxx
ee804238 328 caslb. */
e54010f1 329 return 1013;
ee804238
JW
330 }
331 else
332 {
333 /* 33222222222211111111110000000000
334 10987654321098765432109876543210
7684e580 335 0100100x101xxxxx1xxxxxxxxxxxxxxx
ee804238 336 caslh. */
e54010f1 337 return 1016;
ee804238
JW
338 }
339 }
340 else
341 {
342 /* 33222222222211111111110000000000
343 10987654321098765432109876543210
7684e580 344 1x00100x101xxxxx1xxxxxxxxxxxxxxx
ee804238 345 casl. */
e54010f1 346 return 1019;
ee804238
JW
347 }
348 }
a06ea964
NC
349 }
350 }
351 else
352 {
fb3265b3
SD
353 if (((word >> 30) & 0x1) == 0)
354 {
355 /* 33222222222211111111110000000000
356 10987654321098765432109876543210
357 x010100x10xxxxxxxxxxxxxxxxxxxxxx
358 stp. */
e54010f1 359 return 980;
fb3265b3
SD
360 }
361 else
362 {
363 /* 33222222222211111111110000000000
364 10987654321098765432109876543210
365 x110100x10xxxxxxxxxxxxxxxxxxxxxx
366 stgp. */
e54010f1 367 return 985;
fb3265b3 368 }
a06ea964
NC
369 }
370 }
371 }
372 else
373 {
374 if (((word >> 23) & 0x1) == 0)
375 {
376 if (((word >> 29) & 0x1) == 0)
377 {
378 if (((word >> 15) & 0x1) == 0)
379 {
380 if (((word >> 21) & 0x1) == 0)
381 {
382 if (((word >> 31) & 0x1) == 0)
383 {
384 if (((word >> 30) & 0x1) == 0)
385 {
386 /* 33222222222211111111110000000000
387 10987654321098765432109876543210
7684e580 388 0000100x010xxxxx0xxxxxxxxxxxxxxx
a06ea964 389 ldxrb. */
e6025b54 390 return 938;
a06ea964
NC
391 }
392 else
393 {
394 /* 33222222222211111111110000000000
395 10987654321098765432109876543210
7684e580 396 0100100x010xxxxx0xxxxxxxxxxxxxxx
a06ea964 397 ldxrh. */
e6025b54 398 return 944;
a06ea964
NC
399 }
400 }
401 else
402 {
403 /* 33222222222211111111110000000000
404 10987654321098765432109876543210
7684e580 405 1x00100x010xxxxx0xxxxxxxxxxxxxxx
a06ea964 406 ldxr. */
e6025b54 407 return 952;
a06ea964
NC
408 }
409 }
410 else
411 {
ee804238
JW
412 if (((word >> 31) & 0x1) == 0)
413 {
414 /* 33222222222211111111110000000000
415 10987654321098765432109876543210
7684e580 416 0x00100x011xxxxx0xxxxxxxxxxxxxxx
ee804238 417 caspa. */
e54010f1 418 return 1022;
ee804238
JW
419 }
420 else
421 {
422 /* 33222222222211111111110000000000
423 10987654321098765432109876543210
7684e580 424 1x00100x011xxxxx0xxxxxxxxxxxxxxx
ee804238 425 ldxp. */
e6025b54 426 return 954;
ee804238 427 }
a06ea964
NC
428 }
429 }
430 else
431 {
432 if (((word >> 21) & 0x1) == 0)
433 {
434 if (((word >> 31) & 0x1) == 0)
435 {
436 if (((word >> 30) & 0x1) == 0)
437 {
438 /* 33222222222211111111110000000000
439 10987654321098765432109876543210
7684e580 440 0000100x010xxxxx1xxxxxxxxxxxxxxx
a06ea964 441 ldaxrb. */
e6025b54 442 return 939;
a06ea964
NC
443 }
444 else
445 {
446 /* 33222222222211111111110000000000
447 10987654321098765432109876543210
7684e580 448 0100100x010xxxxx1xxxxxxxxxxxxxxx
a06ea964 449 ldaxrh. */
e6025b54 450 return 945;
a06ea964
NC
451 }
452 }
453 else
454 {
455 /* 33222222222211111111110000000000
456 10987654321098765432109876543210
7684e580 457 1x00100x010xxxxx1xxxxxxxxxxxxxxx
a06ea964 458 ldaxr. */
e6025b54 459 return 953;
a06ea964
NC
460 }
461 }
462 else
463 {
ee804238
JW
464 if (((word >> 31) & 0x1) == 0)
465 {
466 /* 33222222222211111111110000000000
467 10987654321098765432109876543210
7684e580 468 0x00100x011xxxxx1xxxxxxxxxxxxxxx
ee804238 469 caspal. */
e54010f1 470 return 1024;
ee804238
JW
471 }
472 else
473 {
474 /* 33222222222211111111110000000000
475 10987654321098765432109876543210
7684e580 476 1x00100x011xxxxx1xxxxxxxxxxxxxxx
ee804238 477 ldaxp. */
e6025b54 478 return 955;
ee804238 479 }
a06ea964
NC
480 }
481 }
482 }
483 else
484 {
485 if (((word >> 30) & 0x1) == 0)
486 {
487 /* 33222222222211111111110000000000
488 10987654321098765432109876543210
7684e580 489 x010100x01xxxxxxxxxxxxxxxxxxxxxx
a06ea964 490 ldnp. */
e54010f1 491 return 971;
a06ea964
NC
492 }
493 else
494 {
495 /* 33222222222211111111110000000000
496 10987654321098765432109876543210
7684e580 497 x110100x01xxxxxxxxxxxxxxxxxxxxxx
a06ea964 498 ldpsw. */
e54010f1 499 return 978;
a06ea964
NC
500 }
501 }
502 }
503 else
504 {
505 if (((word >> 29) & 0x1) == 0)
506 {
ee804238 507 if (((word >> 15) & 0x1) == 0)
a06ea964 508 {
290806fd 509 if (((word >> 21) & 0x1) == 0)
a06ea964 510 {
290806fd 511 if (((word >> 31) & 0x1) == 0)
ee804238 512 {
290806fd
MW
513 if (((word >> 30) & 0x1) == 0)
514 {
515 /* 33222222222211111111110000000000
516 10987654321098765432109876543210
7684e580 517 0000100x110xxxxx0xxxxxxxxxxxxxxx
290806fd 518 ldlarb. */
e54010f1 519 return 965;
290806fd
MW
520 }
521 else
522 {
523 /* 33222222222211111111110000000000
524 10987654321098765432109876543210
7684e580 525 0100100x110xxxxx0xxxxxxxxxxxxxxx
290806fd 526 ldlarh. */
e54010f1 527 return 966;
290806fd 528 }
ee804238
JW
529 }
530 else
531 {
532 /* 33222222222211111111110000000000
533 10987654321098765432109876543210
7684e580 534 1x00100x110xxxxx0xxxxxxxxxxxxxxx
290806fd 535 ldlar. */
e54010f1 536 return 964;
ee804238 537 }
a06ea964
NC
538 }
539 else
540 {
290806fd
MW
541 if (((word >> 31) & 0x1) == 0)
542 {
543 if (((word >> 30) & 0x1) == 0)
544 {
545 /* 33222222222211111111110000000000
546 10987654321098765432109876543210
7684e580 547 0000100x111xxxxx0xxxxxxxxxxxxxxx
290806fd 548 casab. */
e54010f1 549 return 1012;
290806fd
MW
550 }
551 else
552 {
553 /* 33222222222211111111110000000000
554 10987654321098765432109876543210
7684e580 555 0100100x111xxxxx0xxxxxxxxxxxxxxx
290806fd 556 casah. */
e54010f1 557 return 1015;
290806fd
MW
558 }
559 }
560 else
561 {
562 /* 33222222222211111111110000000000
563 10987654321098765432109876543210
7684e580 564 1x00100x111xxxxx0xxxxxxxxxxxxxxx
290806fd 565 casa. */
e54010f1 566 return 1018;
290806fd 567 }
a06ea964
NC
568 }
569 }
570 else
571 {
ee804238
JW
572 if (((word >> 21) & 0x1) == 0)
573 {
574 if (((word >> 31) & 0x1) == 0)
575 {
576 if (((word >> 30) & 0x1) == 0)
577 {
578 /* 33222222222211111111110000000000
579 10987654321098765432109876543210
7684e580 580 0000100x110xxxxx1xxxxxxxxxxxxxxx
ee804238 581 ldarb. */
e6025b54 582 return 941;
ee804238
JW
583 }
584 else
585 {
586 /* 33222222222211111111110000000000
587 10987654321098765432109876543210
7684e580 588 0100100x110xxxxx1xxxxxxxxxxxxxxx
ee804238 589 ldarh. */
e6025b54 590 return 947;
ee804238
JW
591 }
592 }
593 else
594 {
595 /* 33222222222211111111110000000000
596 10987654321098765432109876543210
7684e580 597 1x00100x110xxxxx1xxxxxxxxxxxxxxx
ee804238 598 ldar. */
e6025b54 599 return 957;
ee804238
JW
600 }
601 }
602 else
603 {
604 if (((word >> 31) & 0x1) == 0)
605 {
606 if (((word >> 30) & 0x1) == 0)
607 {
608 /* 33222222222211111111110000000000
609 10987654321098765432109876543210
7684e580 610 0000100x111xxxxx1xxxxxxxxxxxxxxx
ee804238 611 casalb. */
e54010f1 612 return 1014;
ee804238
JW
613 }
614 else
615 {
616 /* 33222222222211111111110000000000
617 10987654321098765432109876543210
7684e580 618 0100100x111xxxxx1xxxxxxxxxxxxxxx
ee804238 619 casalh. */
e54010f1 620 return 1017;
ee804238
JW
621 }
622 }
623 else
624 {
625 /* 33222222222211111111110000000000
626 10987654321098765432109876543210
7684e580 627 1x00100x111xxxxx1xxxxxxxxxxxxxxx
ee804238 628 casal. */
e54010f1 629 return 1020;
ee804238
JW
630 }
631 }
a06ea964
NC
632 }
633 }
634 else
635 {
636 if (((word >> 30) & 0x1) == 0)
637 {
638 /* 33222222222211111111110000000000
639 10987654321098765432109876543210
7684e580 640 x010100x11xxxxxxxxxxxxxxxxxxxxxx
a06ea964 641 ldp. */
e54010f1 642 return 981;
a06ea964
NC
643 }
644 else
645 {
646 /* 33222222222211111111110000000000
647 10987654321098765432109876543210
7684e580 648 x110100x11xxxxxxxxxxxxxxxxxxxxxx
a06ea964 649 ldpsw. */
e54010f1 650 return 984;
a06ea964
NC
651 }
652 }
653 }
654 }
655 }
656 else
657 {
658 if (((word >> 24) & 0x1) == 0)
659 {
660 if (((word >> 29) & 0x1) == 0)
661 {
662 if (((word >> 31) & 0x1) == 0)
663 {
664 /* 33222222222211111111110000000000
665 10987654321098765432109876543210
7684e580 666 0x011000xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 667 ldr. */
e54010f1 668 return 986;
a06ea964
NC
669 }
670 else
671 {
672 if (((word >> 30) & 0x1) == 0)
673 {
674 /* 33222222222211111111110000000000
675 10987654321098765432109876543210
7684e580 676 10011000xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 677 ldrsw. */
e54010f1 678 return 988;
a06ea964
NC
679 }
680 else
681 {
682 /* 33222222222211111111110000000000
683 10987654321098765432109876543210
7684e580 684 11011000xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 685 prfm. */
e54010f1 686 return 989;
a06ea964
NC
687 }
688 }
689 }
690 else
691 {
692 if (((word >> 10) & 0x1) == 0)
693 {
694 if (((word >> 11) & 0x1) == 0)
695 {
ee804238 696 if (((word >> 21) & 0x1) == 0)
a06ea964 697 {
ee804238 698 if (((word >> 23) & 0x1) == 0)
a06ea964 699 {
ee804238 700 if (((word >> 22) & 0x1) == 0)
a06ea964 701 {
ee804238 702 if (((word >> 31) & 0x1) == 0)
a06ea964 703 {
ee804238
JW
704 if (((word >> 30) & 0x1) == 0)
705 {
706 /* 33222222222211111111110000000000
707 10987654321098765432109876543210
7684e580 708 00111000000xxxxxxxxx00xxxxxxxxxx
ee804238 709 sturb. */
fb3265b3 710 return 921;
ee804238
JW
711 }
712 else
713 {
714 /* 33222222222211111111110000000000
715 10987654321098765432109876543210
7684e580 716 01111000000xxxxxxxxx00xxxxxxxxxx
ee804238 717 sturh. */
fb3265b3 718 return 926;
ee804238 719 }
a06ea964
NC
720 }
721 else
722 {
723 /* 33222222222211111111110000000000
724 10987654321098765432109876543210
7684e580 725 1x111000000xxxxxxxxx00xxxxxxxxxx
ee804238 726 stur. */
fb3265b3 727 return 929;
a06ea964
NC
728 }
729 }
730 else
731 {
ee804238
JW
732 if (((word >> 31) & 0x1) == 0)
733 {
734 if (((word >> 30) & 0x1) == 0)
735 {
736 /* 33222222222211111111110000000000
737 10987654321098765432109876543210
7684e580 738 00111000010xxxxxxxxx00xxxxxxxxxx
ee804238 739 ldurb. */
fb3265b3 740 return 922;
ee804238
JW
741 }
742 else
743 {
744 /* 33222222222211111111110000000000
745 10987654321098765432109876543210
7684e580 746 01111000010xxxxxxxxx00xxxxxxxxxx
ee804238 747 ldurh. */
fb3265b3 748 return 927;
ee804238
JW
749 }
750 }
751 else
752 {
753 /* 33222222222211111111110000000000
754 10987654321098765432109876543210
7684e580 755 1x111000010xxxxxxxxx00xxxxxxxxxx
ee804238 756 ldur. */
fb3265b3 757 return 930;
ee804238 758 }
a06ea964
NC
759 }
760 }
761 else
762 {
ee804238 763 if (((word >> 30) & 0x1) == 0)
a06ea964 764 {
ee804238
JW
765 if (((word >> 31) & 0x1) == 0)
766 {
767 /* 33222222222211111111110000000000
768 10987654321098765432109876543210
7684e580 769 001110001x0xxxxxxxxx00xxxxxxxxxx
ee804238 770 ldursb. */
fb3265b3 771 return 923;
ee804238
JW
772 }
773 else
774 {
775 /* 33222222222211111111110000000000
776 10987654321098765432109876543210
7684e580 777 101110001x0xxxxxxxxx00xxxxxxxxxx
ee804238 778 ldursw. */
fb3265b3 779 return 931;
ee804238
JW
780 }
781 }
782 else
783 {
784 if (((word >> 31) & 0x1) == 0)
785 {
786 /* 33222222222211111111110000000000
787 10987654321098765432109876543210
7684e580 788 011110001x0xxxxxxxxx00xxxxxxxxxx
ee804238 789 ldursh. */
fb3265b3 790 return 928;
ee804238
JW
791 }
792 else
a06ea964
NC
793 {
794 /* 33222222222211111111110000000000
795 10987654321098765432109876543210
7684e580 796 111110001x0xxxxxxxxx00xxxxxxxxxx
ee804238 797 prfum. */
fb3265b3 798 return 932;
ee804238
JW
799 }
800 }
801 }
802 }
803 else
804 {
805 if (((word >> 12) & 0x1) == 0)
806 {
807 if (((word >> 13) & 0x1) == 0)
808 {
809 if (((word >> 14) & 0x1) == 0)
810 {
811 if (((word >> 15) & 0x1) == 0)
812 {
813 if (((word >> 22) & 0x1) == 0)
814 {
815 if (((word >> 23) & 0x1) == 0)
816 {
817 if (((word >> 31) & 0x1) == 0)
818 {
819 if (((word >> 30) & 0x1) == 0)
820 {
821 /* 33222222222211111111110000000000
822 10987654321098765432109876543210
7684e580 823 00111000001xxxxx000000xxxxxxxxxx
ee804238 824 ldaddb. */
e54010f1 825 return 1037;
ee804238
JW
826 }
827 else
828 {
829 /* 33222222222211111111110000000000
830 10987654321098765432109876543210
7684e580 831 01111000001xxxxx000000xxxxxxxxxx
ee804238 832 ldaddh. */
e54010f1 833 return 1038;
ee804238
JW
834 }
835 }
836 else
837 {
838 /* 33222222222211111111110000000000
839 10987654321098765432109876543210
7684e580 840 1x111000001xxxxx000000xxxxxxxxxx
ee804238 841 ldadd. */
e54010f1 842 return 1039;
ee804238
JW
843 }
844 }
845 else
846 {
847 if (((word >> 31) & 0x1) == 0)
848 {
849 if (((word >> 30) & 0x1) == 0)
850 {
851 /* 33222222222211111111110000000000
852 10987654321098765432109876543210
7684e580 853 00111000101xxxxx000000xxxxxxxxxx
ee804238 854 ldaddab. */
e54010f1 855 return 1040;
ee804238
JW
856 }
857 else
858 {
859 /* 33222222222211111111110000000000
860 10987654321098765432109876543210
7684e580 861 01111000101xxxxx000000xxxxxxxxxx
ee804238 862 ldaddah. */
e54010f1 863 return 1043;
ee804238
JW
864 }
865 }
866 else
867 {
868 /* 33222222222211111111110000000000
869 10987654321098765432109876543210
7684e580 870 1x111000101xxxxx000000xxxxxxxxxx
ee804238 871 ldadda. */
e54010f1 872 return 1046;
ee804238
JW
873 }
874 }
875 }
876 else
877 {
878 if (((word >> 23) & 0x1) == 0)
879 {
880 if (((word >> 31) & 0x1) == 0)
881 {
882 if (((word >> 30) & 0x1) == 0)
883 {
884 /* 33222222222211111111110000000000
885 10987654321098765432109876543210
7684e580 886 00111000011xxxxx000000xxxxxxxxxx
ee804238 887 ldaddlb. */
e54010f1 888 return 1041;
ee804238
JW
889 }
890 else
891 {
892 /* 33222222222211111111110000000000
893 10987654321098765432109876543210
7684e580 894 01111000011xxxxx000000xxxxxxxxxx
ee804238 895 ldaddlh. */
e54010f1 896 return 1044;
ee804238
JW
897 }
898 }
899 else
900 {
901 /* 33222222222211111111110000000000
902 10987654321098765432109876543210
7684e580 903 1x111000011xxxxx000000xxxxxxxxxx
ee804238 904 ldaddl. */
e54010f1 905 return 1047;
ee804238
JW
906 }
907 }
908 else
909 {
910 if (((word >> 31) & 0x1) == 0)
911 {
912 if (((word >> 30) & 0x1) == 0)
913 {
914 /* 33222222222211111111110000000000
915 10987654321098765432109876543210
7684e580 916 00111000111xxxxx000000xxxxxxxxxx
ee804238 917 ldaddalb. */
e54010f1 918 return 1042;
ee804238
JW
919 }
920 else
921 {
922 /* 33222222222211111111110000000000
923 10987654321098765432109876543210
7684e580 924 01111000111xxxxx000000xxxxxxxxxx
ee804238 925 ldaddalh. */
e54010f1 926 return 1045;
ee804238
JW
927 }
928 }
929 else
930 {
931 /* 33222222222211111111110000000000
932 10987654321098765432109876543210
7684e580 933 1x111000111xxxxx000000xxxxxxxxxx
ee804238 934 ldaddal. */
e54010f1 935 return 1048;
ee804238
JW
936 }
937 }
938 }
939 }
940 else
941 {
942 if (((word >> 22) & 0x1) == 0)
943 {
944 if (((word >> 23) & 0x1) == 0)
945 {
946 if (((word >> 31) & 0x1) == 0)
947 {
948 if (((word >> 30) & 0x1) == 0)
949 {
950 /* 33222222222211111111110000000000
951 10987654321098765432109876543210
7684e580 952 00111000001xxxxx100000xxxxxxxxxx
ee804238 953 swpb. */
e54010f1 954 return 1025;
ee804238
JW
955 }
956 else
957 {
958 /* 33222222222211111111110000000000
959 10987654321098765432109876543210
7684e580 960 01111000001xxxxx100000xxxxxxxxxx
ee804238 961 swph. */
e54010f1 962 return 1026;
ee804238
JW
963 }
964 }
965 else
966 {
967 /* 33222222222211111111110000000000
968 10987654321098765432109876543210
7684e580 969 1x111000001xxxxx100000xxxxxxxxxx
ee804238 970 swp. */
e54010f1 971 return 1027;
ee804238
JW
972 }
973 }
974 else
975 {
976 if (((word >> 31) & 0x1) == 0)
977 {
978 if (((word >> 30) & 0x1) == 0)
979 {
980 /* 33222222222211111111110000000000
981 10987654321098765432109876543210
7684e580 982 00111000101xxxxx100000xxxxxxxxxx
ee804238 983 swpab. */
e54010f1 984 return 1028;
ee804238
JW
985 }
986 else
987 {
988 /* 33222222222211111111110000000000
989 10987654321098765432109876543210
7684e580 990 01111000101xxxxx100000xxxxxxxxxx
ee804238 991 swpah. */
e54010f1 992 return 1031;
ee804238
JW
993 }
994 }
995 else
996 {
997 /* 33222222222211111111110000000000
998 10987654321098765432109876543210
7684e580 999 1x111000101xxxxx100000xxxxxxxxxx
ee804238 1000 swpa. */
e54010f1 1001 return 1034;
ee804238
JW
1002 }
1003 }
1004 }
1005 else
1006 {
1007 if (((word >> 23) & 0x1) == 0)
1008 {
1009 if (((word >> 31) & 0x1) == 0)
1010 {
1011 if (((word >> 30) & 0x1) == 0)
1012 {
1013 /* 33222222222211111111110000000000
1014 10987654321098765432109876543210
7684e580 1015 00111000011xxxxx100000xxxxxxxxxx
ee804238 1016 swplb. */
e54010f1 1017 return 1029;
ee804238
JW
1018 }
1019 else
1020 {
1021 /* 33222222222211111111110000000000
1022 10987654321098765432109876543210
7684e580 1023 01111000011xxxxx100000xxxxxxxxxx
ee804238 1024 swplh. */
e54010f1 1025 return 1032;
ee804238
JW
1026 }
1027 }
1028 else
1029 {
1030 /* 33222222222211111111110000000000
1031 10987654321098765432109876543210
7684e580 1032 1x111000011xxxxx100000xxxxxxxxxx
ee804238 1033 swpl. */
e54010f1 1034 return 1035;
ee804238
JW
1035 }
1036 }
1037 else
1038 {
1039 if (((word >> 31) & 0x1) == 0)
1040 {
1041 if (((word >> 30) & 0x1) == 0)
1042 {
1043 /* 33222222222211111111110000000000
1044 10987654321098765432109876543210
7684e580 1045 00111000111xxxxx100000xxxxxxxxxx
ee804238 1046 swpalb. */
e54010f1 1047 return 1030;
ee804238
JW
1048 }
1049 else
1050 {
1051 /* 33222222222211111111110000000000
1052 10987654321098765432109876543210
7684e580 1053 01111000111xxxxx100000xxxxxxxxxx
ee804238 1054 swpalh. */
e54010f1 1055 return 1033;
ee804238
JW
1056 }
1057 }
1058 else
1059 {
1060 /* 33222222222211111111110000000000
1061 10987654321098765432109876543210
7684e580 1062 1x111000111xxxxx100000xxxxxxxxxx
ee804238 1063 swpal. */
e54010f1 1064 return 1036;
ee804238
JW
1065 }
1066 }
1067 }
1068 }
1069 }
1070 else
1071 {
28617675 1072 if (((word >> 15) & 0x1) == 0)
ee804238 1073 {
28617675 1074 if (((word >> 22) & 0x1) == 0)
ee804238 1075 {
28617675 1076 if (((word >> 23) & 0x1) == 0)
ee804238 1077 {
28617675 1078 if (((word >> 31) & 0x1) == 0)
ee804238 1079 {
28617675
SN
1080 if (((word >> 30) & 0x1) == 0)
1081 {
1082 /* 33222222222211111111110000000000
1083 10987654321098765432109876543210
7684e580 1084 00111000001xxxxx010000xxxxxxxxxx
28617675 1085 ldsmaxb. */
e54010f1 1086 return 1085;
28617675
SN
1087 }
1088 else
1089 {
1090 /* 33222222222211111111110000000000
1091 10987654321098765432109876543210
7684e580 1092 01111000001xxxxx010000xxxxxxxxxx
28617675 1093 ldsmaxh. */
e54010f1 1094 return 1086;
28617675 1095 }
ee804238
JW
1096 }
1097 else
1098 {
1099 /* 33222222222211111111110000000000
1100 10987654321098765432109876543210
7684e580 1101 1x111000001xxxxx010000xxxxxxxxxx
28617675 1102 ldsmax. */
e54010f1 1103 return 1087;
ee804238
JW
1104 }
1105 }
1106 else
1107 {
28617675 1108 if (((word >> 31) & 0x1) == 0)
ee804238 1109 {
28617675
SN
1110 if (((word >> 30) & 0x1) == 0)
1111 {
1112 /* 33222222222211111111110000000000
1113 10987654321098765432109876543210
7684e580 1114 00111000101xxxxx010000xxxxxxxxxx
28617675 1115 ldsmaxab. */
e54010f1 1116 return 1088;
28617675
SN
1117 }
1118 else
1119 {
1120 /* 33222222222211111111110000000000
1121 10987654321098765432109876543210
7684e580 1122 01111000101xxxxx010000xxxxxxxxxx
28617675 1123 ldsmaxah. */
e54010f1 1124 return 1091;
28617675 1125 }
ee804238
JW
1126 }
1127 else
1128 {
1129 /* 33222222222211111111110000000000
1130 10987654321098765432109876543210
7684e580 1131 1x111000101xxxxx010000xxxxxxxxxx
28617675 1132 ldsmaxa. */
e54010f1 1133 return 1094;
ee804238
JW
1134 }
1135 }
ee804238 1136 }
28617675 1137 else
ee804238 1138 {
28617675 1139 if (((word >> 23) & 0x1) == 0)
ee804238 1140 {
28617675 1141 if (((word >> 31) & 0x1) == 0)
ee804238 1142 {
28617675
SN
1143 if (((word >> 30) & 0x1) == 0)
1144 {
1145 /* 33222222222211111111110000000000
1146 10987654321098765432109876543210
7684e580 1147 00111000011xxxxx010000xxxxxxxxxx
28617675 1148 ldsmaxlb. */
e54010f1 1149 return 1089;
28617675
SN
1150 }
1151 else
1152 {
1153 /* 33222222222211111111110000000000
1154 10987654321098765432109876543210
7684e580 1155 01111000011xxxxx010000xxxxxxxxxx
28617675 1156 ldsmaxlh. */
e54010f1 1157 return 1092;
28617675 1158 }
ee804238
JW
1159 }
1160 else
1161 {
1162 /* 33222222222211111111110000000000
1163 10987654321098765432109876543210
7684e580 1164 1x111000011xxxxx010000xxxxxxxxxx
28617675 1165 ldsmaxl. */
e54010f1 1166 return 1095;
ee804238
JW
1167 }
1168 }
1169 else
1170 {
28617675 1171 if (((word >> 31) & 0x1) == 0)
ee804238 1172 {
28617675
SN
1173 if (((word >> 30) & 0x1) == 0)
1174 {
1175 /* 33222222222211111111110000000000
1176 10987654321098765432109876543210
7684e580 1177 00111000111xxxxx010000xxxxxxxxxx
28617675 1178 ldsmaxalb. */
e54010f1 1179 return 1090;
28617675
SN
1180 }
1181 else
1182 {
1183 /* 33222222222211111111110000000000
1184 10987654321098765432109876543210
7684e580 1185 01111000111xxxxx010000xxxxxxxxxx
28617675 1186 ldsmaxalh. */
e54010f1 1187 return 1093;
28617675 1188 }
ee804238
JW
1189 }
1190 else
1191 {
1192 /* 33222222222211111111110000000000
1193 10987654321098765432109876543210
7684e580 1194 1x111000111xxxxx010000xxxxxxxxxx
28617675 1195 ldsmaxal. */
e54010f1 1196 return 1096;
ee804238
JW
1197 }
1198 }
28617675
SN
1199 }
1200 }
1201 else
1202 {
1203 if (((word >> 31) & 0x1) == 0)
1204 {
1205 if (((word >> 30) & 0x1) == 0)
1206 {
1207 /* 33222222222211111111110000000000
1208 10987654321098765432109876543210
7684e580 1209 00111000xx1xxxxx110000xxxxxxxxxx
28617675 1210 ldaprb. */
e6025b54 1211 return 958;
28617675 1212 }
ee804238
JW
1213 else
1214 {
1215 /* 33222222222211111111110000000000
1216 10987654321098765432109876543210
7684e580 1217 01111000xx1xxxxx110000xxxxxxxxxx
28617675 1218 ldaprh. */
e6025b54 1219 return 959;
ee804238
JW
1220 }
1221 }
28617675
SN
1222 else
1223 {
1224 /* 33222222222211111111110000000000
1225 10987654321098765432109876543210
7684e580 1226 1x111000xx1xxxxx110000xxxxxxxxxx
28617675 1227 ldapr. */
e6025b54 1228 return 960;
28617675 1229 }
ee804238
JW
1230 }
1231 }
1232 }
1233 else
1234 {
1235 if (((word >> 14) & 0x1) == 0)
1236 {
1237 if (((word >> 22) & 0x1) == 0)
1238 {
1239 if (((word >> 23) & 0x1) == 0)
1240 {
1241 if (((word >> 31) & 0x1) == 0)
1242 {
1243 if (((word >> 30) & 0x1) == 0)
1244 {
1245 /* 33222222222211111111110000000000
1246 10987654321098765432109876543210
7684e580 1247 00111000001xxxxxx01000xxxxxxxxxx
ee804238 1248 ldeorb. */
e54010f1 1249 return 1061;
ee804238
JW
1250 }
1251 else
1252 {
1253 /* 33222222222211111111110000000000
1254 10987654321098765432109876543210
7684e580 1255 01111000001xxxxxx01000xxxxxxxxxx
ee804238 1256 ldeorh. */
e54010f1 1257 return 1062;
ee804238
JW
1258 }
1259 }
1260 else
1261 {
1262 /* 33222222222211111111110000000000
1263 10987654321098765432109876543210
7684e580 1264 1x111000001xxxxxx01000xxxxxxxxxx
ee804238 1265 ldeor. */
e54010f1 1266 return 1063;
ee804238
JW
1267 }
1268 }
1269 else
1270 {
1271 if (((word >> 31) & 0x1) == 0)
1272 {
1273 if (((word >> 30) & 0x1) == 0)
1274 {
1275 /* 33222222222211111111110000000000
1276 10987654321098765432109876543210
7684e580 1277 00111000101xxxxxx01000xxxxxxxxxx
ee804238 1278 ldeorab. */
e54010f1 1279 return 1064;
ee804238
JW
1280 }
1281 else
1282 {
1283 /* 33222222222211111111110000000000
1284 10987654321098765432109876543210
7684e580 1285 01111000101xxxxxx01000xxxxxxxxxx
ee804238 1286 ldeorah. */
e54010f1 1287 return 1067;
ee804238
JW
1288 }
1289 }
1290 else
1291 {
1292 /* 33222222222211111111110000000000
1293 10987654321098765432109876543210
7684e580 1294 1x111000101xxxxxx01000xxxxxxxxxx
ee804238 1295 ldeora. */
e54010f1 1296 return 1070;
ee804238
JW
1297 }
1298 }
1299 }
1300 else
1301 {
1302 if (((word >> 23) & 0x1) == 0)
1303 {
1304 if (((word >> 31) & 0x1) == 0)
1305 {
1306 if (((word >> 30) & 0x1) == 0)
1307 {
1308 /* 33222222222211111111110000000000
1309 10987654321098765432109876543210
7684e580 1310 00111000011xxxxxx01000xxxxxxxxxx
ee804238 1311 ldeorlb. */
e54010f1 1312 return 1065;
ee804238
JW
1313 }
1314 else
1315 {
1316 /* 33222222222211111111110000000000
1317 10987654321098765432109876543210
7684e580 1318 01111000011xxxxxx01000xxxxxxxxxx
ee804238 1319 ldeorlh. */
e54010f1 1320 return 1068;
ee804238
JW
1321 }
1322 }
1323 else
1324 {
1325 /* 33222222222211111111110000000000
1326 10987654321098765432109876543210
7684e580 1327 1x111000011xxxxxx01000xxxxxxxxxx
ee804238 1328 ldeorl. */
e54010f1 1329 return 1071;
ee804238
JW
1330 }
1331 }
1332 else
1333 {
1334 if (((word >> 31) & 0x1) == 0)
1335 {
1336 if (((word >> 30) & 0x1) == 0)
1337 {
1338 /* 33222222222211111111110000000000
1339 10987654321098765432109876543210
7684e580 1340 00111000111xxxxxx01000xxxxxxxxxx
ee804238 1341 ldeoralb. */
e54010f1 1342 return 1066;
ee804238
JW
1343 }
1344 else
1345 {
1346 /* 33222222222211111111110000000000
1347 10987654321098765432109876543210
7684e580 1348 01111000111xxxxxx01000xxxxxxxxxx
ee804238 1349 ldeoralh. */
e54010f1 1350 return 1069;
ee804238
JW
1351 }
1352 }
1353 else
1354 {
1355 /* 33222222222211111111110000000000
1356 10987654321098765432109876543210
7684e580 1357 1x111000111xxxxxx01000xxxxxxxxxx
ee804238 1358 ldeoral. */
e54010f1 1359 return 1072;
ee804238
JW
1360 }
1361 }
1362 }
1363 }
1364 else
1365 {
1366 if (((word >> 22) & 0x1) == 0)
1367 {
1368 if (((word >> 23) & 0x1) == 0)
1369 {
1370 if (((word >> 31) & 0x1) == 0)
1371 {
1372 if (((word >> 30) & 0x1) == 0)
1373 {
1374 /* 33222222222211111111110000000000
1375 10987654321098765432109876543210
7684e580 1376 00111000001xxxxxx11000xxxxxxxxxx
ee804238 1377 ldumaxb. */
e54010f1 1378 return 1109;
ee804238
JW
1379 }
1380 else
1381 {
1382 /* 33222222222211111111110000000000
1383 10987654321098765432109876543210
7684e580 1384 01111000001xxxxxx11000xxxxxxxxxx
ee804238 1385 ldumaxh. */
e54010f1 1386 return 1110;
ee804238
JW
1387 }
1388 }
1389 else
1390 {
1391 /* 33222222222211111111110000000000
1392 10987654321098765432109876543210
7684e580 1393 1x111000001xxxxxx11000xxxxxxxxxx
ee804238 1394 ldumax. */
e54010f1 1395 return 1111;
ee804238
JW
1396 }
1397 }
1398 else
1399 {
1400 if (((word >> 31) & 0x1) == 0)
1401 {
1402 if (((word >> 30) & 0x1) == 0)
1403 {
1404 /* 33222222222211111111110000000000
1405 10987654321098765432109876543210
7684e580 1406 00111000101xxxxxx11000xxxxxxxxxx
ee804238 1407 ldumaxab. */
e54010f1 1408 return 1112;
ee804238
JW
1409 }
1410 else
1411 {
1412 /* 33222222222211111111110000000000
1413 10987654321098765432109876543210
7684e580 1414 01111000101xxxxxx11000xxxxxxxxxx
ee804238 1415 ldumaxah. */
e54010f1 1416 return 1115;
ee804238
JW
1417 }
1418 }
1419 else
1420 {
1421 /* 33222222222211111111110000000000
1422 10987654321098765432109876543210
7684e580 1423 1x111000101xxxxxx11000xxxxxxxxxx
ee804238 1424 ldumaxa. */
e54010f1 1425 return 1118;
ee804238
JW
1426 }
1427 }
1428 }
1429 else
1430 {
1431 if (((word >> 23) & 0x1) == 0)
1432 {
1433 if (((word >> 31) & 0x1) == 0)
1434 {
1435 if (((word >> 30) & 0x1) == 0)
1436 {
1437 /* 33222222222211111111110000000000
1438 10987654321098765432109876543210
7684e580 1439 00111000011xxxxxx11000xxxxxxxxxx
ee804238 1440 ldumaxlb. */
e54010f1 1441 return 1113;
ee804238
JW
1442 }
1443 else
1444 {
1445 /* 33222222222211111111110000000000
1446 10987654321098765432109876543210
7684e580 1447 01111000011xxxxxx11000xxxxxxxxxx
ee804238 1448 ldumaxlh. */
e54010f1 1449 return 1116;
ee804238
JW
1450 }
1451 }
1452 else
1453 {
1454 /* 33222222222211111111110000000000
1455 10987654321098765432109876543210
7684e580 1456 1x111000011xxxxxx11000xxxxxxxxxx
ee804238 1457 ldumaxl. */
e54010f1 1458 return 1119;
ee804238
JW
1459 }
1460 }
1461 else
1462 {
1463 if (((word >> 31) & 0x1) == 0)
1464 {
1465 if (((word >> 30) & 0x1) == 0)
1466 {
1467 /* 33222222222211111111110000000000
1468 10987654321098765432109876543210
7684e580 1469 00111000111xxxxxx11000xxxxxxxxxx
ee804238 1470 ldumaxalb. */
e54010f1 1471 return 1114;
ee804238
JW
1472 }
1473 else
1474 {
1475 /* 33222222222211111111110000000000
1476 10987654321098765432109876543210
7684e580 1477 01111000111xxxxxx11000xxxxxxxxxx
ee804238 1478 ldumaxalh. */
e54010f1 1479 return 1117;
ee804238
JW
1480 }
1481 }
1482 else
1483 {
1484 /* 33222222222211111111110000000000
1485 10987654321098765432109876543210
7684e580 1486 1x111000111xxxxxx11000xxxxxxxxxx
ee804238 1487 ldumaxal. */
e54010f1 1488 return 1120;
ee804238
JW
1489 }
1490 }
1491 }
1492 }
1493 }
1494 }
1495 else
1496 {
1497 if (((word >> 13) & 0x1) == 0)
1498 {
1499 if (((word >> 14) & 0x1) == 0)
1500 {
1501 if (((word >> 22) & 0x1) == 0)
1502 {
1503 if (((word >> 23) & 0x1) == 0)
1504 {
1505 if (((word >> 31) & 0x1) == 0)
1506 {
1507 if (((word >> 30) & 0x1) == 0)
1508 {
1509 /* 33222222222211111111110000000000
1510 10987654321098765432109876543210
7684e580 1511 00111000001xxxxxx00100xxxxxxxxxx
ee804238 1512 ldclrb. */
e54010f1 1513 return 1049;
ee804238
JW
1514 }
1515 else
1516 {
1517 /* 33222222222211111111110000000000
1518 10987654321098765432109876543210
7684e580 1519 01111000001xxxxxx00100xxxxxxxxxx
ee804238 1520 ldclrh. */
e54010f1 1521 return 1050;
ee804238
JW
1522 }
1523 }
1524 else
1525 {
1526 /* 33222222222211111111110000000000
1527 10987654321098765432109876543210
7684e580 1528 1x111000001xxxxxx00100xxxxxxxxxx
ee804238 1529 ldclr. */
e54010f1 1530 return 1051;
ee804238
JW
1531 }
1532 }
1533 else
1534 {
1535 if (((word >> 31) & 0x1) == 0)
1536 {
1537 if (((word >> 30) & 0x1) == 0)
1538 {
1539 /* 33222222222211111111110000000000
1540 10987654321098765432109876543210
7684e580 1541 00111000101xxxxxx00100xxxxxxxxxx
ee804238 1542 ldclrab. */
e54010f1 1543 return 1052;
ee804238
JW
1544 }
1545 else
1546 {
1547 /* 33222222222211111111110000000000
1548 10987654321098765432109876543210
7684e580 1549 01111000101xxxxxx00100xxxxxxxxxx
ee804238 1550 ldclrah. */
e54010f1 1551 return 1055;
ee804238
JW
1552 }
1553 }
1554 else
1555 {
1556 /* 33222222222211111111110000000000
1557 10987654321098765432109876543210
7684e580 1558 1x111000101xxxxxx00100xxxxxxxxxx
ee804238 1559 ldclra. */
e54010f1 1560 return 1058;
ee804238
JW
1561 }
1562 }
1563 }
1564 else
1565 {
1566 if (((word >> 23) & 0x1) == 0)
1567 {
1568 if (((word >> 31) & 0x1) == 0)
1569 {
1570 if (((word >> 30) & 0x1) == 0)
1571 {
1572 /* 33222222222211111111110000000000
1573 10987654321098765432109876543210
7684e580 1574 00111000011xxxxxx00100xxxxxxxxxx
ee804238 1575 ldclrlb. */
e54010f1 1576 return 1053;
ee804238
JW
1577 }
1578 else
1579 {
1580 /* 33222222222211111111110000000000
1581 10987654321098765432109876543210
7684e580 1582 01111000011xxxxxx00100xxxxxxxxxx
ee804238 1583 ldclrlh. */
e54010f1 1584 return 1056;
ee804238
JW
1585 }
1586 }
1587 else
1588 {
1589 /* 33222222222211111111110000000000
1590 10987654321098765432109876543210
7684e580 1591 1x111000011xxxxxx00100xxxxxxxxxx
ee804238 1592 ldclrl. */
e54010f1 1593 return 1059;
ee804238
JW
1594 }
1595 }
1596 else
1597 {
1598 if (((word >> 31) & 0x1) == 0)
1599 {
1600 if (((word >> 30) & 0x1) == 0)
1601 {
1602 /* 33222222222211111111110000000000
1603 10987654321098765432109876543210
7684e580 1604 00111000111xxxxxx00100xxxxxxxxxx
ee804238 1605 ldclralb. */
e54010f1 1606 return 1054;
ee804238
JW
1607 }
1608 else
1609 {
1610 /* 33222222222211111111110000000000
1611 10987654321098765432109876543210
7684e580 1612 01111000111xxxxxx00100xxxxxxxxxx
ee804238 1613 ldclralh. */
e54010f1 1614 return 1057;
ee804238
JW
1615 }
1616 }
1617 else
1618 {
1619 /* 33222222222211111111110000000000
1620 10987654321098765432109876543210
7684e580 1621 1x111000111xxxxxx00100xxxxxxxxxx
ee804238 1622 ldclral. */
e54010f1 1623 return 1060;
ee804238
JW
1624 }
1625 }
1626 }
1627 }
1628 else
1629 {
1630 if (((word >> 22) & 0x1) == 0)
1631 {
1632 if (((word >> 23) & 0x1) == 0)
1633 {
1634 if (((word >> 31) & 0x1) == 0)
1635 {
1636 if (((word >> 30) & 0x1) == 0)
1637 {
1638 /* 33222222222211111111110000000000
1639 10987654321098765432109876543210
7684e580 1640 00111000001xxxxxx10100xxxxxxxxxx
ee804238 1641 ldsminb. */
e54010f1 1642 return 1097;
ee804238
JW
1643 }
1644 else
1645 {
1646 /* 33222222222211111111110000000000
1647 10987654321098765432109876543210
7684e580 1648 01111000001xxxxxx10100xxxxxxxxxx
ee804238 1649 ldsminh. */
e54010f1 1650 return 1098;
ee804238
JW
1651 }
1652 }
1653 else
1654 {
1655 /* 33222222222211111111110000000000
1656 10987654321098765432109876543210
7684e580 1657 1x111000001xxxxxx10100xxxxxxxxxx
ee804238 1658 ldsmin. */
e54010f1 1659 return 1099;
ee804238
JW
1660 }
1661 }
1662 else
1663 {
1664 if (((word >> 31) & 0x1) == 0)
1665 {
1666 if (((word >> 30) & 0x1) == 0)
1667 {
1668 /* 33222222222211111111110000000000
1669 10987654321098765432109876543210
7684e580 1670 00111000101xxxxxx10100xxxxxxxxxx
ee804238 1671 ldsminab. */
e54010f1 1672 return 1100;
ee804238
JW
1673 }
1674 else
1675 {
1676 /* 33222222222211111111110000000000
1677 10987654321098765432109876543210
7684e580 1678 01111000101xxxxxx10100xxxxxxxxxx
ee804238 1679 ldsminah. */
e54010f1 1680 return 1103;
ee804238
JW
1681 }
1682 }
1683 else
1684 {
1685 /* 33222222222211111111110000000000
1686 10987654321098765432109876543210
7684e580 1687 1x111000101xxxxxx10100xxxxxxxxxx
ee804238 1688 ldsmina. */
e54010f1 1689 return 1106;
ee804238
JW
1690 }
1691 }
1692 }
1693 else
1694 {
1695 if (((word >> 23) & 0x1) == 0)
1696 {
1697 if (((word >> 31) & 0x1) == 0)
1698 {
1699 if (((word >> 30) & 0x1) == 0)
1700 {
1701 /* 33222222222211111111110000000000
1702 10987654321098765432109876543210
7684e580 1703 00111000011xxxxxx10100xxxxxxxxxx
ee804238 1704 ldsminlb. */
e54010f1 1705 return 1101;
ee804238
JW
1706 }
1707 else
1708 {
1709 /* 33222222222211111111110000000000
1710 10987654321098765432109876543210
7684e580 1711 01111000011xxxxxx10100xxxxxxxxxx
ee804238 1712 ldsminlh. */
e54010f1 1713 return 1104;
ee804238
JW
1714 }
1715 }
1716 else
1717 {
1718 /* 33222222222211111111110000000000
1719 10987654321098765432109876543210
7684e580 1720 1x111000011xxxxxx10100xxxxxxxxxx
ee804238 1721 ldsminl. */
e54010f1 1722 return 1107;
ee804238
JW
1723 }
1724 }
1725 else
1726 {
1727 if (((word >> 31) & 0x1) == 0)
1728 {
1729 if (((word >> 30) & 0x1) == 0)
1730 {
1731 /* 33222222222211111111110000000000
1732 10987654321098765432109876543210
7684e580 1733 00111000111xxxxxx10100xxxxxxxxxx
ee804238 1734 ldsminalb. */
e54010f1 1735 return 1102;
ee804238
JW
1736 }
1737 else
1738 {
1739 /* 33222222222211111111110000000000
1740 10987654321098765432109876543210
7684e580 1741 01111000111xxxxxx10100xxxxxxxxxx
ee804238 1742 ldsminalh. */
e54010f1 1743 return 1105;
ee804238
JW
1744 }
1745 }
1746 else
1747 {
1748 /* 33222222222211111111110000000000
1749 10987654321098765432109876543210
7684e580 1750 1x111000111xxxxxx10100xxxxxxxxxx
ee804238 1751 ldsminal. */
e54010f1 1752 return 1108;
ee804238
JW
1753 }
1754 }
1755 }
1756 }
1757 }
1758 else
1759 {
1760 if (((word >> 14) & 0x1) == 0)
1761 {
1762 if (((word >> 22) & 0x1) == 0)
1763 {
1764 if (((word >> 23) & 0x1) == 0)
1765 {
1766 if (((word >> 31) & 0x1) == 0)
1767 {
1768 if (((word >> 30) & 0x1) == 0)
1769 {
1770 /* 33222222222211111111110000000000
1771 10987654321098765432109876543210
7684e580 1772 00111000001xxxxxx01100xxxxxxxxxx
ee804238 1773 ldsetb. */
e54010f1 1774 return 1073;
ee804238
JW
1775 }
1776 else
1777 {
1778 /* 33222222222211111111110000000000
1779 10987654321098765432109876543210
7684e580 1780 01111000001xxxxxx01100xxxxxxxxxx
ee804238 1781 ldseth. */
e54010f1 1782 return 1074;
ee804238
JW
1783 }
1784 }
1785 else
1786 {
1787 /* 33222222222211111111110000000000
1788 10987654321098765432109876543210
7684e580 1789 1x111000001xxxxxx01100xxxxxxxxxx
ee804238 1790 ldset. */
e54010f1 1791 return 1075;
ee804238
JW
1792 }
1793 }
1794 else
1795 {
1796 if (((word >> 31) & 0x1) == 0)
1797 {
1798 if (((word >> 30) & 0x1) == 0)
1799 {
1800 /* 33222222222211111111110000000000
1801 10987654321098765432109876543210
7684e580 1802 00111000101xxxxxx01100xxxxxxxxxx
ee804238 1803 ldsetab. */
e54010f1 1804 return 1076;
ee804238
JW
1805 }
1806 else
1807 {
1808 /* 33222222222211111111110000000000
1809 10987654321098765432109876543210
7684e580 1810 01111000101xxxxxx01100xxxxxxxxxx
ee804238 1811 ldsetah. */
e54010f1 1812 return 1079;
ee804238
JW
1813 }
1814 }
1815 else
1816 {
1817 /* 33222222222211111111110000000000
1818 10987654321098765432109876543210
7684e580 1819 1x111000101xxxxxx01100xxxxxxxxxx
ee804238 1820 ldseta. */
e54010f1 1821 return 1082;
ee804238
JW
1822 }
1823 }
1824 }
1825 else
1826 {
1827 if (((word >> 23) & 0x1) == 0)
1828 {
1829 if (((word >> 31) & 0x1) == 0)
1830 {
1831 if (((word >> 30) & 0x1) == 0)
1832 {
1833 /* 33222222222211111111110000000000
1834 10987654321098765432109876543210
7684e580 1835 00111000011xxxxxx01100xxxxxxxxxx
ee804238 1836 ldsetlb. */
e54010f1 1837 return 1077;
ee804238
JW
1838 }
1839 else
1840 {
1841 /* 33222222222211111111110000000000
1842 10987654321098765432109876543210
7684e580 1843 01111000011xxxxxx01100xxxxxxxxxx
ee804238 1844 ldsetlh. */
e54010f1 1845 return 1080;
ee804238
JW
1846 }
1847 }
1848 else
1849 {
1850 /* 33222222222211111111110000000000
1851 10987654321098765432109876543210
7684e580 1852 1x111000011xxxxxx01100xxxxxxxxxx
ee804238 1853 ldsetl. */
e54010f1 1854 return 1083;
ee804238
JW
1855 }
1856 }
1857 else
1858 {
1859 if (((word >> 31) & 0x1) == 0)
1860 {
1861 if (((word >> 30) & 0x1) == 0)
1862 {
1863 /* 33222222222211111111110000000000
1864 10987654321098765432109876543210
7684e580 1865 00111000111xxxxxx01100xxxxxxxxxx
ee804238 1866 ldsetalb. */
e54010f1 1867 return 1078;
ee804238
JW
1868 }
1869 else
1870 {
1871 /* 33222222222211111111110000000000
1872 10987654321098765432109876543210
7684e580 1873 01111000111xxxxxx01100xxxxxxxxxx
ee804238 1874 ldsetalh. */
e54010f1 1875 return 1081;
ee804238
JW
1876 }
1877 }
1878 else
1879 {
1880 /* 33222222222211111111110000000000
1881 10987654321098765432109876543210
7684e580 1882 1x111000111xxxxxx01100xxxxxxxxxx
ee804238 1883 ldsetal. */
e54010f1 1884 return 1084;
ee804238
JW
1885 }
1886 }
1887 }
a06ea964
NC
1888 }
1889 else
1890 {
ee804238
JW
1891 if (((word >> 22) & 0x1) == 0)
1892 {
1893 if (((word >> 23) & 0x1) == 0)
1894 {
1895 if (((word >> 31) & 0x1) == 0)
1896 {
1897 if (((word >> 30) & 0x1) == 0)
1898 {
1899 /* 33222222222211111111110000000000
1900 10987654321098765432109876543210
7684e580 1901 00111000001xxxxxx11100xxxxxxxxxx
ee804238 1902 lduminb. */
e54010f1 1903 return 1121;
ee804238
JW
1904 }
1905 else
1906 {
1907 /* 33222222222211111111110000000000
1908 10987654321098765432109876543210
7684e580 1909 01111000001xxxxxx11100xxxxxxxxxx
ee804238 1910 lduminh. */
e54010f1 1911 return 1122;
ee804238
JW
1912 }
1913 }
1914 else
1915 {
1916 /* 33222222222211111111110000000000
1917 10987654321098765432109876543210
7684e580 1918 1x111000001xxxxxx11100xxxxxxxxxx
ee804238 1919 ldumin. */
e54010f1 1920 return 1123;
ee804238
JW
1921 }
1922 }
1923 else
1924 {
1925 if (((word >> 31) & 0x1) == 0)
1926 {
1927 if (((word >> 30) & 0x1) == 0)
1928 {
1929 /* 33222222222211111111110000000000
1930 10987654321098765432109876543210
7684e580 1931 00111000101xxxxxx11100xxxxxxxxxx
ee804238 1932 lduminab. */
e54010f1 1933 return 1124;
ee804238
JW
1934 }
1935 else
1936 {
1937 /* 33222222222211111111110000000000
1938 10987654321098765432109876543210
7684e580 1939 01111000101xxxxxx11100xxxxxxxxxx
ee804238 1940 lduminah. */
e54010f1 1941 return 1127;
ee804238
JW
1942 }
1943 }
1944 else
1945 {
1946 /* 33222222222211111111110000000000
1947 10987654321098765432109876543210
7684e580 1948 1x111000101xxxxxx11100xxxxxxxxxx
ee804238 1949 ldumina. */
e54010f1 1950 return 1130;
ee804238
JW
1951 }
1952 }
1953 }
1954 else
1955 {
1956 if (((word >> 23) & 0x1) == 0)
1957 {
1958 if (((word >> 31) & 0x1) == 0)
1959 {
1960 if (((word >> 30) & 0x1) == 0)
1961 {
1962 /* 33222222222211111111110000000000
1963 10987654321098765432109876543210
7684e580 1964 00111000011xxxxxx11100xxxxxxxxxx
ee804238 1965 lduminlb. */
e54010f1 1966 return 1125;
ee804238
JW
1967 }
1968 else
1969 {
1970 /* 33222222222211111111110000000000
1971 10987654321098765432109876543210
7684e580 1972 01111000011xxxxxx11100xxxxxxxxxx
ee804238 1973 lduminlh. */
e54010f1 1974 return 1128;
ee804238
JW
1975 }
1976 }
1977 else
1978 {
1979 /* 33222222222211111111110000000000
1980 10987654321098765432109876543210
7684e580 1981 1x111000011xxxxxx11100xxxxxxxxxx
ee804238 1982 lduminl. */
e54010f1 1983 return 1131;
ee804238
JW
1984 }
1985 }
1986 else
1987 {
1988 if (((word >> 31) & 0x1) == 0)
1989 {
1990 if (((word >> 30) & 0x1) == 0)
1991 {
1992 /* 33222222222211111111110000000000
1993 10987654321098765432109876543210
7684e580 1994 00111000111xxxxxx11100xxxxxxxxxx
ee804238 1995 lduminalb. */
e54010f1 1996 return 1126;
ee804238
JW
1997 }
1998 else
1999 {
2000 /* 33222222222211111111110000000000
2001 10987654321098765432109876543210
7684e580 2002 01111000111xxxxxx11100xxxxxxxxxx
ee804238 2003 lduminalh. */
e54010f1 2004 return 1129;
ee804238
JW
2005 }
2006 }
2007 else
2008 {
2009 /* 33222222222211111111110000000000
2010 10987654321098765432109876543210
7684e580 2011 1x111000111xxxxxx11100xxxxxxxxxx
ee804238 2012 lduminal. */
e54010f1 2013 return 1132;
ee804238
JW
2014 }
2015 }
2016 }
a06ea964
NC
2017 }
2018 }
a06ea964
NC
2019 }
2020 }
2021 }
2022 else
2023 {
2024 if (((word >> 21) & 0x1) == 0)
2025 {
2026 if (((word >> 23) & 0x1) == 0)
2027 {
2028 if (((word >> 22) & 0x1) == 0)
2029 {
2030 if (((word >> 31) & 0x1) == 0)
2031 {
2032 if (((word >> 30) & 0x1) == 0)
2033 {
2034 /* 33222222222211111111110000000000
2035 10987654321098765432109876543210
7684e580 2036 00111000000xxxxxxxxx10xxxxxxxxxx
a06ea964 2037 sttrb. */
fb3265b3 2038 return 912;
a06ea964
NC
2039 }
2040 else
2041 {
2042 /* 33222222222211111111110000000000
2043 10987654321098765432109876543210
7684e580 2044 01111000000xxxxxxxxx10xxxxxxxxxx
a06ea964 2045 sttrh. */
fb3265b3 2046 return 915;
a06ea964
NC
2047 }
2048 }
2049 else
2050 {
2051 /* 33222222222211111111110000000000
2052 10987654321098765432109876543210
7684e580 2053 1x111000000xxxxxxxxx10xxxxxxxxxx
a06ea964 2054 sttr. */
fb3265b3 2055 return 918;
a06ea964
NC
2056 }
2057 }
2058 else
2059 {
2060 if (((word >> 31) & 0x1) == 0)
2061 {
2062 if (((word >> 30) & 0x1) == 0)
2063 {
2064 /* 33222222222211111111110000000000
2065 10987654321098765432109876543210
7684e580 2066 00111000010xxxxxxxxx10xxxxxxxxxx
a06ea964 2067 ldtrb. */
fb3265b3 2068 return 913;
a06ea964
NC
2069 }
2070 else
2071 {
2072 /* 33222222222211111111110000000000
2073 10987654321098765432109876543210
7684e580 2074 01111000010xxxxxxxxx10xxxxxxxxxx
a06ea964 2075 ldtrh. */
fb3265b3 2076 return 916;
a06ea964
NC
2077 }
2078 }
2079 else
2080 {
2081 /* 33222222222211111111110000000000
2082 10987654321098765432109876543210
7684e580 2083 1x111000010xxxxxxxxx10xxxxxxxxxx
a06ea964 2084 ldtr. */
fb3265b3 2085 return 919;
a06ea964
NC
2086 }
2087 }
2088 }
2089 else
2090 {
2091 if (((word >> 30) & 0x1) == 0)
2092 {
2093 if (((word >> 31) & 0x1) == 0)
2094 {
2095 /* 33222222222211111111110000000000
2096 10987654321098765432109876543210
7684e580 2097 001110001x0xxxxxxxxx10xxxxxxxxxx
a06ea964 2098 ldtrsb. */
fb3265b3 2099 return 914;
a06ea964
NC
2100 }
2101 else
2102 {
2103 /* 33222222222211111111110000000000
2104 10987654321098765432109876543210
7684e580 2105 101110001x0xxxxxxxxx10xxxxxxxxxx
a06ea964 2106 ldtrsw. */
fb3265b3 2107 return 920;
a06ea964
NC
2108 }
2109 }
2110 else
2111 {
2112 /* 33222222222211111111110000000000
2113 10987654321098765432109876543210
7684e580 2114 x11110001x0xxxxxxxxx10xxxxxxxxxx
a06ea964 2115 ldtrsh. */
fb3265b3 2116 return 917;
a06ea964
NC
2117 }
2118 }
2119 }
2120 else
2121 {
2122 if (((word >> 23) & 0x1) == 0)
2123 {
2124 if (((word >> 22) & 0x1) == 0)
2125 {
2126 if (((word >> 31) & 0x1) == 0)
2127 {
2128 if (((word >> 30) & 0x1) == 0)
2129 {
2130 /* 33222222222211111111110000000000
2131 10987654321098765432109876543210
7684e580 2132 00111000001xxxxxxxxx10xxxxxxxxxx
a06ea964 2133 strb. */
fb3265b3 2134 return 900;
a06ea964
NC
2135 }
2136 else
2137 {
2138 /* 33222222222211111111110000000000
2139 10987654321098765432109876543210
7684e580 2140 01111000001xxxxxxxxx10xxxxxxxxxx
a06ea964 2141 strh. */
fb3265b3 2142 return 905;
a06ea964
NC
2143 }
2144 }
2145 else
2146 {
2147 /* 33222222222211111111110000000000
2148 10987654321098765432109876543210
7684e580 2149 1x111000001xxxxxxxxx10xxxxxxxxxx
a06ea964 2150 str. */
fb3265b3 2151 return 908;
a06ea964
NC
2152 }
2153 }
2154 else
2155 {
2156 if (((word >> 31) & 0x1) == 0)
2157 {
2158 if (((word >> 30) & 0x1) == 0)
2159 {
2160 /* 33222222222211111111110000000000
2161 10987654321098765432109876543210
7684e580 2162 00111000011xxxxxxxxx10xxxxxxxxxx
a06ea964 2163 ldrb. */
fb3265b3 2164 return 901;
a06ea964
NC
2165 }
2166 else
2167 {
2168 /* 33222222222211111111110000000000
2169 10987654321098765432109876543210
7684e580 2170 01111000011xxxxxxxxx10xxxxxxxxxx
a06ea964 2171 ldrh. */
fb3265b3 2172 return 906;
a06ea964
NC
2173 }
2174 }
2175 else
2176 {
2177 /* 33222222222211111111110000000000
2178 10987654321098765432109876543210
7684e580 2179 1x111000011xxxxxxxxx10xxxxxxxxxx
a06ea964 2180 ldr. */
fb3265b3 2181 return 909;
a06ea964
NC
2182 }
2183 }
2184 }
2185 else
2186 {
2187 if (((word >> 30) & 0x1) == 0)
2188 {
2189 if (((word >> 31) & 0x1) == 0)
2190 {
2191 /* 33222222222211111111110000000000
2192 10987654321098765432109876543210
7684e580 2193 001110001x1xxxxxxxxx10xxxxxxxxxx
a06ea964 2194 ldrsb. */
fb3265b3 2195 return 902;
a06ea964
NC
2196 }
2197 else
2198 {
2199 /* 33222222222211111111110000000000
2200 10987654321098765432109876543210
7684e580 2201 101110001x1xxxxxxxxx10xxxxxxxxxx
a06ea964 2202 ldrsw. */
fb3265b3 2203 return 910;
a06ea964
NC
2204 }
2205 }
2206 else
2207 {
2208 if (((word >> 31) & 0x1) == 0)
2209 {
2210 /* 33222222222211111111110000000000
2211 10987654321098765432109876543210
7684e580 2212 011110001x1xxxxxxxxx10xxxxxxxxxx
a06ea964 2213 ldrsh. */
fb3265b3 2214 return 907;
a06ea964
NC
2215 }
2216 else
2217 {
2218 /* 33222222222211111111110000000000
2219 10987654321098765432109876543210
7684e580 2220 111110001x1xxxxxxxxx10xxxxxxxxxx
a06ea964 2221 prfm. */
fb3265b3 2222 return 911;
a06ea964
NC
2223 }
2224 }
2225 }
2226 }
2227 }
2228 }
2229 else
2230 {
3f06e550 2231 if (((word >> 21) & 0x1) == 0)
a06ea964 2232 {
3f06e550 2233 if (((word >> 23) & 0x1) == 0)
a06ea964 2234 {
3f06e550 2235 if (((word >> 22) & 0x1) == 0)
a06ea964 2236 {
3f06e550 2237 if (((word >> 31) & 0x1) == 0)
a06ea964 2238 {
3f06e550
SN
2239 if (((word >> 30) & 0x1) == 0)
2240 {
2241 /* 33222222222211111111110000000000
2242 10987654321098765432109876543210
7684e580 2243 00111000000xxxxxxxxxx1xxxxxxxxxx
3f06e550 2244 strb. */
b731bc3b 2245 return 869;
3f06e550
SN
2246 }
2247 else
2248 {
2249 /* 33222222222211111111110000000000
2250 10987654321098765432109876543210
7684e580 2251 01111000000xxxxxxxxxx1xxxxxxxxxx
3f06e550 2252 strh. */
b731bc3b 2253 return 874;
3f06e550 2254 }
a06ea964
NC
2255 }
2256 else
2257 {
2258 /* 33222222222211111111110000000000
2259 10987654321098765432109876543210
7684e580 2260 1x111000000xxxxxxxxxx1xxxxxxxxxx
3f06e550 2261 str. */
b731bc3b 2262 return 877;
a06ea964
NC
2263 }
2264 }
2265 else
2266 {
3f06e550
SN
2267 if (((word >> 31) & 0x1) == 0)
2268 {
2269 if (((word >> 30) & 0x1) == 0)
2270 {
2271 /* 33222222222211111111110000000000
2272 10987654321098765432109876543210
7684e580 2273 00111000010xxxxxxxxxx1xxxxxxxxxx
3f06e550 2274 ldrb. */
b731bc3b 2275 return 870;
3f06e550
SN
2276 }
2277 else
2278 {
2279 /* 33222222222211111111110000000000
2280 10987654321098765432109876543210
7684e580 2281 01111000010xxxxxxxxxx1xxxxxxxxxx
3f06e550 2282 ldrh. */
b731bc3b 2283 return 875;
3f06e550
SN
2284 }
2285 }
2286 else
2287 {
2288 /* 33222222222211111111110000000000
2289 10987654321098765432109876543210
7684e580 2290 1x111000010xxxxxxxxxx1xxxxxxxxxx
3f06e550 2291 ldr. */
b731bc3b 2292 return 878;
3f06e550 2293 }
a06ea964
NC
2294 }
2295 }
2296 else
2297 {
3f06e550 2298 if (((word >> 30) & 0x1) == 0)
a06ea964 2299 {
3f06e550 2300 if (((word >> 31) & 0x1) == 0)
a06ea964
NC
2301 {
2302 /* 33222222222211111111110000000000
2303 10987654321098765432109876543210
7684e580 2304 001110001x0xxxxxxxxxx1xxxxxxxxxx
3f06e550 2305 ldrsb. */
b731bc3b 2306 return 871;
a06ea964
NC
2307 }
2308 else
2309 {
2310 /* 33222222222211111111110000000000
2311 10987654321098765432109876543210
7684e580 2312 101110001x0xxxxxxxxxx1xxxxxxxxxx
3f06e550 2313 ldrsw. */
b731bc3b 2314 return 879;
a06ea964
NC
2315 }
2316 }
2317 else
2318 {
2319 /* 33222222222211111111110000000000
2320 10987654321098765432109876543210
7684e580 2321 x11110001x0xxxxxxxxxx1xxxxxxxxxx
3f06e550 2322 ldrsh. */
b731bc3b 2323 return 876;
a06ea964
NC
2324 }
2325 }
2326 }
2327 else
2328 {
3f06e550 2329 if (((word >> 23) & 0x1) == 0)
a06ea964 2330 {
3f06e550
SN
2331 /* 33222222222211111111110000000000
2332 10987654321098765432109876543210
7684e580 2333 xx1110000x1xxxxxxxxxx1xxxxxxxxxx
3f06e550 2334 ldraa. */
e6025b54 2335 return 934;
a06ea964
NC
2336 }
2337 else
2338 {
2339 /* 33222222222211111111110000000000
2340 10987654321098765432109876543210
7684e580 2341 xx1110001x1xxxxxxxxxx1xxxxxxxxxx
3f06e550 2342 ldrab. */
e6025b54 2343 return 935;
a06ea964
NC
2344 }
2345 }
2346 }
2347 }
2348 }
2349 else
2350 {
2351 if (((word >> 23) & 0x1) == 0)
2352 {
2353 if (((word >> 22) & 0x1) == 0)
2354 {
e9dbdd80 2355 if (((word >> 29) & 0x1) == 0)
a06ea964 2356 {
fb3265b3 2357 if (((word >> 10) & 0x1) == 0)
a06ea964 2358 {
fb3265b3 2359 if (((word >> 11) & 0x1) == 0)
e9dbdd80 2360 {
20a4ca55 2361 if (((word >> 21) & 0x1) == 0)
fb3265b3 2362 {
20a4ca55 2363 if (((word >> 30) & 0x1) == 0)
fb3265b3 2364 {
20a4ca55
SD
2365 if (((word >> 31) & 0x1) == 0)
2366 {
2367 /* 33222222222211111111110000000000
2368 10987654321098765432109876543210
2369 00011001000xxxxxxxxx00xxxxxxxxxx
2370 stlurb. */
42e6288f 2371 return 2375;
20a4ca55
SD
2372 }
2373 else
2374 {
2375 /* 33222222222211111111110000000000
2376 10987654321098765432109876543210
2377 10011001000xxxxxxxxx00xxxxxxxxxx
2378 stlur. */
42e6288f 2379 return 2383;
20a4ca55 2380 }
fb3265b3
SD
2381 }
2382 else
2383 {
20a4ca55
SD
2384 if (((word >> 31) & 0x1) == 0)
2385 {
2386 /* 33222222222211111111110000000000
2387 10987654321098765432109876543210
2388 01011001000xxxxxxxxx00xxxxxxxxxx
2389 stlurh. */
42e6288f 2390 return 2379;
20a4ca55
SD
2391 }
2392 else
2393 {
2394 /* 33222222222211111111110000000000
2395 10987654321098765432109876543210
2396 11011001000xxxxxxxxx00xxxxxxxxxx
2397 stlur. */
42e6288f 2398 return 2386;
20a4ca55 2399 }
fb3265b3
SD
2400 }
2401 }
2402 else
2403 {
20a4ca55
SD
2404 /* 33222222222211111111110000000000
2405 10987654321098765432109876543210
2406 xx011001001xxxxxxxxx00xxxxxxxxxx
2407 stzgm. */
e54010f1 2408 return 963;
fb3265b3 2409 }
e9dbdd80
TC
2410 }
2411 else
2412 {
2413 /* 33222222222211111111110000000000
2414 10987654321098765432109876543210
fb3265b3
SD
2415 xx01100100xxxxxxxxxx10xxxxxxxxxx
2416 stg. */
2417 return 880;
e9dbdd80 2418 }
a06ea964
NC
2419 }
2420 else
2421 {
fb3265b3
SD
2422 /* 33222222222211111111110000000000
2423 10987654321098765432109876543210
2424 xx01100100xxxxxxxxxxx1xxxxxxxxxx
2425 stg. */
2426 return 884;
a06ea964
NC
2427 }
2428 }
2429 else
2430 {
e9dbdd80
TC
2431 if (((word >> 31) & 0x1) == 0)
2432 {
2433 if (((word >> 30) & 0x1) == 0)
2434 {
2435 /* 33222222222211111111110000000000
2436 10987654321098765432109876543210
2437 0011100100xxxxxxxxxxxxxxxxxxxxxx
2438 strb. */
fb3265b3 2439 return 888;
e9dbdd80
TC
2440 }
2441 else
2442 {
2443 /* 33222222222211111111110000000000
2444 10987654321098765432109876543210
2445 0111100100xxxxxxxxxxxxxxxxxxxxxx
2446 strh. */
fb3265b3 2447 return 893;
e9dbdd80
TC
2448 }
2449 }
2450 else
2451 {
2452 /* 33222222222211111111110000000000
2453 10987654321098765432109876543210
2454 1x11100100xxxxxxxxxxxxxxxxxxxxxx
2455 str. */
fb3265b3 2456 return 896;
e9dbdd80 2457 }
a06ea964
NC
2458 }
2459 }
2460 else
2461 {
e9dbdd80 2462 if (((word >> 29) & 0x1) == 0)
a06ea964 2463 {
fb3265b3 2464 if (((word >> 10) & 0x1) == 0)
a06ea964 2465 {
fb3265b3 2466 if (((word >> 11) & 0x1) == 0)
e9dbdd80 2467 {
e6025b54 2468 if (((word >> 21) & 0x1) == 0)
fb3265b3 2469 {
e6025b54 2470 if (((word >> 30) & 0x1) == 0)
fb3265b3 2471 {
e6025b54
SD
2472 if (((word >> 31) & 0x1) == 0)
2473 {
2474 /* 33222222222211111111110000000000
2475 10987654321098765432109876543210
2476 00011001010xxxxxxxxx00xxxxxxxxxx
2477 ldapurb. */
42e6288f 2478 return 2376;
e6025b54
SD
2479 }
2480 else
2481 {
2482 /* 33222222222211111111110000000000
2483 10987654321098765432109876543210
2484 10011001010xxxxxxxxx00xxxxxxxxxx
2485 ldapur. */
42e6288f 2486 return 2384;
e6025b54 2487 }
fb3265b3
SD
2488 }
2489 else
2490 {
e6025b54
SD
2491 if (((word >> 31) & 0x1) == 0)
2492 {
2493 /* 33222222222211111111110000000000
2494 10987654321098765432109876543210
2495 01011001010xxxxxxxxx00xxxxxxxxxx
2496 ldapurh. */
42e6288f 2497 return 2380;
e6025b54
SD
2498 }
2499 else
2500 {
2501 /* 33222222222211111111110000000000
2502 10987654321098765432109876543210
2503 11011001010xxxxxxxxx00xxxxxxxxxx
2504 ldapur. */
42e6288f 2505 return 2387;
e6025b54 2506 }
fb3265b3
SD
2507 }
2508 }
2509 else
2510 {
e6025b54
SD
2511 /* 33222222222211111111110000000000
2512 10987654321098765432109876543210
2513 xx011001011xxxxxxxxx00xxxxxxxxxx
2514 ldg. */
2515 return 933;
fb3265b3 2516 }
e9dbdd80
TC
2517 }
2518 else
2519 {
2520 /* 33222222222211111111110000000000
2521 10987654321098765432109876543210
fb3265b3
SD
2522 xx01100101xxxxxxxxxx10xxxxxxxxxx
2523 stzg. */
2524 return 881;
e9dbdd80 2525 }
a06ea964
NC
2526 }
2527 else
2528 {
fb3265b3
SD
2529 /* 33222222222211111111110000000000
2530 10987654321098765432109876543210
2531 xx01100101xxxxxxxxxxx1xxxxxxxxxx
2532 stzg. */
2533 return 885;
a06ea964
NC
2534 }
2535 }
2536 else
2537 {
e9dbdd80
TC
2538 if (((word >> 31) & 0x1) == 0)
2539 {
2540 if (((word >> 30) & 0x1) == 0)
2541 {
2542 /* 33222222222211111111110000000000
2543 10987654321098765432109876543210
2544 0011100101xxxxxxxxxxxxxxxxxxxxxx
2545 ldrb. */
fb3265b3 2546 return 889;
e9dbdd80
TC
2547 }
2548 else
2549 {
2550 /* 33222222222211111111110000000000
2551 10987654321098765432109876543210
2552 0111100101xxxxxxxxxxxxxxxxxxxxxx
2553 ldrh. */
fb3265b3 2554 return 894;
e9dbdd80
TC
2555 }
2556 }
2557 else
2558 {
2559 /* 33222222222211111111110000000000
2560 10987654321098765432109876543210
2561 1x11100101xxxxxxxxxxxxxxxxxxxxxx
2562 ldr. */
fb3265b3
SD
2563 return 897;
2564 }
2565 }
2566 }
2567 }
2568 else
2569 {
2570 if (((word >> 29) & 0x1) == 0)
2571 {
2572 if (((word >> 10) & 0x1) == 0)
2573 {
2574 if (((word >> 11) & 0x1) == 0)
2575 {
e54010f1 2576 if (((word >> 21) & 0x1) == 0)
fb3265b3 2577 {
e54010f1 2578 if (((word >> 22) & 0x1) == 0)
fb3265b3 2579 {
e54010f1 2580 if (((word >> 30) & 0x1) == 0)
503ba600 2581 {
e54010f1
SD
2582 if (((word >> 31) & 0x1) == 0)
2583 {
2584 /* 33222222222211111111110000000000
2585 10987654321098765432109876543210
2586 00011001100xxxxxxxxx00xxxxxxxxxx
2587 ldapursb. */
42e6288f 2588 return 2378;
e54010f1
SD
2589 }
2590 else
2591 {
2592 /* 33222222222211111111110000000000
2593 10987654321098765432109876543210
2594 10011001100xxxxxxxxx00xxxxxxxxxx
2595 ldapursw. */
42e6288f 2596 return 2385;
e54010f1 2597 }
503ba600
SD
2598 }
2599 else
2600 {
2601 /* 33222222222211111111110000000000
2602 10987654321098765432109876543210
e54010f1
SD
2603 x1011001100xxxxxxxxx00xxxxxxxxxx
2604 ldapursh. */
42e6288f 2605 return 2382;
503ba600 2606 }
fb3265b3 2607 }
550fd7bf
SD
2608 else
2609 {
e54010f1
SD
2610 if (((word >> 30) & 0x1) == 0)
2611 {
2612 /* 33222222222211111111110000000000
2613 10987654321098765432109876543210
2614 x0011001110xxxxxxxxx00xxxxxxxxxx
2615 ldapursb. */
42e6288f 2616 return 2377;
e54010f1
SD
2617 }
2618 else
2619 {
2620 /* 33222222222211111111110000000000
2621 10987654321098765432109876543210
2622 x1011001110xxxxxxxxx00xxxxxxxxxx
2623 ldapursh. */
42e6288f 2624 return 2381;
e54010f1 2625 }
550fd7bf 2626 }
fb3265b3
SD
2627 }
2628 else
2629 {
e54010f1 2630 if (((word >> 22) & 0x1) == 0)
fb3265b3
SD
2631 {
2632 /* 33222222222211111111110000000000
2633 10987654321098765432109876543210
e54010f1
SD
2634 xx011001101xxxxxxxxx00xxxxxxxxxx
2635 stgm. */
2636 return 962;
fb3265b3
SD
2637 }
2638 else
2639 {
2640 /* 33222222222211111111110000000000
2641 10987654321098765432109876543210
e54010f1
SD
2642 xx011001111xxxxxxxxx00xxxxxxxxxx
2643 ldgm. */
2644 return 961;
fb3265b3
SD
2645 }
2646 }
e9dbdd80 2647 }
fb3265b3 2648 else
e9dbdd80 2649 {
fb3265b3 2650 if (((word >> 22) & 0x1) == 0)
e9dbdd80
TC
2651 {
2652 /* 33222222222211111111110000000000
2653 10987654321098765432109876543210
fb3265b3
SD
2654 xx01100110xxxxxxxxxx10xxxxxxxxxx
2655 st2g. */
2656 return 882;
e9dbdd80
TC
2657 }
2658 else
2659 {
2660 /* 33222222222211111111110000000000
2661 10987654321098765432109876543210
fb3265b3
SD
2662 xx01100111xxxxxxxxxx10xxxxxxxxxx
2663 stz2g. */
2664 return 883;
e9dbdd80
TC
2665 }
2666 }
a06ea964
NC
2667 }
2668 else
2669 {
fb3265b3 2670 if (((word >> 22) & 0x1) == 0)
e9dbdd80
TC
2671 {
2672 /* 33222222222211111111110000000000
2673 10987654321098765432109876543210
fb3265b3
SD
2674 xx01100110xxxxxxxxxxx1xxxxxxxxxx
2675 st2g. */
2676 return 886;
e9dbdd80
TC
2677 }
2678 else
2679 {
2680 /* 33222222222211111111110000000000
2681 10987654321098765432109876543210
fb3265b3
SD
2682 xx01100111xxxxxxxxxxx1xxxxxxxxxx
2683 stz2g. */
2684 return 887;
e9dbdd80 2685 }
a06ea964
NC
2686 }
2687 }
2688 else
2689 {
e9dbdd80 2690 if (((word >> 30) & 0x1) == 0)
a06ea964 2691 {
e9dbdd80
TC
2692 if (((word >> 31) & 0x1) == 0)
2693 {
2694 /* 33222222222211111111110000000000
2695 10987654321098765432109876543210
2696 001110011xxxxxxxxxxxxxxxxxxxxxxx
2697 ldrsb. */
fb3265b3 2698 return 890;
e9dbdd80
TC
2699 }
2700 else
2701 {
2702 /* 33222222222211111111110000000000
2703 10987654321098765432109876543210
2704 101110011xxxxxxxxxxxxxxxxxxxxxxx
2705 ldrsw. */
fb3265b3 2706 return 898;
e9dbdd80 2707 }
a06ea964
NC
2708 }
2709 else
2710 {
e9dbdd80
TC
2711 if (((word >> 31) & 0x1) == 0)
2712 {
2713 /* 33222222222211111111110000000000
2714 10987654321098765432109876543210
2715 011110011xxxxxxxxxxxxxxxxxxxxxxx
2716 ldrsh. */
fb3265b3 2717 return 895;
e9dbdd80
TC
2718 }
2719 else
2720 {
2721 /* 33222222222211111111110000000000
2722 10987654321098765432109876543210
2723 111110011xxxxxxxxxxxxxxxxxxxxxxx
2724 prfm. */
fb3265b3 2725 return 899;
e9dbdd80 2726 }
a06ea964
NC
2727 }
2728 }
2729 }
2730 }
2731 }
2732 }
2733 }
2734 else
2735 {
2736 if (((word >> 24) & 0x1) == 0)
2737 {
2738 if (((word >> 27) & 0x1) == 0)
2739 {
2740 if (((word >> 23) & 0x1) == 0)
2741 {
2742 if (((word >> 29) & 0x1) == 0)
2743 {
2744 if (((word >> 30) & 0x1) == 0)
2745 {
2746 /* 33222222222211111111110000000000
2747 10987654321098765432109876543210
7684e580 2748 x00x00100xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2749 and. */
e54010f1 2750 return 990;
a06ea964
NC
2751 }
2752 else
2753 {
2754 /* 33222222222211111111110000000000
2755 10987654321098765432109876543210
7684e580 2756 x10x00100xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2757 eor. */
e54010f1 2758 return 994;
a06ea964
NC
2759 }
2760 }
2761 else
2762 {
2763 if (((word >> 30) & 0x1) == 0)
2764 {
2765 /* 33222222222211111111110000000000
2766 10987654321098765432109876543210
7684e580 2767 x01x00100xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2768 orr. */
e54010f1 2769 return 992;
a06ea964
NC
2770 }
2771 else
2772 {
2773 /* 33222222222211111111110000000000
2774 10987654321098765432109876543210
7684e580 2775 x11x00100xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2776 ands. */
e54010f1 2777 return 995;
a06ea964
NC
2778 }
2779 }
2780 }
2781 else
2782 {
2783 if (((word >> 29) & 0x1) == 0)
2784 {
2785 if (((word >> 30) & 0x1) == 0)
2786 {
2787 /* 33222222222211111111110000000000
2788 10987654321098765432109876543210
7684e580 2789 x00x00101xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2790 movn. */
e54010f1 2791 return 1181;
a06ea964
NC
2792 }
2793 else
2794 {
2795 /* 33222222222211111111110000000000
2796 10987654321098765432109876543210
7684e580 2797 x10x00101xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2798 movz. */
e54010f1 2799 return 1183;
a06ea964
NC
2800 }
2801 }
2802 else
2803 {
2804 /* 33222222222211111111110000000000
2805 10987654321098765432109876543210
7684e580 2806 xx1x00101xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2807 movk. */
e54010f1 2808 return 1185;
a06ea964
NC
2809 }
2810 }
2811 }
2812 else
2813 {
2814 if (((word >> 21) & 0x1) == 0)
2815 {
2816 if (((word >> 28) & 0x1) == 0)
2817 {
2818 if (((word >> 29) & 0x1) == 0)
2819 {
2820 if (((word >> 30) & 0x1) == 0)
2821 {
2822 /* 33222222222211111111110000000000
2823 10987654321098765432109876543210
7684e580 2824 x0001010xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 2825 and. */
e54010f1 2826 return 997;
a06ea964
NC
2827 }
2828 else
2829 {
2830 /* 33222222222211111111110000000000
2831 10987654321098765432109876543210
7684e580 2832 x1001010xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 2833 eor. */
e54010f1 2834 return 1004;
a06ea964
NC
2835 }
2836 }
2837 else
2838 {
2839 if (((word >> 30) & 0x1) == 0)
2840 {
2841 /* 33222222222211111111110000000000
2842 10987654321098765432109876543210
7684e580 2843 x0101010xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 2844 orr. */
e54010f1 2845 return 999;
a06ea964
NC
2846 }
2847 else
2848 {
2849 /* 33222222222211111111110000000000
2850 10987654321098765432109876543210
7684e580 2851 x1101010xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 2852 ands. */
e54010f1 2853 return 1006;
a06ea964
NC
2854 }
2855 }
2856 }
2857 else
2858 {
2859 if (((word >> 10) & 0x1) == 0)
2860 {
2861 if (((word >> 11) & 0x1) == 0)
2862 {
2863 if (((word >> 22) & 0x1) == 0)
2864 {
2865 if (((word >> 23) & 0x1) == 0)
2866 {
2867 if (((word >> 29) & 0x1) == 0)
2868 {
2869 if (((word >> 30) & 0x1) == 0)
2870 {
2871 /* 33222222222211111111110000000000
2872 10987654321098765432109876543210
7684e580 2873 x0011010000xxxxxxxxx00xxxxxxxxxx
a06ea964
NC
2874 adc. */
2875 return 0;
2876 }
2877 else
2878 {
2879 /* 33222222222211111111110000000000
2880 10987654321098765432109876543210
7684e580 2881 x1011010000xxxxxxxxx00xxxxxxxxxx
a06ea964
NC
2882 sbc. */
2883 return 2;
2884 }
2885 }
2886 else
2887 {
2888 if (((word >> 30) & 0x1) == 0)
2889 {
2890 /* 33222222222211111111110000000000
2891 10987654321098765432109876543210
7684e580 2892 x0111010000xxxxxxxxx00xxxxxxxxxx
a06ea964
NC
2893 adcs. */
2894 return 1;
2895 }
2896 else
2897 {
2898 /* 33222222222211111111110000000000
2899 10987654321098765432109876543210
7684e580 2900 x1111010000xxxxxxxxx00xxxxxxxxxx
a06ea964
NC
2901 sbcs. */
2902 return 4;
2903 }
2904 }
2905 }
2906 else
2907 {
2908 if (((word >> 30) & 0x1) == 0)
2909 {
2910 /* 33222222222211111111110000000000
2911 10987654321098765432109876543210
7684e580 2912 x0x11010100xxxxxxxxx00xxxxxxxxxx
a06ea964 2913 csel. */
193614f2 2914 return 662;
a06ea964
NC
2915 }
2916 else
2917 {
2918 /* 33222222222211111111110000000000
2919 10987654321098765432109876543210
7684e580 2920 x1x11010100xxxxxxxxx00xxxxxxxxxx
a06ea964 2921 csinv. */
193614f2 2922 return 666;
a06ea964
NC
2923 }
2924 }
2925 }
2926 else
2927 {
2928 if (((word >> 23) & 0x1) == 0)
2929 {
2930 if (((word >> 30) & 0x1) == 0)
2931 {
2932 /* 33222222222211111111110000000000
2933 10987654321098765432109876543210
7684e580 2934 x0x11010010xxxxxxxxx00xxxxxxxxxx
a06ea964 2935 ccmn. */
193614f2 2936 return 660;
a06ea964
NC
2937 }
2938 else
2939 {
2940 /* 33222222222211111111110000000000
2941 10987654321098765432109876543210
7684e580 2942 x1x11010010xxxxxxxxx00xxxxxxxxxx
a06ea964 2943 ccmp. */
193614f2 2944 return 661;
a06ea964
NC
2945 }
2946 }
2947 else
2948 {
2949 if (((word >> 12) & 0x1) == 0)
2950 {
2951 if (((word >> 13) & 0x1) == 0)
2952 {
e60bb1dd 2953 if (((word >> 14) & 0x1) == 0)
a2cfc830 2954 {
b731bc3b 2955 if (((word >> 29) & 0x1) == 0)
a2cfc830 2956 {
b731bc3b
SD
2957 if (((word >> 30) & 0x1) == 0)
2958 {
2959 /* 33222222222211111111110000000000
2960 10987654321098765432109876543210
2961 x0011010110xxxxxx00000xxxxxxxxxx
2962 subp. */
2963 return 721;
2964 }
2965 else
2966 {
2967 if (((word >> 16) & 0x1) == 0)
2968 {
2969 /* 33222222222211111111110000000000
2970 10987654321098765432109876543210
2971 x1011010110xxxx0x00000xxxxxxxxxx
2972 rbit. */
2973 return 685;
2974 }
2975 else
2976 {
2977 /* 33222222222211111111110000000000
2978 10987654321098765432109876543210
2979 x1011010110xxxx1x00000xxxxxxxxxx
2980 pacia. */
2981 return 693;
2982 }
2983 }
a2cfc830
SN
2984 }
2985 else
2986 {
2987 /* 33222222222211111111110000000000
2988 10987654321098765432109876543210
b731bc3b
SD
2989 xx111010110xxxxxx00000xxxxxxxxxx
2990 subps. */
2991 return 722;
a2cfc830
SN
2992 }
2993 }
2994 else
2995 {
2996 if (((word >> 30) & 0x1) == 0)
2997 {
2998 /* 33222222222211111111110000000000
2999 10987654321098765432109876543210
7684e580 3000 x0x11010110xxxxxx10000xxxxxxxxxx
a2cfc830 3001 crc32b. */
b731bc3b 3002 return 727;
a2cfc830
SN
3003 }
3004 else
3005 {
3006 /* 33222222222211111111110000000000
3007 10987654321098765432109876543210
7684e580 3008 x1x11010110xxxxxx10000xxxxxxxxxx
a2cfc830 3009 xpaci. */
193614f2 3010 return 709;
a2cfc830
SN
3011 }
3012 }
3013 }
3014 else
3015 {
3016 if (((word >> 30) & 0x1) == 0)
e60bb1dd
YZ
3017 {
3018 /* 33222222222211111111110000000000
3019 10987654321098765432109876543210
7684e580 3020 x0x11010110xxxxxxx1000xxxxxxxxxx
a2cfc830 3021 lslv. */
193614f2 3022 return 713;
e60bb1dd
YZ
3023 }
3024 else
3025 {
3026 /* 33222222222211111111110000000000
3027 10987654321098765432109876543210
7684e580 3028 x1x11010110xxxxxxx1000xxxxxxxxxx
a2cfc830 3029 paciza. */
193614f2 3030 return 701;
e60bb1dd 3031 }
a06ea964 3032 }
a06ea964
NC
3033 }
3034 else
3035 {
a2cfc830 3036 if (((word >> 13) & 0x1) == 0)
e60bb1dd 3037 {
a2cfc830
SN
3038 if (((word >> 14) & 0x1) == 0)
3039 {
193614f2 3040 if (((word >> 30) & 0x1) == 0)
a2cfc830
SN
3041 {
3042 /* 33222222222211111111110000000000
3043 10987654321098765432109876543210
193614f2
SD
3044 x0x11010110xxxxxx00100xxxxxxxxxx
3045 irg. */
b731bc3b 3046 return 724;
a2cfc830
SN
3047 }
3048 else
3049 {
193614f2
SD
3050 if (((word >> 16) & 0x1) == 0)
3051 {
3052 /* 33222222222211111111110000000000
3053 10987654321098765432109876543210
3054 x1x11010110xxxx0x00100xxxxxxxxxx
3055 clz. */
3056 return 690;
3057 }
3058 else
3059 {
3060 /* 33222222222211111111110000000000
3061 10987654321098765432109876543210
3062 x1x11010110xxxx1x00100xxxxxxxxxx
3063 autia. */
3064 return 697;
3065 }
a2cfc830
SN
3066 }
3067 }
3068 else
3069 {
3070 /* 33222222222211111111110000000000
3071 10987654321098765432109876543210
7684e580 3072 xxx11010110xxxxxx10100xxxxxxxxxx
a2cfc830 3073 crc32cb. */
b731bc3b 3074 return 731;
a2cfc830 3075 }
e60bb1dd
YZ
3076 }
3077 else
3078 {
c84364ec
SN
3079 if (((word >> 30) & 0x1) == 0)
3080 {
3081 /* 33222222222211111111110000000000
3082 10987654321098765432109876543210
7684e580 3083 x0x11010110xxxxxxx1100xxxxxxxxxx
c84364ec 3084 pacga. */
b731bc3b 3085 return 726;
c84364ec
SN
3086 }
3087 else
3088 {
3089 /* 33222222222211111111110000000000
3090 10987654321098765432109876543210
7684e580 3091 x1x11010110xxxxxxx1100xxxxxxxxxx
c84364ec 3092 autiza. */
193614f2 3093 return 705;
c84364ec 3094 }
e60bb1dd 3095 }
a06ea964
NC
3096 }
3097 }
3098 }
3099 }
3100 else
3101 {
e9dbdd80 3102 if (((word >> 22) & 0x1) == 0)
a06ea964 3103 {
e9dbdd80 3104 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
3105 {
3106 /* 33222222222211111111110000000000
3107 10987654321098765432109876543210
e9dbdd80
TC
3108 xxx11010x00xxxxxx0xx10xxxxxxxxxx
3109 setf8. */
42e6288f 3110 return 2373;
a06ea964
NC
3111 }
3112 else
3113 {
3114 /* 33222222222211111111110000000000
3115 10987654321098765432109876543210
e9dbdd80
TC
3116 xxx11010x00xxxxxx1xx10xxxxxxxxxx
3117 setf16. */
42e6288f 3118 return 2374;
a06ea964
NC
3119 }
3120 }
3121 else
3122 {
e9dbdd80 3123 if (((word >> 23) & 0x1) == 0)
a06ea964 3124 {
e9dbdd80 3125 if (((word >> 30) & 0x1) == 0)
a06ea964 3126 {
e9dbdd80
TC
3127 /* 33222222222211111111110000000000
3128 10987654321098765432109876543210
3129 x0x11010010xxxxxxxxx10xxxxxxxxxx
3130 ccmn. */
193614f2 3131 return 658;
e9dbdd80
TC
3132 }
3133 else
3134 {
3135 /* 33222222222211111111110000000000
3136 10987654321098765432109876543210
3137 x1x11010010xxxxxxxxx10xxxxxxxxxx
3138 ccmp. */
193614f2 3139 return 659;
e9dbdd80
TC
3140 }
3141 }
3142 else
3143 {
3144 if (((word >> 12) & 0x1) == 0)
3145 {
3146 if (((word >> 13) & 0x1) == 0)
a06ea964 3147 {
e9dbdd80 3148 if (((word >> 14) & 0x1) == 0)
e60bb1dd 3149 {
e9dbdd80 3150 if (((word >> 30) & 0x1) == 0)
e60bb1dd 3151 {
e9dbdd80
TC
3152 /* 33222222222211111111110000000000
3153 10987654321098765432109876543210
3154 x0x11010110xxxxxx00010xxxxxxxxxx
3155 udiv. */
193614f2 3156 return 711;
e9dbdd80
TC
3157 }
3158 else
3159 {
3160 if (((word >> 16) & 0x1) == 0)
a2cfc830 3161 {
e9dbdd80
TC
3162 if (((word >> 31) & 0x1) == 0)
3163 {
3164 /* 33222222222211111111110000000000
3165 10987654321098765432109876543210
3166 01x11010110xxxx0x00010xxxxxxxxxx
3167 rev. */
193614f2 3168 return 687;
e9dbdd80
TC
3169 }
3170 else
3171 {
3172 /* 33222222222211111111110000000000
3173 10987654321098765432109876543210
3174 11x11010110xxxx0x00010xxxxxxxxxx
3175 rev32. */
193614f2 3176 return 692;
e9dbdd80 3177 }
a2cfc830
SN
3178 }
3179 else
3180 {
3181 /* 33222222222211111111110000000000
3182 10987654321098765432109876543210
e9dbdd80
TC
3183 x1x11010110xxxx1x00010xxxxxxxxxx
3184 pacda. */
193614f2 3185 return 695;
a2cfc830 3186 }
e60bb1dd 3187 }
e9dbdd80
TC
3188 }
3189 else
3190 {
3191 /* 33222222222211111111110000000000
3192 10987654321098765432109876543210
3193 xxx11010110xxxxxx10010xxxxxxxxxx
3194 crc32w. */
b731bc3b 3195 return 729;
e60bb1dd 3196 }
a06ea964
NC
3197 }
3198 else
3199 {
e9dbdd80
TC
3200 if (((word >> 30) & 0x1) == 0)
3201 {
3202 /* 33222222222211111111110000000000
3203 10987654321098765432109876543210
3204 x0x11010110xxxxxxx1010xxxxxxxxxx
3205 asrv. */
193614f2 3206 return 717;
e9dbdd80
TC
3207 }
3208 else
3209 {
3210 /* 33222222222211111111110000000000
3211 10987654321098765432109876543210
3212 x1x11010110xxxxxxx1010xxxxxxxxxx
3213 pacdza. */
193614f2 3214 return 703;
e9dbdd80 3215 }
a06ea964
NC
3216 }
3217 }
e60bb1dd
YZ
3218 else
3219 {
e9dbdd80 3220 if (((word >> 13) & 0x1) == 0)
a2cfc830 3221 {
e9dbdd80
TC
3222 if (((word >> 14) & 0x1) == 0)
3223 {
3224 /* 33222222222211111111110000000000
3225 10987654321098765432109876543210
3226 xxx11010110xxxxxx00110xxxxxxxxxx
3227 autda. */
193614f2 3228 return 699;
e9dbdd80
TC
3229 }
3230 else
3231 {
3232 /* 33222222222211111111110000000000
3233 10987654321098765432109876543210
3234 xxx11010110xxxxxx10110xxxxxxxxxx
3235 crc32cw. */
b731bc3b 3236 return 733;
e9dbdd80 3237 }
a2cfc830
SN
3238 }
3239 else
3240 {
3241 /* 33222222222211111111110000000000
3242 10987654321098765432109876543210
e9dbdd80
TC
3243 xxx11010110xxxxxxx1110xxxxxxxxxx
3244 autdza. */
193614f2 3245 return 707;
a2cfc830 3246 }
e60bb1dd 3247 }
a06ea964 3248 }
a06ea964
NC
3249 }
3250 }
3251 }
3252 else
3253 {
3254 if (((word >> 11) & 0x1) == 0)
3255 {
3256 if (((word >> 22) & 0x1) == 0)
3257 {
e9dbdd80 3258 if (((word >> 23) & 0x1) == 0)
a06ea964
NC
3259 {
3260 /* 33222222222211111111110000000000
3261 10987654321098765432109876543210
e9dbdd80
TC
3262 xxx11010000xxxxxxxxx01xxxxxxxxxx
3263 rmif. */
42e6288f 3264 return 2372;
a06ea964
NC
3265 }
3266 else
3267 {
e9dbdd80
TC
3268 if (((word >> 30) & 0x1) == 0)
3269 {
3270 /* 33222222222211111111110000000000
3271 10987654321098765432109876543210
3272 x0x11010100xxxxxxxxx01xxxxxxxxxx
3273 csinc. */
193614f2 3274 return 663;
e9dbdd80
TC
3275 }
3276 else
3277 {
3278 /* 33222222222211111111110000000000
3279 10987654321098765432109876543210
3280 x1x11010100xxxxxxxxx01xxxxxxxxxx
3281 csneg. */
193614f2 3282 return 669;
e9dbdd80 3283 }
a06ea964
NC
3284 }
3285 }
3286 else
3287 {
3288 if (((word >> 12) & 0x1) == 0)
3289 {
3290 if (((word >> 13) & 0x1) == 0)
3291 {
e60bb1dd 3292 if (((word >> 14) & 0x1) == 0)
a2cfc830
SN
3293 {
3294 if (((word >> 16) & 0x1) == 0)
3295 {
3296 /* 33222222222211111111110000000000
3297 10987654321098765432109876543210
7684e580 3298 xxx11010x10xxxx0x00001xxxxxxxxxx
a2cfc830 3299 rev16. */
193614f2 3300 return 686;
a2cfc830
SN
3301 }
3302 else
3303 {
3304 /* 33222222222211111111110000000000
3305 10987654321098765432109876543210
7684e580 3306 xxx11010x10xxxx1x00001xxxxxxxxxx
a2cfc830 3307 pacib. */
193614f2 3308 return 694;
a2cfc830
SN
3309 }
3310 }
3311 else
3312 {
3313 if (((word >> 30) & 0x1) == 0)
3314 {
3315 /* 33222222222211111111110000000000
3316 10987654321098765432109876543210
7684e580 3317 x0x11010x10xxxxxx10001xxxxxxxxxx
a2cfc830 3318 crc32h. */
b731bc3b 3319 return 728;
a2cfc830
SN
3320 }
3321 else
3322 {
3323 /* 33222222222211111111110000000000
3324 10987654321098765432109876543210
7684e580 3325 x1x11010x10xxxxxx10001xxxxxxxxxx
a2cfc830 3326 xpacd. */
193614f2 3327 return 710;
a2cfc830
SN
3328 }
3329 }
3330 }
3331 else
3332 {
3333 if (((word >> 30) & 0x1) == 0)
e60bb1dd
YZ
3334 {
3335 /* 33222222222211111111110000000000
3336 10987654321098765432109876543210
7684e580 3337 x0x11010x10xxxxxxx1001xxxxxxxxxx
a2cfc830 3338 lsrv. */
193614f2 3339 return 715;
e60bb1dd
YZ
3340 }
3341 else
3342 {
3343 /* 33222222222211111111110000000000
3344 10987654321098765432109876543210
7684e580 3345 x1x11010x10xxxxxxx1001xxxxxxxxxx
a2cfc830 3346 pacizb. */
193614f2 3347 return 702;
e60bb1dd 3348 }
a06ea964 3349 }
a06ea964
NC
3350 }
3351 else
3352 {
a2cfc830 3353 if (((word >> 13) & 0x1) == 0)
e60bb1dd 3354 {
a2cfc830
SN
3355 if (((word >> 14) & 0x1) == 0)
3356 {
193614f2 3357 if (((word >> 30) & 0x1) == 0)
a2cfc830
SN
3358 {
3359 /* 33222222222211111111110000000000
3360 10987654321098765432109876543210
193614f2
SD
3361 x0x11010x10xxxxxx00101xxxxxxxxxx
3362 gmi. */
b731bc3b 3363 return 725;
a2cfc830
SN
3364 }
3365 else
3366 {
193614f2
SD
3367 if (((word >> 16) & 0x1) == 0)
3368 {
3369 /* 33222222222211111111110000000000
3370 10987654321098765432109876543210
3371 x1x11010x10xxxx0x00101xxxxxxxxxx
3372 cls. */
3373 return 691;
3374 }
3375 else
3376 {
3377 /* 33222222222211111111110000000000
3378 10987654321098765432109876543210
3379 x1x11010x10xxxx1x00101xxxxxxxxxx
3380 autib. */
3381 return 698;
3382 }
a2cfc830
SN
3383 }
3384 }
3385 else
3386 {
3387 /* 33222222222211111111110000000000
3388 10987654321098765432109876543210
7684e580 3389 xxx11010x10xxxxxx10101xxxxxxxxxx
a2cfc830 3390 crc32ch. */
b731bc3b 3391 return 732;
a2cfc830 3392 }
e60bb1dd
YZ
3393 }
3394 else
3395 {
3396 /* 33222222222211111111110000000000
3397 10987654321098765432109876543210
7684e580 3398 xxx11010x10xxxxxxx1101xxxxxxxxxx
a2cfc830 3399 autizb. */
193614f2 3400 return 706;
e60bb1dd 3401 }
a06ea964
NC
3402 }
3403 }
3404 }
3405 else
3406 {
e60bb1dd 3407 if (((word >> 12) & 0x1) == 0)
a06ea964 3408 {
e60bb1dd 3409 if (((word >> 13) & 0x1) == 0)
a06ea964 3410 {
e60bb1dd
YZ
3411 if (((word >> 14) & 0x1) == 0)
3412 {
3413 if (((word >> 30) & 0x1) == 0)
3414 {
3415 /* 33222222222211111111110000000000
3416 10987654321098765432109876543210
7684e580 3417 x0x11010xx0xxxxxx00011xxxxxxxxxx
e60bb1dd 3418 sdiv. */
193614f2 3419 return 712;
e60bb1dd
YZ
3420 }
3421 else
3422 {
a2cfc830
SN
3423 if (((word >> 16) & 0x1) == 0)
3424 {
3425 /* 33222222222211111111110000000000
3426 10987654321098765432109876543210
7684e580 3427 x1x11010xx0xxxx0x00011xxxxxxxxxx
a2cfc830 3428 rev. */
193614f2 3429 return 688;
a2cfc830
SN
3430 }
3431 else
3432 {
3433 /* 33222222222211111111110000000000
3434 10987654321098765432109876543210
7684e580 3435 x1x11010xx0xxxx1x00011xxxxxxxxxx
a2cfc830 3436 pacdb. */
193614f2 3437 return 696;
a2cfc830 3438 }
e60bb1dd
YZ
3439 }
3440 }
3441 else
3442 {
3443 /* 33222222222211111111110000000000
3444 10987654321098765432109876543210
7684e580 3445 xxx11010xx0xxxxxx10011xxxxxxxxxx
e60bb1dd 3446 crc32x. */
b731bc3b 3447 return 730;
e60bb1dd 3448 }
a06ea964
NC
3449 }
3450 else
3451 {
a2cfc830
SN
3452 if (((word >> 30) & 0x1) == 0)
3453 {
3454 /* 33222222222211111111110000000000
3455 10987654321098765432109876543210
7684e580 3456 x0x11010xx0xxxxxxx1011xxxxxxxxxx
a2cfc830 3457 rorv. */
193614f2 3458 return 719;
a2cfc830
SN
3459 }
3460 else
3461 {
3462 /* 33222222222211111111110000000000
3463 10987654321098765432109876543210
7684e580 3464 x1x11010xx0xxxxxxx1011xxxxxxxxxx
a2cfc830 3465 pacdzb. */
193614f2 3466 return 704;
a2cfc830 3467 }
a06ea964
NC
3468 }
3469 }
3470 else
3471 {
a2cfc830
SN
3472 if (((word >> 13) & 0x1) == 0)
3473 {
3474 if (((word >> 14) & 0x1) == 0)
3475 {
3476 /* 33222222222211111111110000000000
3477 10987654321098765432109876543210
7684e580 3478 xxx11010xx0xxxxxx00111xxxxxxxxxx
a2cfc830 3479 autdb. */
193614f2 3480 return 700;
a2cfc830
SN
3481 }
3482 else
3483 {
3484 /* 33222222222211111111110000000000
3485 10987654321098765432109876543210
7684e580 3486 xxx11010xx0xxxxxx10111xxxxxxxxxx
a2cfc830 3487 crc32cx. */
b731bc3b 3488 return 734;
a2cfc830
SN
3489 }
3490 }
3491 else
3492 {
3493 /* 33222222222211111111110000000000
3494 10987654321098765432109876543210
7684e580 3495 xxx11010xx0xxxxxxx1111xxxxxxxxxx
a2cfc830 3496 autdzb. */
193614f2 3497 return 708;
a2cfc830 3498 }
a06ea964
NC
3499 }
3500 }
3501 }
3502 }
3503 }
3504 else
3505 {
3506 if (((word >> 29) & 0x1) == 0)
3507 {
3508 if (((word >> 30) & 0x1) == 0)
3509 {
3510 /* 33222222222211111111110000000000
3511 10987654321098765432109876543210
7684e580 3512 x00x1010xx1xxxxxxxxxxxxxxxxxxxxx
a06ea964 3513 bic. */
e54010f1 3514 return 998;
a06ea964
NC
3515 }
3516 else
3517 {
3518 /* 33222222222211111111110000000000
3519 10987654321098765432109876543210
7684e580 3520 x10x1010xx1xxxxxxxxxxxxxxxxxxxxx
a06ea964 3521 eon. */
e54010f1 3522 return 1005;
a06ea964
NC
3523 }
3524 }
3525 else
3526 {
3527 if (((word >> 30) & 0x1) == 0)
3528 {
3529 /* 33222222222211111111110000000000
3530 10987654321098765432109876543210
7684e580 3531 x01x1010xx1xxxxxxxxxxxxxxxxxxxxx
a06ea964 3532 orn. */
e54010f1 3533 return 1002;
a06ea964
NC
3534 }
3535 else
3536 {
3537 /* 33222222222211111111110000000000
3538 10987654321098765432109876543210
7684e580 3539 x11x1010xx1xxxxxxxxxxxxxxxxxxxxx
a06ea964 3540 bics. */
e54010f1 3541 return 1008;
a06ea964
NC
3542 }
3543 }
3544 }
3545 }
3546 }
3547 else
3548 {
3549 if (((word >> 27) & 0x1) == 0)
3550 {
3551 if (((word >> 23) & 0x1) == 0)
3552 {
3553 if (((word >> 29) & 0x1) == 0)
3554 {
3555 if (((word >> 30) & 0x1) == 0)
3556 {
3557 /* 33222222222211111111110000000000
3558 10987654321098765432109876543210
7684e580 3559 x00x00110xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 3560 sbfm. */
193614f2 3561 return 618;
a06ea964
NC
3562 }
3563 else
3564 {
3565 /* 33222222222211111111110000000000
3566 10987654321098765432109876543210
7684e580 3567 x10x00110xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 3568 ubfm. */
193614f2 3569 return 629;
a06ea964
NC
3570 }
3571 }
3572 else
3573 {
3574 /* 33222222222211111111110000000000
3575 10987654321098765432109876543210
7684e580 3576 xx1x00110xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 3577 bfm. */
193614f2 3578 return 625;
a06ea964
NC
3579 }
3580 }
3581 else
3582 {
3583 /* 33222222222211111111110000000000
3584 10987654321098765432109876543210
7684e580 3585 xxxx00111xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 3586 extr. */
b731bc3b 3587 return 757;
a06ea964
NC
3588 }
3589 }
3590 else
3591 {
3592 if (((word >> 21) & 0x1) == 0)
3593 {
3594 if (((word >> 28) & 0x1) == 0)
3595 {
3596 if (((word >> 29) & 0x1) == 0)
3597 {
3598 if (((word >> 30) & 0x1) == 0)
3599 {
3600 /* 33222222222211111111110000000000
3601 10987654321098765432109876543210
7684e580 3602 x0001011xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 3603 add. */
193614f2 3604 return 21;
a06ea964
NC
3605 }
3606 else
3607 {
3608 /* 33222222222211111111110000000000
3609 10987654321098765432109876543210
7684e580 3610 x1001011xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 3611 sub. */
193614f2 3612 return 24;
a06ea964
NC
3613 }
3614 }
3615 else
3616 {
3617 if (((word >> 30) & 0x1) == 0)
3618 {
3619 /* 33222222222211111111110000000000
3620 10987654321098765432109876543210
7684e580 3621 x0101011xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 3622 adds. */
193614f2 3623 return 22;
a06ea964
NC
3624 }
3625 else
3626 {
3627 /* 33222222222211111111110000000000
3628 10987654321098765432109876543210
7684e580 3629 x1101011xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 3630 subs. */
193614f2 3631 return 26;
a06ea964
NC
3632 }
3633 }
3634 }
3635 else
3636 {
3637 if (((word >> 15) & 0x1) == 0)
3638 {
3639 if (((word >> 22) & 0x1) == 0)
3640 {
3641 /* 33222222222211111111110000000000
3642 10987654321098765432109876543210
7684e580 3643 xxx11011x00xxxxx0xxxxxxxxxxxxxxx
a06ea964 3644 madd. */
b731bc3b 3645 return 735;
a06ea964
NC
3646 }
3647 else
3648 {
3649 if (((word >> 23) & 0x1) == 0)
3650 {
3651 /* 33222222222211111111110000000000
3652 10987654321098765432109876543210
7684e580 3653 xxx11011010xxxxx0xxxxxxxxxxxxxxx
a06ea964 3654 smulh. */
b731bc3b 3655 return 743;
a06ea964
NC
3656 }
3657 else
3658 {
3659 /* 33222222222211111111110000000000
3660 10987654321098765432109876543210
7684e580 3661 xxx11011110xxxxx0xxxxxxxxxxxxxxx
a06ea964 3662 umulh. */
b731bc3b 3663 return 748;
a06ea964
NC
3664 }
3665 }
3666 }
3667 else
3668 {
3669 /* 33222222222211111111110000000000
3670 10987654321098765432109876543210
7684e580 3671 xxx11011xx0xxxxx1xxxxxxxxxxxxxxx
a06ea964 3672 msub. */
b731bc3b 3673 return 737;
a06ea964
NC
3674 }
3675 }
3676 }
3677 else
3678 {
3679 if (((word >> 23) & 0x1) == 0)
3680 {
3681 if (((word >> 28) & 0x1) == 0)
3682 {
3683 if (((word >> 29) & 0x1) == 0)
3684 {
3685 if (((word >> 30) & 0x1) == 0)
3686 {
3687 /* 33222222222211111111110000000000
3688 10987654321098765432109876543210
7684e580 3689 x00010110x1xxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
3690 add. */
3691 return 6;
3692 }
3693 else
3694 {
3695 /* 33222222222211111111110000000000
3696 10987654321098765432109876543210
7684e580 3697 x10010110x1xxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
3698 sub. */
3699 return 9;
3700 }
3701 }
3702 else
3703 {
3704 if (((word >> 30) & 0x1) == 0)
3705 {
3706 /* 33222222222211111111110000000000
3707 10987654321098765432109876543210
7684e580 3708 x01010110x1xxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
3709 adds. */
3710 return 7;
3711 }
3712 else
3713 {
3714 /* 33222222222211111111110000000000
3715 10987654321098765432109876543210
7684e580 3716 x11010110x1xxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
3717 subs. */
3718 return 10;
3719 }
3720 }
3721 }
3722 else
3723 {
3724 if (((word >> 15) & 0x1) == 0)
3725 {
3726 /* 33222222222211111111110000000000
3727 10987654321098765432109876543210
7684e580 3728 xxx110110x1xxxxx0xxxxxxxxxxxxxxx
a06ea964 3729 smaddl. */
b731bc3b 3730 return 739;
a06ea964
NC
3731 }
3732 else
3733 {
3734 /* 33222222222211111111110000000000
3735 10987654321098765432109876543210
7684e580 3736 xxx110110x1xxxxx1xxxxxxxxxxxxxxx
a06ea964 3737 smsubl. */
b731bc3b 3738 return 741;
a06ea964
NC
3739 }
3740 }
3741 }
3742 else
3743 {
3744 if (((word >> 15) & 0x1) == 0)
3745 {
3746 /* 33222222222211111111110000000000
3747 10987654321098765432109876543210
7684e580 3748 xxxx10111x1xxxxx0xxxxxxxxxxxxxxx
a06ea964 3749 umaddl. */
b731bc3b 3750 return 744;
a06ea964
NC
3751 }
3752 else
3753 {
3754 /* 33222222222211111111110000000000
3755 10987654321098765432109876543210
7684e580 3756 xxxx10111x1xxxxx1xxxxxxxxxxxxxxx
a06ea964 3757 umsubl. */
b731bc3b 3758 return 746;
a06ea964
NC
3759 }
3760 }
3761 }
3762 }
3763 }
3764 }
3765 }
3766 else
3767 {
3768 if (((word >> 27) & 0x1) == 0)
3769 {
c0890d26 3770 if (((word >> 28) & 0x1) == 0)
a06ea964 3771 {
c0890d26
RS
3772 if (((word >> 24) & 0x1) == 0)
3773 {
582e12bf 3774 if (((word >> 29) & 0x1) == 0)
c0890d26 3775 {
582e12bf 3776 if (((word >> 13) & 0x1) == 0)
c0890d26
RS
3777 {
3778 if (((word >> 14) & 0x1) == 0)
3779 {
3780 if (((word >> 15) & 0x1) == 0)
3781 {
3782 if (((word >> 21) & 0x1) == 0)
3783 {
3784 if (((word >> 30) & 0x1) == 0)
3785 {
3786 if (((word >> 31) & 0x1) == 0)
3787 {
3788 if (((word >> 16) & 0x1) == 0)
3789 {
3790 if (((word >> 17) & 0x1) == 0)
3791 {
3792 if (((word >> 18) & 0x1) == 0)
3793 {
3794 if (((word >> 19) & 0x1) == 0)
3795 {
3796 if (((word >> 20) & 0x1) == 0)
3797 {
3798 /* 33222222222211111111110000000000
3799 10987654321098765432109876543210
7684e580 3800 000001x0xx000000000xxxxxxxxxxxxx
c0890d26 3801 add. */
b83b4b13 3802 return 1275;
c0890d26
RS
3803 }
3804 else
3805 {
3806 /* 33222222222211111111110000000000
3807 10987654321098765432109876543210
7684e580 3808 000001x0xx010000000xxxxxxxxxxxxx
c0890d26 3809 mul. */
b83b4b13 3810 return 1744;
c0890d26
RS
3811 }
3812 }
3813 else
3814 {
3815 if (((word >> 20) & 0x1) == 0)
3816 {
3817 /* 33222222222211111111110000000000
3818 10987654321098765432109876543210
7684e580 3819 000001x0xx001000000xxxxxxxxxxxxx
c0890d26 3820 smax. */
b83b4b13 3821 return 1823;
c0890d26
RS
3822 }
3823 else
3824 {
3825 /* 33222222222211111111110000000000
3826 10987654321098765432109876543210
7684e580 3827 000001x0xx011000000xxxxxxxxxxxxx
c0890d26 3828 orr. */
b83b4b13 3829 return 1755;
c0890d26
RS
3830 }
3831 }
3832 }
3833 else
3834 {
3835 if (((word >> 19) & 0x1) == 0)
3836 {
3837 /* 33222222222211111111110000000000
3838 10987654321098765432109876543210
7684e580 3839 000001x0xx0x0100000xxxxxxxxxxxxx
c0890d26 3840 sdiv. */
b83b4b13 3841 return 1814;
c0890d26
RS
3842 }
3843 else
3844 {
3845 /* 33222222222211111111110000000000
3846 10987654321098765432109876543210
7684e580 3847 000001x0xx0x1100000xxxxxxxxxxxxx
c0890d26 3848 sabd. */
b83b4b13 3849 return 1805;
c0890d26
RS
3850 }
3851 }
3852 }
3853 else
3854 {
3855 if (((word >> 18) & 0x1) == 0)
3856 {
3857 if (((word >> 19) & 0x1) == 0)
3858 {
3859 /* 33222222222211111111110000000000
3860 10987654321098765432109876543210
7684e580 3861 000001x0xx0x0010000xxxxxxxxxxxxx
c0890d26 3862 smulh. */
b83b4b13 3863 return 1828;
c0890d26
RS
3864 }
3865 else
3866 {
3867 if (((word >> 20) & 0x1) == 0)
3868 {
3869 /* 33222222222211111111110000000000
3870 10987654321098765432109876543210
7684e580 3871 000001x0xx001010000xxxxxxxxxxxxx
c0890d26 3872 smin. */
b83b4b13 3873 return 1826;
c0890d26
RS
3874 }
3875 else
3876 {
3877 /* 33222222222211111111110000000000
3878 10987654321098765432109876543210
7684e580 3879 000001x0xx011010000xxxxxxxxxxxxx
c0890d26 3880 and. */
b83b4b13 3881 return 1283;
c0890d26
RS
3882 }
3883 }
3884 }
3885 else
3886 {
3887 /* 33222222222211111111110000000000
3888 10987654321098765432109876543210
7684e580 3889 000001x0xx0xx110000xxxxxxxxxxxxx
c0890d26 3890 sdivr. */
b83b4b13 3891 return 1815;
c0890d26
RS
3892 }
3893 }
3894 }
3895 else
3896 {
3897 if (((word >> 17) & 0x1) == 0)
3898 {
3899 if (((word >> 18) & 0x1) == 0)
3900 {
3901 if (((word >> 19) & 0x1) == 0)
3902 {
3903 /* 33222222222211111111110000000000
3904 10987654321098765432109876543210
7684e580 3905 000001x0xx0x0001000xxxxxxxxxxxxx
c0890d26 3906 sub. */
b83b4b13 3907 return 1944;
c0890d26
RS
3908 }
3909 else
3910 {
3911 if (((word >> 20) & 0x1) == 0)
3912 {
3913 /* 33222222222211111111110000000000
3914 10987654321098765432109876543210
7684e580 3915 000001x0xx001001000xxxxxxxxxxxxx
c0890d26 3916 umax. */
b83b4b13 3917 return 1972;
c0890d26
RS
3918 }
3919 else
3920 {
3921 /* 33222222222211111111110000000000
3922 10987654321098765432109876543210
7684e580 3923 000001x0xx011001000xxxxxxxxxxxxx
c0890d26 3924 eor. */
b83b4b13 3925 return 1370;
c0890d26
RS
3926 }
3927 }
3928 }
3929 else
3930 {
3931 if (((word >> 19) & 0x1) == 0)
3932 {
3933 /* 33222222222211111111110000000000
3934 10987654321098765432109876543210
7684e580 3935 000001x0xx0x0101000xxxxxxxxxxxxx
c0890d26 3936 udiv. */
b83b4b13 3937 return 1966;
c0890d26
RS
3938 }
3939 else
3940 {
3941 /* 33222222222211111111110000000000
3942 10987654321098765432109876543210
7684e580 3943 000001x0xx0x1101000xxxxxxxxxxxxx
c0890d26 3944 uabd. */
b83b4b13 3945 return 1957;
c0890d26
RS
3946 }
3947 }
3948 }
3949 else
3950 {
3951 if (((word >> 18) & 0x1) == 0)
3952 {
3953 if (((word >> 19) & 0x1) == 0)
3954 {
3955 if (((word >> 20) & 0x1) == 0)
3956 {
3957 /* 33222222222211111111110000000000
3958 10987654321098765432109876543210
7684e580 3959 000001x0xx000011000xxxxxxxxxxxxx
c0890d26 3960 subr. */
b83b4b13 3961 return 1946;
c0890d26
RS
3962 }
3963 else
3964 {
3965 /* 33222222222211111111110000000000
3966 10987654321098765432109876543210
7684e580 3967 000001x0xx010011000xxxxxxxxxxxxx
c0890d26 3968 umulh. */
b83b4b13 3969 return 1977;
c0890d26
RS
3970 }
3971 }
3972 else
3973 {
3974 if (((word >> 20) & 0x1) == 0)
3975 {
3976 /* 33222222222211111111110000000000
3977 10987654321098765432109876543210
7684e580 3978 000001x0xx001011000xxxxxxxxxxxxx
c0890d26 3979 umin. */
b83b4b13 3980 return 1975;
c0890d26
RS
3981 }
3982 else
3983 {
3984 /* 33222222222211111111110000000000
3985 10987654321098765432109876543210
7684e580 3986 000001x0xx011011000xxxxxxxxxxxxx
c0890d26 3987 bic. */
b83b4b13 3988 return 1295;
c0890d26
RS
3989 }
3990 }
3991 }
3992 else
3993 {
3994 /* 33222222222211111111110000000000
3995 10987654321098765432109876543210
7684e580 3996 000001x0xx0xx111000xxxxxxxxxxxxx
c0890d26 3997 udivr. */
b83b4b13 3998 return 1967;
c0890d26
RS
3999 }
4000 }
4001 }
4002 }
4003 else
4004 {
4005 if (((word >> 23) & 0x1) == 0)
4006 {
4007 /* 33222222222211111111110000000000
4008 10987654321098765432109876543210
7684e580 4009 100001x00x0xxxxx000xxxxxxxxxxxxx
c0890d26 4010 ld1sb. */
b83b4b13 4011 return 1557;
c0890d26
RS
4012 }
4013 else
4014 {
4015 /* 33222222222211111111110000000000
4016 10987654321098765432109876543210
7684e580 4017 100001x01x0xxxxx000xxxxxxxxxxxxx
c0890d26 4018 ld1sh. */
b83b4b13 4019 return 1568;
c0890d26
RS
4020 }
4021 }
4022 }
4023 else
4024 {
42e6288f 4025 if (((word >> 31) & 0x1) == 0)
c0890d26 4026 {
42e6288f 4027 if (((word >> 12) & 0x1) == 0)
582e12bf
RS
4028 {
4029 if (((word >> 10) & 0x1) == 0)
4030 {
42e6288f
MM
4031 if (((word >> 11) & 0x1) == 0)
4032 {
4033 /* 33222222222211111111110000000000
4034 10987654321098765432109876543210
4035 010001x0xx0xxxxx000000xxxxxxxxxx
4036 sdot. */
4037 return 1816;
4038 }
4039 else
4040 {
4041 /* 33222222222211111111110000000000
4042 10987654321098765432109876543210
4043 010001x0xx0xxxxx000010xxxxxxxxxx
4044 sqdmlalbt. */
4045 return 2164;
4046 }
582e12bf
RS
4047 }
4048 else
4049 {
42e6288f
MM
4050 if (((word >> 11) & 0x1) == 0)
4051 {
4052 /* 33222222222211111111110000000000
4053 10987654321098765432109876543210
4054 010001x0xx0xxxxx000001xxxxxxxxxx
4055 udot. */
4056 return 1968;
4057 }
4058 else
4059 {
4060 /* 33222222222211111111110000000000
4061 10987654321098765432109876543210
4062 010001x0xx0xxxxx000011xxxxxxxxxx
4063 sqdmlslbt. */
4064 return 2171;
4065 }
582e12bf
RS
4066 }
4067 }
42e6288f
MM
4068 else
4069 {
4070 /* 33222222222211111111110000000000
4071 10987654321098765432109876543210
4072 010001x0xx0xxxxx0001xxxxxxxxxxxx
4073 cdot. */
4074 return 2055;
4075 }
4076 }
4077 else
4078 {
4079 if (((word >> 23) & 0x1) == 0)
4080 {
4081 /* 33222222222211111111110000000000
4082 10987654321098765432109876543210
4083 110001x00x0xxxxx000xxxxxxxxxxxxx
4084 ld1sb. */
4085 return 1561;
4086 }
582e12bf
RS
4087 else
4088 {
4089 /* 33222222222211111111110000000000
4090 10987654321098765432109876543210
7684e580 4091 110001x01x0xxxxx000xxxxxxxxxxxxx
582e12bf 4092 ld1sh. */
b83b4b13 4093 return 1572;
582e12bf 4094 }
c0890d26
RS
4095 }
4096 }
4097 }
4098 else
4099 {
4100 if (((word >> 30) & 0x1) == 0)
4101 {
4102 if (((word >> 31) & 0x1) == 0)
4103 {
4104 if (((word >> 10) & 0x1) == 0)
4105 {
4106 if (((word >> 11) & 0x1) == 0)
4107 {
4108 if (((word >> 12) & 0x1) == 0)
4109 {
4110 /* 33222222222211111111110000000000
4111 10987654321098765432109876543210
7684e580 4112 000001x0xx1xxxxx000000xxxxxxxxxx
c0890d26 4113 add. */
b83b4b13 4114 return 1273;
c0890d26
RS
4115 }
4116 else
4117 {
4118 /* 33222222222211111111110000000000
4119 10987654321098765432109876543210
7684e580 4120 000001x0xx1xxxxx000100xxxxxxxxxx
c0890d26 4121 sqadd. */
b83b4b13 4122 return 1830;
c0890d26
RS
4123 }
4124 }
4125 else
4126 {
4127 /* 33222222222211111111110000000000
4128 10987654321098765432109876543210
7684e580 4129 000001x0xx1xxxxx000x10xxxxxxxxxx
c0890d26 4130 sqsub. */
b83b4b13 4131 return 1860;
c0890d26
RS
4132 }
4133 }
4134 else
4135 {
4136 if (((word >> 11) & 0x1) == 0)
4137 {
4138 if (((word >> 12) & 0x1) == 0)
4139 {
4140 /* 33222222222211111111110000000000
4141 10987654321098765432109876543210
7684e580 4142 000001x0xx1xxxxx000001xxxxxxxxxx
c0890d26 4143 sub. */
b83b4b13 4144 return 1942;
c0890d26
RS
4145 }
4146 else
4147 {
4148 /* 33222222222211111111110000000000
4149 10987654321098765432109876543210
7684e580 4150 000001x0xx1xxxxx000101xxxxxxxxxx
c0890d26 4151 uqadd. */
b83b4b13 4152 return 1978;
c0890d26
RS
4153 }
4154 }
4155 else
4156 {
4157 /* 33222222222211111111110000000000
4158 10987654321098765432109876543210
7684e580 4159 000001x0xx1xxxxx000x11xxxxxxxxxx
c0890d26 4160 uqsub. */
b83b4b13 4161 return 2008;
c0890d26
RS
4162 }
4163 }
4164 }
4165 else
4166 {
4167 if (((word >> 23) & 0x1) == 0)
4168 {
4169 /* 33222222222211111111110000000000
4170 10987654321098765432109876543210
7684e580 4171 100001x00x1xxxxx000xxxxxxxxxxxxx
c0890d26 4172 prfb. */
b83b4b13 4173 return 1763;
c0890d26
RS
4174 }
4175 else
4176 {
4177 /* 33222222222211111111110000000000
4178 10987654321098765432109876543210
7684e580 4179 100001x01x1xxxxx000xxxxxxxxxxxxx
c0890d26 4180 ld1sh. */
b83b4b13 4181 return 1569;
c0890d26
RS
4182 }
4183 }
4184 }
4185 else
4186 {
4187 if (((word >> 23) & 0x1) == 0)
c0890d26 4188 {
582e12bf
RS
4189 if (((word >> 31) & 0x1) == 0)
4190 {
4191 if (((word >> 10) & 0x1) == 0)
4192 {
42e6288f 4193 if (((word >> 11) & 0x1) == 0)
582e12bf
RS
4194 {
4195 /* 33222222222211111111110000000000
4196 10987654321098765432109876543210
42e6288f
MM
4197 010001x00x1xxxxx000x00xxxxxxxxxx
4198 sqrdmlah. */
4199 return 2189;
582e12bf
RS
4200 }
4201 else
4202 {
4203 /* 33222222222211111111110000000000
4204 10987654321098765432109876543210
42e6288f
MM
4205 010001x00x1xxxxx000x10xxxxxxxxxx
4206 mla. */
4207 return 2098;
582e12bf
RS
4208 }
4209 }
4210 else
4211 {
42e6288f 4212 if (((word >> 11) & 0x1) == 0)
582e12bf
RS
4213 {
4214 /* 33222222222211111111110000000000
4215 10987654321098765432109876543210
42e6288f
MM
4216 010001x00x1xxxxx000x01xxxxxxxxxx
4217 sqrdmlsh. */
4218 return 2193;
582e12bf
RS
4219 }
4220 else
4221 {
4222 /* 33222222222211111111110000000000
4223 10987654321098765432109876543210
42e6288f
MM
4224 010001x00x1xxxxx000x11xxxxxxxxxx
4225 mls. */
4226 return 2101;
582e12bf
RS
4227 }
4228 }
4229 }
4230 else
4231 {
4232 /* 33222222222211111111110000000000
4233 10987654321098765432109876543210
42e6288f
MM
4234 110001x00x1xxxxx000xxxxxxxxxxxxx
4235 prfb. */
4236 return 1764;
582e12bf 4237 }
c0890d26 4238 }
42e6288f 4239 else
c0890d26 4240 {
42e6288f 4241 if (((word >> 31) & 0x1) == 0)
c0890d26 4242 {
42e6288f 4243 if (((word >> 10) & 0x1) == 0)
c0890d26 4244 {
42e6288f 4245 if (((word >> 11) & 0x1) == 0)
c0890d26 4246 {
42e6288f
MM
4247 if (((word >> 12) & 0x1) == 0)
4248 {
4249 if (((word >> 22) & 0x1) == 0)
4250 {
4251 /* 33222222222211111111110000000000
4252 10987654321098765432109876543210
4253 010001x0101xxxxx000000xxxxxxxxxx
4254 sdot. */
4255 return 1817;
4256 }
4257 else
4258 {
4259 /* 33222222222211111111110000000000
4260 10987654321098765432109876543210
4261 010001x0111xxxxx000000xxxxxxxxxx
4262 sdot. */
4263 return 1818;
4264 }
4265 }
4266 else
4267 {
4268 if (((word >> 22) & 0x1) == 0)
4269 {
4270 /* 33222222222211111111110000000000
4271 10987654321098765432109876543210
4272 010001x0101xxxxx000100xxxxxxxxxx
4273 sqrdmlah. */
4274 return 2190;
4275 }
4276 else
4277 {
4278 /* 33222222222211111111110000000000
4279 10987654321098765432109876543210
4280 010001x0111xxxxx000100xxxxxxxxxx
4281 sqrdmlah. */
4282 return 2191;
4283 }
4284 }
4285 }
4286 else
4287 {
4288 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
4289 {
4290 /* 33222222222211111111110000000000
4291 10987654321098765432109876543210
42e6288f
MM
4292 010001x0101xxxxx000x10xxxxxxxxxx
4293 mla. */
4294 return 2099;
c0890d26
RS
4295 }
4296 else
4297 {
4298 /* 33222222222211111111110000000000
4299 10987654321098765432109876543210
42e6288f
MM
4300 010001x0111xxxxx000x10xxxxxxxxxx
4301 mla. */
4302 return 2100;
c0890d26
RS
4303 }
4304 }
c0890d26
RS
4305 }
4306 else
4307 {
42e6288f 4308 if (((word >> 11) & 0x1) == 0)
c0890d26 4309 {
42e6288f
MM
4310 if (((word >> 12) & 0x1) == 0)
4311 {
4312 if (((word >> 22) & 0x1) == 0)
4313 {
4314 /* 33222222222211111111110000000000
4315 10987654321098765432109876543210
4316 010001x0101xxxxx000001xxxxxxxxxx
4317 udot. */
4318 return 1969;
4319 }
4320 else
4321 {
4322 /* 33222222222211111111110000000000
4323 10987654321098765432109876543210
4324 010001x0111xxxxx000001xxxxxxxxxx
4325 udot. */
4326 return 1970;
4327 }
4328 }
4329 else
4330 {
4331 if (((word >> 22) & 0x1) == 0)
4332 {
4333 /* 33222222222211111111110000000000
4334 10987654321098765432109876543210
4335 010001x0101xxxxx000101xxxxxxxxxx
4336 sqrdmlsh. */
4337 return 2194;
4338 }
4339 else
4340 {
4341 /* 33222222222211111111110000000000
4342 10987654321098765432109876543210
4343 010001x0111xxxxx000101xxxxxxxxxx
4344 sqrdmlsh. */
4345 return 2195;
4346 }
4347 }
c0890d26
RS
4348 }
4349 else
4350 {
42e6288f
MM
4351 if (((word >> 22) & 0x1) == 0)
4352 {
4353 /* 33222222222211111111110000000000
4354 10987654321098765432109876543210
4355 010001x0101xxxxx000x11xxxxxxxxxx
4356 mls. */
4357 return 2102;
4358 }
4359 else
4360 {
4361 /* 33222222222211111111110000000000
4362 10987654321098765432109876543210
4363 010001x0111xxxxx000x11xxxxxxxxxx
4364 mls. */
4365 return 2103;
4366 }
c0890d26
RS
4367 }
4368 }
4369 }
4370 else
4371 {
42e6288f
MM
4372 /* 33222222222211111111110000000000
4373 10987654321098765432109876543210
4374 110001x01x1xxxxx000xxxxxxxxxxxxx
4375 ld1sh. */
4376 return 1573;
4377 }
4378 }
4379 }
4380 }
4381 }
4382 else
4383 {
4384 if (((word >> 31) & 0x1) == 0)
4385 {
4386 if (((word >> 21) & 0x1) == 0)
4387 {
4388 if (((word >> 16) & 0x1) == 0)
4389 {
4390 if (((word >> 17) & 0x1) == 0)
4391 {
4392 if (((word >> 18) & 0x1) == 0)
c0890d26 4393 {
42e6288f 4394 if (((word >> 19) & 0x1) == 0)
c0890d26 4395 {
42e6288f 4396 if (((word >> 20) & 0x1) == 0)
c0890d26 4397 {
42e6288f
MM
4398 /* 33222222222211111111110000000000
4399 10987654321098765432109876543210
4400 0x0001x0xx000000100xxxxxxxxxxxxx
4401 asr. */
4402 return 1291;
4403 }
4404 else
4405 {
4406 if (((word >> 30) & 0x1) == 0)
c0890d26
RS
4407 {
4408 /* 33222222222211111111110000000000
4409 10987654321098765432109876543210
42e6288f
MM
4410 000001x0xx010000100xxxxxxxxxxxxx
4411 asr. */
4412 return 1289;
c0890d26
RS
4413 }
4414 else
4415 {
4416 /* 33222222222211111111110000000000
4417 10987654321098765432109876543210
42e6288f
MM
4418 010001x0xx010000100xxxxxxxxxxxxx
4419 shadd. */
4420 return 2130;
c0890d26
RS
4421 }
4422 }
42e6288f
MM
4423 }
4424 else
4425 {
4426 if (((word >> 20) & 0x1) == 0)
c0890d26
RS
4427 {
4428 /* 33222222222211111111110000000000
4429 10987654321098765432109876543210
42e6288f
MM
4430 0x0001x0xx001000100xxxxxxxxxxxxx
4431 sqshl. */
4432 return 2208;
4433 }
4434 else
4435 {
4436 if (((word >> 30) & 0x1) == 0)
4437 {
4438 /* 33222222222211111111110000000000
4439 10987654321098765432109876543210
4440 000001x0xx011000100xxxxxxxxxxxxx
4441 asr. */
4442 return 1290;
4443 }
4444 else
4445 {
4446 /* 33222222222211111111110000000000
4447 10987654321098765432109876543210
4448 010001x0xx011000100xxxxxxxxxxxxx
4449 sqadd. */
4450 return 2159;
4451 }
c0890d26 4452 }
c0890d26
RS
4453 }
4454 }
4455 else
4456 {
42e6288f 4457 if (((word >> 19) & 0x1) == 0)
c0890d26 4458 {
42e6288f 4459 if (((word >> 20) & 0x1) == 0)
c0890d26 4460 {
42e6288f
MM
4461 /* 33222222222211111111110000000000
4462 10987654321098765432109876543210
4463 0x0001x0xx000100100xxxxxxxxxxxxx
4464 asrd. */
4465 return 1292;
4466 }
4467 else
4468 {
4469 if (((word >> 30) & 0x1) == 0)
c0890d26
RS
4470 {
4471 /* 33222222222211111111110000000000
4472 10987654321098765432109876543210
42e6288f
MM
4473 000001x0xx010100100xxxxxxxxxxxxx
4474 asrr. */
4475 return 1293;
c0890d26
RS
4476 }
4477 else
4478 {
4479 /* 33222222222211111111110000000000
4480 10987654321098765432109876543210
42e6288f
MM
4481 010001x0xx010100100xxxxxxxxxxxxx
4482 srhadd. */
4483 return 2221;
c0890d26
RS
4484 }
4485 }
c0890d26
RS
4486 }
4487 else
4488 {
42e6288f
MM
4489 if (((word >> 20) & 0x1) == 0)
4490 {
4491 if (((word >> 30) & 0x1) == 0)
4492 {
4493 /* 33222222222211111111110000000000
4494 10987654321098765432109876543210
4495 000001x0xx001100100xxxxxxxxxxxxx
4496 srshr. */
4497 return 2225;
4498 }
4499 else
4500 {
4501 /* 33222222222211111111110000000000
4502 10987654321098765432109876543210
4503 010001x0xx001100100xxxxxxxxxxxxx
4504 sqshlr. */
4505 return 2209;
4506 }
4507 }
4508 else
4509 {
4510 /* 33222222222211111111110000000000
4511 10987654321098765432109876543210
4512 0x0001x0xx011100100xxxxxxxxxxxxx
4513 suqadd. */
4514 return 2245;
4515 }
c0890d26
RS
4516 }
4517 }
4518 }
42e6288f 4519 else
c0890d26 4520 {
42e6288f 4521 if (((word >> 18) & 0x1) == 0)
c0890d26 4522 {
42e6288f
MM
4523 if (((word >> 19) & 0x1) == 0)
4524 {
4525 if (((word >> 20) & 0x1) == 0)
4526 {
4527 /* 33222222222211111111110000000000
4528 10987654321098765432109876543210
4529 0x0001x0xx000010100xxxxxxxxxxxxx
4530 srshl. */
4531 return 2223;
4532 }
4533 else
4534 {
4535 /* 33222222222211111111110000000000
4536 10987654321098765432109876543210
4537 0x0001x0xx010010100xxxxxxxxxxxxx
4538 shsub. */
4539 return 2133;
4540 }
4541 }
4542 else
4543 {
4544 if (((word >> 20) & 0x1) == 0)
4545 {
4546 /* 33222222222211111111110000000000
4547 10987654321098765432109876543210
4548 0x0001x0xx001010100xxxxxxxxxxxxx
4549 sqrshl. */
4550 return 2201;
4551 }
4552 else
4553 {
4554 /* 33222222222211111111110000000000
4555 10987654321098765432109876543210
4556 0x0001x0xx011010100xxxxxxxxxxxxx
4557 sqsub. */
4558 return 2215;
4559 }
4560 }
c0890d26
RS
4561 }
4562 else
4563 {
42e6288f
MM
4564 if (((word >> 19) & 0x1) == 0)
4565 {
4566 if (((word >> 20) & 0x1) == 0)
4567 {
4568 if (((word >> 30) & 0x1) == 0)
4569 {
4570 /* 33222222222211111111110000000000
4571 10987654321098765432109876543210
4572 000001x0xx000110100xxxxxxxxxxxxx
4573 sqshl. */
4574 return 2207;
4575 }
4576 else
4577 {
4578 /* 33222222222211111111110000000000
4579 10987654321098765432109876543210
4580 010001x0xx000110100xxxxxxxxxxxxx
4581 srshlr. */
4582 return 2224;
4583 }
4584 }
4585 else
4586 {
4587 /* 33222222222211111111110000000000
4588 10987654321098765432109876543210
4589 0x0001x0xx010110100xxxxxxxxxxxxx
4590 shsubr. */
4591 return 2134;
4592 }
4593 }
4594 else
4595 {
4596 if (((word >> 20) & 0x1) == 0)
4597 {
4598 /* 33222222222211111111110000000000
4599 10987654321098765432109876543210
4600 0x0001x0xx001110100xxxxxxxxxxxxx
4601 sqrshlr. */
4602 return 2202;
4603 }
4604 else
4605 {
4606 /* 33222222222211111111110000000000
4607 10987654321098765432109876543210
4608 0x0001x0xx011110100xxxxxxxxxxxxx
4609 sqsubr. */
4610 return 2216;
4611 }
4612 }
c0890d26
RS
4613 }
4614 }
42e6288f
MM
4615 }
4616 else
4617 {
4618 if (((word >> 17) & 0x1) == 0)
c0890d26 4619 {
42e6288f 4620 if (((word >> 18) & 0x1) == 0)
c0890d26 4621 {
42e6288f 4622 if (((word >> 19) & 0x1) == 0)
c0890d26 4623 {
42e6288f
MM
4624 if (((word >> 20) & 0x1) == 0)
4625 {
4626 /* 33222222222211111111110000000000
4627 10987654321098765432109876543210
4628 0x0001x0xx000001100xxxxxxxxxxxxx
4629 lsr. */
4630 return 1735;
4631 }
4632 else
4633 {
4634 if (((word >> 30) & 0x1) == 0)
4635 {
4636 /* 33222222222211111111110000000000
4637 10987654321098765432109876543210
4638 000001x0xx010001100xxxxxxxxxxxxx
4639 lsr. */
4640 return 1733;
4641 }
4642 else
4643 {
4644 /* 33222222222211111111110000000000
4645 10987654321098765432109876543210
4646 010001x0xx010001100xxxxxxxxxxxxx
4647 uhadd. */
4648 return 2258;
4649 }
4650 }
c0890d26
RS
4651 }
4652 else
4653 {
42e6288f
MM
4654 if (((word >> 20) & 0x1) == 0)
4655 {
4656 /* 33222222222211111111110000000000
4657 10987654321098765432109876543210
4658 0x0001x0xx001001100xxxxxxxxxxxxx
4659 uqshl. */
4660 return 2288;
4661 }
4662 else
4663 {
4664 if (((word >> 30) & 0x1) == 0)
4665 {
4666 /* 33222222222211111111110000000000
4667 10987654321098765432109876543210
4668 000001x0xx011001100xxxxxxxxxxxxx
4669 lsr. */
4670 return 1734;
4671 }
4672 else
4673 {
4674 /* 33222222222211111111110000000000
4675 10987654321098765432109876543210
4676 010001x0xx011001100xxxxxxxxxxxxx
4677 uqadd. */
4678 return 2282;
4679 }
4680 }
c0890d26
RS
4681 }
4682 }
4683 else
4684 {
42e6288f 4685 if (((word >> 19) & 0x1) == 0)
c0890d26 4686 {
42e6288f
MM
4687 if (((word >> 30) & 0x1) == 0)
4688 {
4689 /* 33222222222211111111110000000000
4690 10987654321098765432109876543210
4691 000001x0xx0x0101100xxxxxxxxxxxxx
4692 lsrr. */
4693 return 1736;
4694 }
4695 else
4696 {
4697 /* 33222222222211111111110000000000
4698 10987654321098765432109876543210
4699 010001x0xx0x0101100xxxxxxxxxxxxx
4700 urhadd. */
4701 return 2297;
4702 }
c0890d26
RS
4703 }
4704 else
4705 {
42e6288f
MM
4706 if (((word >> 20) & 0x1) == 0)
4707 {
4708 if (((word >> 30) & 0x1) == 0)
4709 {
4710 /* 33222222222211111111110000000000
4711 10987654321098765432109876543210
4712 000001x0xx001101100xxxxxxxxxxxxx
4713 urshr. */
4714 return 2300;
4715 }
4716 else
4717 {
4718 /* 33222222222211111111110000000000
4719 10987654321098765432109876543210
4720 010001x0xx001101100xxxxxxxxxxxxx
4721 uqshlr. */
4722 return 2289;
4723 }
4724 }
4725 else
4726 {
4727 /* 33222222222211111111110000000000
4728 10987654321098765432109876543210
4729 0x0001x0xx011101100xxxxxxxxxxxxx
4730 usqadd. */
4731 return 2305;
4732 }
c0890d26
RS
4733 }
4734 }
4735 }
c0890d26
RS
4736 else
4737 {
42e6288f 4738 if (((word >> 18) & 0x1) == 0)
c0890d26 4739 {
42e6288f 4740 if (((word >> 19) & 0x1) == 0)
c0890d26 4741 {
42e6288f
MM
4742 if (((word >> 20) & 0x1) == 0)
4743 {
4744 if (((word >> 30) & 0x1) == 0)
4745 {
4746 /* 33222222222211111111110000000000
4747 10987654321098765432109876543210
4748 000001x0xx000011100xxxxxxxxxxxxx
4749 lsl. */
4750 return 1729;
4751 }
4752 else
4753 {
4754 /* 33222222222211111111110000000000
4755 10987654321098765432109876543210
4756 010001x0xx000011100xxxxxxxxxxxxx
4757 urshl. */
4758 return 2298;
4759 }
4760 }
4761 else
4762 {
4763 if (((word >> 30) & 0x1) == 0)
4764 {
4765 /* 33222222222211111111110000000000
4766 10987654321098765432109876543210
4767 000001x0xx010011100xxxxxxxxxxxxx
4768 lsl. */
4769 return 1727;
4770 }
4771 else
4772 {
4773 /* 33222222222211111111110000000000
4774 10987654321098765432109876543210
4775 010001x0xx010011100xxxxxxxxxxxxx
4776 uhsub. */
4777 return 2259;
4778 }
4779 }
c0890d26
RS
4780 }
4781 else
4782 {
42e6288f
MM
4783 if (((word >> 20) & 0x1) == 0)
4784 {
4785 /* 33222222222211111111110000000000
4786 10987654321098765432109876543210
4787 0x0001x0xx001011100xxxxxxxxxxxxx
4788 uqrshl. */
4789 return 2283;
4790 }
4791 else
4792 {
4793 if (((word >> 30) & 0x1) == 0)
4794 {
4795 /* 33222222222211111111110000000000
4796 10987654321098765432109876543210
4797 000001x0xx011011100xxxxxxxxxxxxx
4798 lsl. */
4799 return 1728;
4800 }
4801 else
4802 {
4803 /* 33222222222211111111110000000000
4804 10987654321098765432109876543210
4805 010001x0xx011011100xxxxxxxxxxxxx
4806 uqsub. */
4807 return 2292;
4808 }
4809 }
c0890d26
RS
4810 }
4811 }
4812 else
4813 {
42e6288f 4814 if (((word >> 19) & 0x1) == 0)
c0890d26 4815 {
42e6288f 4816 if (((word >> 20) & 0x1) == 0)
c0890d26 4817 {
42e6288f
MM
4818 if (((word >> 30) & 0x1) == 0)
4819 {
4820 /* 33222222222211111111110000000000
4821 10987654321098765432109876543210
4822 000001x0xx000111100xxxxxxxxxxxxx
4823 uqshl. */
4824 return 2287;
4825 }
4826 else
4827 {
4828 /* 33222222222211111111110000000000
4829 10987654321098765432109876543210
4830 010001x0xx000111100xxxxxxxxxxxxx
4831 urshlr. */
4832 return 2299;
4833 }
4834 }
4835 else
4836 {
4837 if (((word >> 30) & 0x1) == 0)
4838 {
4839 /* 33222222222211111111110000000000
4840 10987654321098765432109876543210
4841 000001x0xx010111100xxxxxxxxxxxxx
4842 lslr. */
4843 return 1730;
4844 }
4845 else
4846 {
4847 /* 33222222222211111111110000000000
4848 10987654321098765432109876543210
4849 010001x0xx010111100xxxxxxxxxxxxx
4850 uhsubr. */
4851 return 2260;
4852 }
4853 }
4854 }
4855 else
4856 {
4857 if (((word >> 20) & 0x1) == 0)
4858 {
4859 if (((word >> 30) & 0x1) == 0)
4860 {
4861 /* 33222222222211111111110000000000
4862 10987654321098765432109876543210
4863 000001x0xx001111100xxxxxxxxxxxxx
4864 sqshlu. */
4865 return 2210;
4866 }
4867 else
4868 {
4869 /* 33222222222211111111110000000000
4870 10987654321098765432109876543210
4871 010001x0xx001111100xxxxxxxxxxxxx
4872 uqrshlr. */
4873 return 2284;
4874 }
c0890d26
RS
4875 }
4876 else
4877 {
4878 /* 33222222222211111111110000000000
4879 10987654321098765432109876543210
42e6288f
MM
4880 0x0001x0xx011111100xxxxxxxxxxxxx
4881 uqsubr. */
4882 return 2293;
c0890d26
RS
4883 }
4884 }
42e6288f
MM
4885 }
4886 }
4887 }
4888 }
4889 else
4890 {
4891 if (((word >> 10) & 0x1) == 0)
4892 {
4893 if (((word >> 12) & 0x1) == 0)
4894 {
4895 if (((word >> 30) & 0x1) == 0)
4896 {
4897 /* 33222222222211111111110000000000
4898 10987654321098765432109876543210
4899 000001x0xx1xxxxx1000x0xxxxxxxxxx
4900 asr. */
4901 return 1287;
4902 }
4903 else
4904 {
4905 if (((word >> 22) & 0x1) == 0)
4906 {
4907 /* 33222222222211111111110000000000
4908 10987654321098765432109876543210
4909 010001x0x01xxxxx1000x0xxxxxxxxxx
4910 smlalb. */
4911 return 2138;
4912 }
c0890d26
RS
4913 else
4914 {
4915 /* 33222222222211111111110000000000
4916 10987654321098765432109876543210
42e6288f
MM
4917 010001x0x11xxxxx1000x0xxxxxxxxxx
4918 smlalb. */
4919 return 2139;
c0890d26
RS
4920 }
4921 }
4922 }
4923 else
4924 {
42e6288f 4925 if (((word >> 30) & 0x1) == 0)
c0890d26
RS
4926 {
4927 /* 33222222222211111111110000000000
4928 10987654321098765432109876543210
42e6288f
MM
4929 000001x0xx1xxxxx1001x0xxxxxxxxxx
4930 asr. */
4931 return 1288;
c0890d26
RS
4932 }
4933 else
4934 {
42e6288f
MM
4935 if (((word >> 22) & 0x1) == 0)
4936 {
4937 /* 33222222222211111111110000000000
4938 10987654321098765432109876543210
4939 010001x0x01xxxxx1001x0xxxxxxxxxx
4940 umlalb. */
4941 return 2263;
4942 }
4943 else
4944 {
4945 /* 33222222222211111111110000000000
4946 10987654321098765432109876543210
4947 010001x0x11xxxxx1001x0xxxxxxxxxx
4948 umlalb. */
4949 return 2264;
4950 }
4951 }
c0890d26
RS
4952 }
4953 }
c0890d26
RS
4954 else
4955 {
42e6288f 4956 if (((word >> 12) & 0x1) == 0)
c0890d26 4957 {
42e6288f 4958 if (((word >> 30) & 0x1) == 0)
c0890d26 4959 {
42e6288f 4960 if (((word >> 11) & 0x1) == 0)
c0890d26 4961 {
42e6288f
MM
4962 /* 33222222222211111111110000000000
4963 10987654321098765432109876543210
4964 000001x0xx1xxxxx100001xxxxxxxxxx
4965 lsr. */
4966 return 1731;
c0890d26
RS
4967 }
4968 else
4969 {
42e6288f
MM
4970 /* 33222222222211111111110000000000
4971 10987654321098765432109876543210
4972 000001x0xx1xxxxx100011xxxxxxxxxx
4973 lsl. */
4974 return 1725;
c0890d26
RS
4975 }
4976 }
4977 else
4978 {
4979 if (((word >> 22) & 0x1) == 0)
4980 {
4981 /* 33222222222211111111110000000000
4982 10987654321098765432109876543210
42e6288f
MM
4983 010001x0x01xxxxx1000x1xxxxxxxxxx
4984 smlalt. */
4985 return 2141;
c0890d26
RS
4986 }
4987 else
4988 {
42e6288f
MM
4989 /* 33222222222211111111110000000000
4990 10987654321098765432109876543210
4991 010001x0x11xxxxx1000x1xxxxxxxxxx
4992 smlalt. */
4993 return 2142;
c0890d26
RS
4994 }
4995 }
4996 }
4997 else
4998 {
42e6288f 4999 if (((word >> 30) & 0x1) == 0)
c0890d26 5000 {
42e6288f 5001 if (((word >> 11) & 0x1) == 0)
c0890d26 5002 {
42e6288f
MM
5003 /* 33222222222211111111110000000000
5004 10987654321098765432109876543210
5005 000001x0xx1xxxxx100101xxxxxxxxxx
5006 lsr. */
5007 return 1732;
c0890d26
RS
5008 }
5009 else
5010 {
42e6288f
MM
5011 /* 33222222222211111111110000000000
5012 10987654321098765432109876543210
5013 000001x0xx1xxxxx100111xxxxxxxxxx
5014 lsl. */
5015 return 1726;
c0890d26
RS
5016 }
5017 }
5018 else
5019 {
5020 if (((word >> 22) & 0x1) == 0)
5021 {
5022 /* 33222222222211111111110000000000
5023 10987654321098765432109876543210
42e6288f
MM
5024 010001x0x01xxxxx1001x1xxxxxxxxxx
5025 umlalt. */
5026 return 2266;
c0890d26
RS
5027 }
5028 else
5029 {
42e6288f
MM
5030 /* 33222222222211111111110000000000
5031 10987654321098765432109876543210
5032 010001x0x11xxxxx1001x1xxxxxxxxxx
5033 umlalt. */
5034 return 2267;
c0890d26
RS
5035 }
5036 }
5037 }
5038 }
5039 }
42e6288f
MM
5040 }
5041 else
5042 {
5043 if (((word >> 22) & 0x1) == 0)
c0890d26 5044 {
42e6288f 5045 if (((word >> 21) & 0x1) == 0)
c0890d26 5046 {
42e6288f 5047 if (((word >> 23) & 0x1) == 0)
c0890d26 5048 {
42e6288f
MM
5049 /* 33222222222211111111110000000000
5050 10987654321098765432109876543210
5051 1x0001x0000xxxxx100xxxxxxxxxxxxx
5052 ldnt1sb. */
5053 return 2092;
5054 }
5055 else
5056 {
5057 /* 33222222222211111111110000000000
5058 10987654321098765432109876543210
5059 1x0001x0100xxxxx100xxxxxxxxxxxxx
5060 ldnt1sh. */
5061 return 2093;
5062 }
5063 }
5064 else
5065 {
5066 if (((word >> 23) & 0x1) == 0)
5067 {
5068 if (((word >> 30) & 0x1) == 0)
c0890d26
RS
5069 {
5070 /* 33222222222211111111110000000000
5071 10987654321098765432109876543210
42e6288f
MM
5072 100001x0001xxxxx100xxxxxxxxxxxxx
5073 ld1sb. */
5074 return 1563;
5075 }
5076 else
5077 {
5078 /* 33222222222211111111110000000000
5079 10987654321098765432109876543210
5080 110001x0001xxxxx100xxxxxxxxxxxxx
5081 ld1sb. */
5082 return 1567;
c0890d26
RS
5083 }
5084 }
5085 else
5086 {
42e6288f 5087 if (((word >> 30) & 0x1) == 0)
c0890d26
RS
5088 {
5089 /* 33222222222211111111110000000000
5090 10987654321098765432109876543210
42e6288f
MM
5091 100001x0101xxxxx100xxxxxxxxxxxxx
5092 ld1sh. */
5093 return 1576;
c0890d26
RS
5094 }
5095 else
5096 {
5097 /* 33222222222211111111110000000000
5098 10987654321098765432109876543210
42e6288f
MM
5099 110001x0101xxxxx100xxxxxxxxxxxxx
5100 ld1sh. */
5101 return 1579;
c0890d26
RS
5102 }
5103 }
5104 }
42e6288f
MM
5105 }
5106 else
5107 {
5108 if (((word >> 23) & 0x1) == 0)
c0890d26 5109 {
42e6288f 5110 if (((word >> 30) & 0x1) == 0)
c0890d26
RS
5111 {
5112 /* 33222222222211111111110000000000
5113 10987654321098765432109876543210
42e6288f 5114 100001x001xxxxxx100xxxxxxxxxxxxx
c0890d26 5115 ld1rb. */
42e6288f 5116 return 1533;
c0890d26
RS
5117 }
5118 else
42e6288f
MM
5119 {
5120 if (((word >> 21) & 0x1) == 0)
5121 {
5122 /* 33222222222211111111110000000000
5123 10987654321098765432109876543210
5124 110001x0010xxxxx100xxxxxxxxxxxxx
5125 ld1sb. */
5126 return 1562;
5127 }
5128 else
5129 {
5130 /* 33222222222211111111110000000000
5131 10987654321098765432109876543210
5132 110001x0011xxxxx100xxxxxxxxxxxxx
5133 prfb. */
5134 return 1765;
5135 }
5136 }
5137 }
5138 else
5139 {
5140 if (((word >> 30) & 0x1) == 0)
c0890d26
RS
5141 {
5142 /* 33222222222211111111110000000000
5143 10987654321098765432109876543210
42e6288f
MM
5144 100001x011xxxxxx100xxxxxxxxxxxxx
5145 ld1rsw. */
5146 return 1554;
5147 }
5148 else
5149 {
5150 if (((word >> 21) & 0x1) == 0)
5151 {
5152 /* 33222222222211111111110000000000
5153 10987654321098765432109876543210
5154 110001x0110xxxxx100xxxxxxxxxxxxx
5155 ld1sh. */
5156 return 1574;
5157 }
5158 else
5159 {
5160 /* 33222222222211111111110000000000
5161 10987654321098765432109876543210
5162 110001x0111xxxxx100xxxxxxxxxxxxx
5163 ld1sh. */
5164 return 1575;
5165 }
c0890d26
RS
5166 }
5167 }
5168 }
5169 }
42e6288f
MM
5170 }
5171 }
5172 else
5173 {
5174 if (((word >> 15) & 0x1) == 0)
5175 {
5176 if (((word >> 21) & 0x1) == 0)
c0890d26 5177 {
42e6288f 5178 if (((word >> 30) & 0x1) == 0)
c0890d26 5179 {
42e6288f 5180 if (((word >> 31) & 0x1) == 0)
c0890d26
RS
5181 {
5182 /* 33222222222211111111110000000000
5183 10987654321098765432109876543210
42e6288f
MM
5184 000001x0xx0xxxxx010xxxxxxxxxxxxx
5185 mla. */
5186 return 1738;
c0890d26
RS
5187 }
5188 else
c0890d26
RS
5189 {
5190 if (((word >> 23) & 0x1) == 0)
5191 {
5192 /* 33222222222211111111110000000000
5193 10987654321098765432109876543210
42e6288f 5194 100001x00x0xxxxx010xxxxxxxxxxxxx
c0890d26 5195 ld1b. */
42e6288f 5196 return 1499;
c0890d26
RS
5197 }
5198 else
5199 {
5200 /* 33222222222211111111110000000000
5201 10987654321098765432109876543210
42e6288f 5202 100001x01x0xxxxx010xxxxxxxxxxxxx
c0890d26 5203 ld1h. */
42e6288f 5204 return 1519;
c0890d26
RS
5205 }
5206 }
5207 }
42e6288f 5208 else
c0890d26
RS
5209 {
5210 if (((word >> 31) & 0x1) == 0)
5211 {
42e6288f 5212 if (((word >> 10) & 0x1) == 0)
c0890d26 5213 {
42e6288f 5214 if (((word >> 11) & 0x1) == 0)
c0890d26 5215 {
42e6288f 5216 if (((word >> 12) & 0x1) == 0)
582e12bf 5217 {
42e6288f
MM
5218 /* 33222222222211111111110000000000
5219 10987654321098765432109876543210
5220 010001x0xx0xxxxx010000xxxxxxxxxx
5221 smlalb. */
5222 return 2140;
582e12bf
RS
5223 }
5224 else
5225 {
5226 /* 33222222222211111111110000000000
5227 10987654321098765432109876543210
42e6288f
MM
5228 010001x0xx0xxxxx010100xxxxxxxxxx
5229 smlslb. */
5230 return 2146;
582e12bf 5231 }
c0890d26
RS
5232 }
5233 else
5234 {
42e6288f 5235 if (((word >> 12) & 0x1) == 0)
582e12bf 5236 {
42e6288f
MM
5237 /* 33222222222211111111110000000000
5238 10987654321098765432109876543210
5239 010001x0xx0xxxxx010010xxxxxxxxxx
5240 umlalb. */
5241 return 2265;
582e12bf
RS
5242 }
5243 else
5244 {
42e6288f
MM
5245 /* 33222222222211111111110000000000
5246 10987654321098765432109876543210
5247 010001x0xx0xxxxx010110xxxxxxxxxx
5248 umlslb. */
5249 return 2271;
582e12bf 5250 }
c0890d26
RS
5251 }
5252 }
5253 else
5254 {
42e6288f 5255 if (((word >> 11) & 0x1) == 0)
c0890d26 5256 {
42e6288f 5257 if (((word >> 12) & 0x1) == 0)
582e12bf
RS
5258 {
5259 /* 33222222222211111111110000000000
5260 10987654321098765432109876543210
42e6288f
MM
5261 010001x0xx0xxxxx010001xxxxxxxxxx
5262 smlalt. */
5263 return 2143;
582e12bf
RS
5264 }
5265 else
5266 {
5267 /* 33222222222211111111110000000000
5268 10987654321098765432109876543210
42e6288f
MM
5269 010001x0xx0xxxxx010101xxxxxxxxxx
5270 smlslt. */
5271 return 2149;
582e12bf 5272 }
c0890d26
RS
5273 }
5274 else
5275 {
42e6288f
MM
5276 if (((word >> 12) & 0x1) == 0)
5277 {
5278 /* 33222222222211111111110000000000
5279 10987654321098765432109876543210
5280 010001x0xx0xxxxx010011xxxxxxxxxx
5281 umlalt. */
5282 return 2268;
5283 }
5284 else
5285 {
5286 /* 33222222222211111111110000000000
5287 10987654321098765432109876543210
5288 010001x0xx0xxxxx010111xxxxxxxxxx
5289 umlslt. */
5290 return 2274;
5291 }
c0890d26
RS
5292 }
5293 }
5294 }
c0890d26
RS
5295 else
5296 {
5297 if (((word >> 23) & 0x1) == 0)
5298 {
5299 /* 33222222222211111111110000000000
5300 10987654321098765432109876543210
42e6288f
MM
5301 110001x00x0xxxxx010xxxxxxxxxxxxx
5302 ld1b. */
5303 return 1504;
c0890d26
RS
5304 }
5305 else
5306 {
5307 /* 33222222222211111111110000000000
5308 10987654321098765432109876543210
42e6288f
MM
5309 110001x01x0xxxxx010xxxxxxxxxxxxx
5310 ld1h. */
5311 return 1524;
c0890d26
RS
5312 }
5313 }
5314 }
582e12bf
RS
5315 }
5316 else
5317 {
42e6288f 5318 if (((word >> 30) & 0x1) == 0)
c0890d26 5319 {
42e6288f 5320 if (((word >> 31) & 0x1) == 0)
c0890d26 5321 {
42e6288f 5322 if (((word >> 11) & 0x1) == 0)
c0890d26 5323 {
42e6288f
MM
5324 if (((word >> 12) & 0x1) == 0)
5325 {
5326 if (((word >> 10) & 0x1) == 0)
5327 {
5328 /* 33222222222211111111110000000000
5329 10987654321098765432109876543210
5330 000001x0xx1xxxxx010000xxxxxxxxxx
5331 index. */
5332 return 1490;
5333 }
5334 else
5335 {
5336 /* 33222222222211111111110000000000
5337 10987654321098765432109876543210
5338 000001x0xx1xxxxx010001xxxxxxxxxx
5339 index. */
5340 return 1491;
5341 }
5342 }
5343 else
5344 {
5345 if (((word >> 22) & 0x1) == 0)
5346 {
5347 if (((word >> 23) & 0x1) == 0)
5348 {
5349 /* 33222222222211111111110000000000
5350 10987654321098765432109876543210
5351 000001x0001xxxxx01010xxxxxxxxxxx
5352 addvl. */
5353 return 1277;
5354 }
5355 else
5356 {
5357 /* 33222222222211111111110000000000
5358 10987654321098765432109876543210
5359 000001x0101xxxxx01010xxxxxxxxxxx
5360 rdvl. */
5361 return 1799;
5362 }
5363 }
5364 else
5365 {
5366 /* 33222222222211111111110000000000
5367 10987654321098765432109876543210
5368 000001x0x11xxxxx01010xxxxxxxxxxx
5369 addpl. */
5370 return 1276;
5371 }
5372 }
5373 }
5374 else
5375 {
5376 if (((word >> 10) & 0x1) == 0)
c0890d26
RS
5377 {
5378 /* 33222222222211111111110000000000
5379 10987654321098765432109876543210
42e6288f
MM
5380 000001x0xx1xxxxx010x10xxxxxxxxxx
5381 index. */
5382 return 1492;
c0890d26
RS
5383 }
5384 else
5385 {
5386 /* 33222222222211111111110000000000
5387 10987654321098765432109876543210
42e6288f
MM
5388 000001x0xx1xxxxx010x11xxxxxxxxxx
5389 index. */
5390 return 1489;
c0890d26
RS
5391 }
5392 }
42e6288f
MM
5393 }
5394 else
5395 {
5396 if (((word >> 23) & 0x1) == 0)
5397 {
5398 /* 33222222222211111111110000000000
5399 10987654321098765432109876543210
5400 100001x00x1xxxxx010xxxxxxxxxxxxx
5401 prfw. */
5402 return 1783;
5403 }
c0890d26
RS
5404 else
5405 {
5406 /* 33222222222211111111110000000000
5407 10987654321098765432109876543210
42e6288f
MM
5408 100001x01x1xxxxx010xxxxxxxxxxxxx
5409 ld1h. */
5410 return 1520;
c0890d26
RS
5411 }
5412 }
42e6288f
MM
5413 }
5414 else
5415 {
5416 if (((word >> 23) & 0x1) == 0)
c0890d26 5417 {
582e12bf
RS
5418 /* 33222222222211111111110000000000
5419 10987654321098765432109876543210
42e6288f
MM
5420 x10001x00x1xxxxx010xxxxxxxxxxxxx
5421 prfw. */
5422 return 1785;
c0890d26 5423 }
42e6288f 5424 else
c0890d26 5425 {
582e12bf 5426 if (((word >> 31) & 0x1) == 0)
c0890d26 5427 {
582e12bf
RS
5428 if (((word >> 22) & 0x1) == 0)
5429 {
5430 /* 33222222222211111111110000000000
5431 10987654321098765432109876543210
42e6288f
MM
5432 010001x0101xxxxx010xxxxxxxxxxxxx
5433 cdot. */
5434 return 2057;
582e12bf
RS
5435 }
5436 else
5437 {
5438 /* 33222222222211111111110000000000
5439 10987654321098765432109876543210
42e6288f
MM
5440 010001x0111xxxxx010xxxxxxxxxxxxx
5441 cdot. */
5442 return 2056;
582e12bf 5443 }
c0890d26
RS
5444 }
5445 else
5446 {
5447 /* 33222222222211111111110000000000
5448 10987654321098765432109876543210
42e6288f
MM
5449 110001x01x1xxxxx010xxxxxxxxxxxxx
5450 ld1h. */
5451 return 1525;
c0890d26
RS
5452 }
5453 }
c0890d26
RS
5454 }
5455 }
5456 }
5457 else
5458 {
582e12bf 5459 if (((word >> 30) & 0x1) == 0)
c0890d26 5460 {
582e12bf 5461 if (((word >> 31) & 0x1) == 0)
c0890d26 5462 {
582e12bf 5463 if (((word >> 21) & 0x1) == 0)
c0890d26 5464 {
42e6288f
MM
5465 /* 33222222222211111111110000000000
5466 10987654321098765432109876543210
5467 000001x0xx0xxxxx110xxxxxxxxxxxxx
5468 mad. */
5469 return 1737;
5470 }
5471 else
5472 {
5473 if (((word >> 10) & 0x1) == 0)
c0890d26 5474 {
42e6288f 5475 if (((word >> 11) & 0x1) == 0)
c0890d26 5476 {
42e6288f 5477 if (((word >> 20) & 0x1) == 0)
c0890d26 5478 {
42e6288f 5479 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
5480 {
5481 /* 33222222222211111111110000000000
5482 10987654321098765432109876543210
42e6288f
MM
5483 000001x0x010xxxx110x00xxxxxxxxxx
5484 sqincw. */
5485 return 1857;
c0890d26
RS
5486 }
5487 else
5488 {
42e6288f
MM
5489 if (((word >> 23) & 0x1) == 0)
5490 {
5491 /* 33222222222211111111110000000000
5492 10987654321098765432109876543210
5493 000001x00110xxxx110x00xxxxxxxxxx
5494 sqinch. */
5495 return 1851;
5496 }
5497 else
5498 {
5499 /* 33222222222211111111110000000000
5500 10987654321098765432109876543210
5501 000001x01110xxxx110x00xxxxxxxxxx
5502 sqincd. */
5503 return 1848;
5504 }
c0890d26
RS
5505 }
5506 }
5507 else
5508 {
42e6288f 5509 if (((word >> 22) & 0x1) == 0)
582e12bf
RS
5510 {
5511 /* 33222222222211111111110000000000
5512 10987654321098765432109876543210
42e6288f
MM
5513 000001x0x011xxxx110x00xxxxxxxxxx
5514 incw. */
5515 return 1487;
582e12bf
RS
5516 }
5517 else
5518 {
42e6288f
MM
5519 if (((word >> 23) & 0x1) == 0)
5520 {
5521 /* 33222222222211111111110000000000
5522 10987654321098765432109876543210
5523 000001x00111xxxx110x00xxxxxxxxxx
5524 inch. */
5525 return 1483;
5526 }
5527 else
5528 {
5529 /* 33222222222211111111110000000000
5530 10987654321098765432109876543210
5531 000001x01111xxxx110x00xxxxxxxxxx
5532 incd. */
5533 return 1481;
5534 }
582e12bf 5535 }
c0890d26
RS
5536 }
5537 }
5538 else
5539 {
42e6288f 5540 if (((word >> 22) & 0x1) == 0)
c0890d26 5541 {
42e6288f
MM
5542 /* 33222222222211111111110000000000
5543 10987654321098765432109876543210
5544 000001x0x01xxxxx110x10xxxxxxxxxx
5545 sqdecw. */
5546 return 1843;
c0890d26
RS
5547 }
5548 else
5549 {
42e6288f 5550 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
5551 {
5552 /* 33222222222211111111110000000000
5553 10987654321098765432109876543210
42e6288f
MM
5554 000001x0011xxxxx110x10xxxxxxxxxx
5555 sqdech. */
5556 return 1837;
c0890d26
RS
5557 }
5558 else
5559 {
5560 /* 33222222222211111111110000000000
5561 10987654321098765432109876543210
42e6288f
MM
5562 000001x0111xxxxx110x10xxxxxxxxxx
5563 sqdecd. */
5564 return 1834;
c0890d26
RS
5565 }
5566 }
5567 }
5568 }
5569 else
5570 {
42e6288f 5571 if (((word >> 11) & 0x1) == 0)
c0890d26 5572 {
42e6288f 5573 if (((word >> 20) & 0x1) == 0)
582e12bf 5574 {
42e6288f 5575 if (((word >> 22) & 0x1) == 0)
582e12bf
RS
5576 {
5577 /* 33222222222211111111110000000000
5578 10987654321098765432109876543210
42e6288f
MM
5579 000001x0x010xxxx110x01xxxxxxxxxx
5580 uqincw. */
5581 return 2005;
582e12bf
RS
5582 }
5583 else
5584 {
42e6288f
MM
5585 if (((word >> 23) & 0x1) == 0)
5586 {
5587 /* 33222222222211111111110000000000
5588 10987654321098765432109876543210
5589 000001x00110xxxx110x01xxxxxxxxxx
5590 uqinch. */
5591 return 1999;
5592 }
5593 else
5594 {
5595 /* 33222222222211111111110000000000
5596 10987654321098765432109876543210
5597 000001x01110xxxx110x01xxxxxxxxxx
5598 uqincd. */
5599 return 1996;
5600 }
582e12bf
RS
5601 }
5602 }
5603 else
5604 {
42e6288f 5605 if (((word >> 22) & 0x1) == 0)
582e12bf
RS
5606 {
5607 /* 33222222222211111111110000000000
5608 10987654321098765432109876543210
42e6288f
MM
5609 000001x0x011xxxx110x01xxxxxxxxxx
5610 decw. */
5611 return 1362;
5612 }
5613 else
582e12bf 5614 {
42e6288f
MM
5615 if (((word >> 23) & 0x1) == 0)
5616 {
5617 /* 33222222222211111111110000000000
5618 10987654321098765432109876543210
5619 000001x00111xxxx110x01xxxxxxxxxx
5620 dech. */
5621 return 1358;
5622 }
5623 else
5624 {
5625 /* 33222222222211111111110000000000
5626 10987654321098765432109876543210
5627 000001x01111xxxx110x01xxxxxxxxxx
5628 decd. */
5629 return 1356;
5630 }
582e12bf
RS
5631 }
5632 }
5633 }
5634 else
5635 {
42e6288f 5636 if (((word >> 22) & 0x1) == 0)
582e12bf 5637 {
42e6288f
MM
5638 /* 33222222222211111111110000000000
5639 10987654321098765432109876543210
5640 000001x0x01xxxxx110x11xxxxxxxxxx
5641 uqdecw. */
5642 return 1991;
5643 }
5644 else
5645 {
5646 if (((word >> 23) & 0x1) == 0)
582e12bf
RS
5647 {
5648 /* 33222222222211111111110000000000
5649 10987654321098765432109876543210
42e6288f
MM
5650 000001x0011xxxxx110x11xxxxxxxxxx
5651 uqdech. */
5652 return 1985;
582e12bf
RS
5653 }
5654 else
5655 {
5656 /* 33222222222211111111110000000000
5657 10987654321098765432109876543210
42e6288f
MM
5658 000001x0111xxxxx110x11xxxxxxxxxx
5659 uqdecd. */
5660 return 1982;
582e12bf
RS
5661 }
5662 }
582e12bf
RS
5663 }
5664 }
5665 }
42e6288f
MM
5666 }
5667 else
5668 {
5669 if (((word >> 22) & 0x1) == 0)
582e12bf 5670 {
42e6288f 5671 if (((word >> 21) & 0x1) == 0)
582e12bf
RS
5672 {
5673 if (((word >> 23) & 0x1) == 0)
5674 {
42e6288f
MM
5675 /* 33222222222211111111110000000000
5676 10987654321098765432109876543210
5677 100001x0000xxxxx110xxxxxxxxxxxxx
5678 prfb. */
5679 return 1762;
c0890d26
RS
5680 }
5681 else
5682 {
5683 /* 33222222222211111111110000000000
5684 10987654321098765432109876543210
42e6288f
MM
5685 100001x0100xxxxx110xxxxxxxxxxxxx
5686 prfh. */
5687 return 1777;
582e12bf
RS
5688 }
5689 }
5690 else
5691 {
42e6288f 5692 if (((word >> 23) & 0x1) == 0)
582e12bf 5693 {
42e6288f
MM
5694 /* 33222222222211111111110000000000
5695 10987654321098765432109876543210
5696 100001x0001xxxxx110xxxxxxxxxxxxx
5697 ld1b. */
5698 return 1506;
582e12bf
RS
5699 }
5700 else
5701 {
5702 /* 33222222222211111111110000000000
5703 10987654321098765432109876543210
42e6288f
MM
5704 100001x0101xxxxx110xxxxxxxxxxxxx
5705 ld1h. */
5706 return 1528;
c0890d26
RS
5707 }
5708 }
5709 }
582e12bf
RS
5710 else
5711 {
5712 if (((word >> 23) & 0x1) == 0)
5713 {
5714 /* 33222222222211111111110000000000
5715 10987654321098765432109876543210
42e6288f 5716 100001x001xxxxxx110xxxxxxxxxxxxx
582e12bf 5717 ld1rb. */
42e6288f 5718 return 1535;
582e12bf
RS
5719 }
5720 else
5721 {
5722 /* 33222222222211111111110000000000
5723 10987654321098765432109876543210
42e6288f 5724 100001x011xxxxxx110xxxxxxxxxxxxx
582e12bf 5725 ld1rh. */
42e6288f 5726 return 1539;
c0890d26
RS
5727 }
5728 }
5729 }
582e12bf
RS
5730 }
5731 else
5732 {
5733 if (((word >> 21) & 0x1) == 0)
c0890d26 5734 {
582e12bf 5735 if (((word >> 22) & 0x1) == 0)
c0890d26 5736 {
582e12bf 5737 if (((word >> 23) & 0x1) == 0)
c0890d26 5738 {
582e12bf
RS
5739 /* 33222222222211111111110000000000
5740 10987654321098765432109876543210
42e6288f
MM
5741 x10001x0000xxxxx110xxxxxxxxxxxxx
5742 ldnt1b. */
5743 return 2088;
c0890d26
RS
5744 }
5745 else
5746 {
5747 /* 33222222222211111111110000000000
5748 10987654321098765432109876543210
42e6288f
MM
5749 x10001x0100xxxxx110xxxxxxxxxxxxx
5750 ldnt1h. */
5751 return 2091;
c0890d26
RS
5752 }
5753 }
5754 else
5755 {
582e12bf
RS
5756 if (((word >> 23) & 0x1) == 0)
5757 {
5758 /* 33222222222211111111110000000000
5759 10987654321098765432109876543210
42e6288f
MM
5760 x10001x0010xxxxx110xxxxxxxxxxxxx
5761 ld1b. */
5762 return 1505;
582e12bf
RS
5763 }
5764 else
5765 {
5766 /* 33222222222211111111110000000000
5767 10987654321098765432109876543210
42e6288f
MM
5768 x10001x0110xxxxx110xxxxxxxxxxxxx
5769 ld1h. */
5770 return 1526;
582e12bf 5771 }
c0890d26
RS
5772 }
5773 }
42e6288f 5774 else
c0890d26 5775 {
42e6288f 5776 if (((word >> 22) & 0x1) == 0)
582e12bf
RS
5777 {
5778 if (((word >> 23) & 0x1) == 0)
c0890d26 5779 {
582e12bf
RS
5780 /* 33222222222211111111110000000000
5781 10987654321098765432109876543210
42e6288f
MM
5782 x10001x0001xxxxx110xxxxxxxxxxxxx
5783 ld1b. */
5784 return 1511;
c0890d26
RS
5785 }
5786 else
5787 {
42e6288f
MM
5788 if (((word >> 31) & 0x1) == 0)
5789 {
5790 if (((word >> 10) & 0x1) == 0)
5791 {
5792 if (((word >> 12) & 0x1) == 0)
5793 {
5794 /* 33222222222211111111110000000000
5795 10987654321098765432109876543210
5796 010001x0101xxxxx1100x0xxxxxxxxxx
5797 smullb. */
5798 return 2151;
5799 }
5800 else
5801 {
5802 /* 33222222222211111111110000000000
5803 10987654321098765432109876543210
5804 010001x0101xxxxx1101x0xxxxxxxxxx
5805 umullb. */
5806 return 2276;
5807 }
5808 }
5809 else
5810 {
5811 if (((word >> 12) & 0x1) == 0)
5812 {
5813 /* 33222222222211111111110000000000
5814 10987654321098765432109876543210
5815 010001x0101xxxxx1100x1xxxxxxxxxx
5816 smullt. */
5817 return 2154;
5818 }
5819 else
5820 {
5821 /* 33222222222211111111110000000000
5822 10987654321098765432109876543210
5823 010001x0101xxxxx1101x1xxxxxxxxxx
5824 umullt. */
5825 return 2279;
5826 }
5827 }
5828 }
5829 else
5830 {
5831 /* 33222222222211111111110000000000
5832 10987654321098765432109876543210
5833 110001x0101xxxxx110xxxxxxxxxxxxx
5834 ld1h. */
5835 return 1532;
5836 }
c0890d26
RS
5837 }
5838 }
5839 else
5840 {
42e6288f
MM
5841 if (((word >> 23) & 0x1) == 0)
5842 {
5843 /* 33222222222211111111110000000000
5844 10987654321098765432109876543210
5845 x10001x0011xxxxx110xxxxxxxxxxxxx
5846 prfw. */
5847 return 1786;
5848 }
5849 else
5850 {
5851 if (((word >> 31) & 0x1) == 0)
5852 {
5853 if (((word >> 10) & 0x1) == 0)
5854 {
5855 if (((word >> 12) & 0x1) == 0)
5856 {
5857 /* 33222222222211111111110000000000
5858 10987654321098765432109876543210
5859 010001x0111xxxxx1100x0xxxxxxxxxx
5860 smullb. */
5861 return 2152;
5862 }
5863 else
5864 {
5865 /* 33222222222211111111110000000000
5866 10987654321098765432109876543210
5867 010001x0111xxxxx1101x0xxxxxxxxxx
5868 umullb. */
5869 return 2277;
5870 }
5871 }
5872 else
5873 {
5874 if (((word >> 12) & 0x1) == 0)
5875 {
5876 /* 33222222222211111111110000000000
5877 10987654321098765432109876543210
5878 010001x0111xxxxx1100x1xxxxxxxxxx
5879 smullt. */
5880 return 2155;
5881 }
5882 else
5883 {
5884 /* 33222222222211111111110000000000
5885 10987654321098765432109876543210
5886 010001x0111xxxxx1101x1xxxxxxxxxx
5887 umullt. */
5888 return 2280;
5889 }
5890 }
5891 }
5892 else
5893 {
5894 /* 33222222222211111111110000000000
5895 10987654321098765432109876543210
5896 110001x0111xxxxx110xxxxxxxxxxxxx
5897 ld1h. */
5898 return 1527;
5899 }
5900 }
c0890d26
RS
5901 }
5902 }
5903 }
5904 }
42e6288f
MM
5905 }
5906 }
5907 else
5908 {
5909 if (((word >> 14) & 0x1) == 0)
5910 {
5911 if (((word >> 15) & 0x1) == 0)
c0890d26 5912 {
42e6288f 5913 if (((word >> 21) & 0x1) == 0)
c0890d26 5914 {
42e6288f 5915 if (((word >> 30) & 0x1) == 0)
c0890d26 5916 {
42e6288f 5917 if (((word >> 31) & 0x1) == 0)
582e12bf 5918 {
42e6288f 5919 if (((word >> 17) & 0x1) == 0)
c0890d26 5920 {
42e6288f 5921 if (((word >> 19) & 0x1) == 0)
c0890d26 5922 {
42e6288f 5923 if (((word >> 20) & 0x1) == 0)
c0890d26 5924 {
42e6288f 5925 if (((word >> 16) & 0x1) == 0)
c0890d26 5926 {
42e6288f
MM
5927 /* 33222222222211111111110000000000
5928 10987654321098765432109876543210
5929 000001x0xx000x00001xxxxxxxxxxxxx
5930 saddv. */
5931 return 1806;
c0890d26
RS
5932 }
5933 else
5934 {
42e6288f
MM
5935 /* 33222222222211111111110000000000
5936 10987654321098765432109876543210
5937 000001x0xx000x01001xxxxxxxxxxxxx
5938 uaddv. */
5939 return 1958;
c0890d26
RS
5940 }
5941 }
5942 else
42e6288f
MM
5943 {
5944 /* 33222222222211111111110000000000
5945 10987654321098765432109876543210
5946 000001x0xx010x0x001xxxxxxxxxxxxx
5947 movprfx. */
5948 return 1741;
5949 }
5950 }
5951 else
5952 {
5953 if (((word >> 16) & 0x1) == 0)
c0890d26 5954 {
582e12bf 5955 if (((word >> 20) & 0x1) == 0)
c0890d26 5956 {
42e6288f
MM
5957 /* 33222222222211111111110000000000
5958 10987654321098765432109876543210
5959 000001x0xx001x00001xxxxxxxxxxxxx
5960 smaxv. */
5961 return 1824;
c0890d26
RS
5962 }
5963 else
5964 {
42e6288f
MM
5965 /* 33222222222211111111110000000000
5966 10987654321098765432109876543210
5967 000001x0xx011x00001xxxxxxxxxxxxx
5968 orv. */
5969 return 1758;
c0890d26
RS
5970 }
5971 }
42e6288f 5972 else
c0890d26 5973 {
42e6288f 5974 if (((word >> 20) & 0x1) == 0)
c0890d26 5975 {
42e6288f
MM
5976 /* 33222222222211111111110000000000
5977 10987654321098765432109876543210
5978 000001x0xx001x01001xxxxxxxxxxxxx
5979 umaxv. */
5980 return 1973;
c0890d26
RS
5981 }
5982 else
5983 {
42e6288f
MM
5984 /* 33222222222211111111110000000000
5985 10987654321098765432109876543210
5986 000001x0xx011x01001xxxxxxxxxxxxx
5987 eorv. */
5988 return 1373;
c0890d26
RS
5989 }
5990 }
42e6288f
MM
5991 }
5992 }
5993 else
5994 {
5995 if (((word >> 16) & 0x1) == 0)
5996 {
5997 if (((word >> 20) & 0x1) == 0)
5998 {
5999 /* 33222222222211111111110000000000
6000 10987654321098765432109876543210
6001 000001x0xx00xx10001xxxxxxxxxxxxx
6002 sminv. */
6003 return 1827;
6004 }
c0890d26
RS
6005 else
6006 {
42e6288f
MM
6007 /* 33222222222211111111110000000000
6008 10987654321098765432109876543210
6009 000001x0xx01xx10001xxxxxxxxxxxxx
6010 andv. */
6011 return 1286;
c0890d26
RS
6012 }
6013 }
42e6288f
MM
6014 else
6015 {
6016 /* 33222222222211111111110000000000
6017 10987654321098765432109876543210
6018 000001x0xx0xxx11001xxxxxxxxxxxxx
6019 uminv. */
6020 return 1976;
6021 }
6022 }
6023 }
6024 else
6025 {
6026 if (((word >> 23) & 0x1) == 0)
6027 {
6028 /* 33222222222211111111110000000000
6029 10987654321098765432109876543210
6030 100001x00x0xxxxx001xxxxxxxxxxxxx
6031 ldff1sb. */
6032 return 1657;
6033 }
6034 else
6035 {
6036 /* 33222222222211111111110000000000
6037 10987654321098765432109876543210
6038 100001x01x0xxxxx001xxxxxxxxxxxxx
6039 ldff1sh. */
6040 return 1668;
6041 }
6042 }
6043 }
6044 else
6045 {
6046 if (((word >> 31) & 0x1) == 0)
6047 {
6048 if (((word >> 12) & 0x1) == 0)
6049 {
6050 /* 33222222222211111111110000000000
6051 10987654321098765432109876543210
6052 010001x0xx0xxxxx0010xxxxxxxxxxxx
6053 cmla. */
6054 return 2058;
6055 }
6056 else
6057 {
6058 /* 33222222222211111111110000000000
6059 10987654321098765432109876543210
6060 010001x0xx0xxxxx0011xxxxxxxxxxxx
6061 sqrdcmlah. */
6062 return 2188;
6063 }
6064 }
6065 else
6066 {
6067 if (((word >> 23) & 0x1) == 0)
6068 {
6069 /* 33222222222211111111110000000000
6070 10987654321098765432109876543210
6071 110001x00x0xxxxx001xxxxxxxxxxxxx
6072 ldff1sb. */
6073 return 1664;
c0890d26
RS
6074 }
6075 else
42e6288f
MM
6076 {
6077 /* 33222222222211111111110000000000
6078 10987654321098765432109876543210
6079 110001x01x0xxxxx001xxxxxxxxxxxxx
6080 ldff1sh. */
6081 return 1674;
6082 }
6083 }
6084 }
6085 }
6086 else
6087 {
6088 if (((word >> 30) & 0x1) == 0)
6089 {
6090 if (((word >> 31) & 0x1) == 0)
6091 {
6092 if (((word >> 10) & 0x1) == 0)
c0890d26
RS
6093 {
6094 if (((word >> 11) & 0x1) == 0)
6095 {
42e6288f 6096 if (((word >> 22) & 0x1) == 0)
c0890d26 6097 {
42e6288f 6098 if (((word >> 23) & 0x1) == 0)
c0890d26 6099 {
42e6288f
MM
6100 /* 33222222222211111111110000000000
6101 10987654321098765432109876543210
6102 000001x0001xxxxx001x00xxxxxxxxxx
6103 and. */
6104 return 1281;
c0890d26
RS
6105 }
6106 else
6107 {
42e6288f
MM
6108 /* 33222222222211111111110000000000
6109 10987654321098765432109876543210
6110 000001x0101xxxxx001x00xxxxxxxxxx
6111 eor. */
6112 return 1368;
c0890d26
RS
6113 }
6114 }
6115 else
6116 {
42e6288f 6117 if (((word >> 23) & 0x1) == 0)
c0890d26 6118 {
42e6288f
MM
6119 /* 33222222222211111111110000000000
6120 10987654321098765432109876543210
6121 000001x0011xxxxx001x00xxxxxxxxxx
6122 orr. */
6123 return 1753;
c0890d26
RS
6124 }
6125 else
6126 {
42e6288f
MM
6127 /* 33222222222211111111110000000000
6128 10987654321098765432109876543210
6129 000001x0111xxxxx001x00xxxxxxxxxx
6130 bic. */
6131 return 1294;
c0890d26
RS
6132 }
6133 }
6134 }
6135 else
6136 {
42e6288f 6137 if (((word >> 22) & 0x1) == 0)
c0890d26 6138 {
42e6288f
MM
6139 /* 33222222222211111111110000000000
6140 10987654321098765432109876543210
6141 000001x0x01xxxxx001x10xxxxxxxxxx
6142 eor3. */
6143 return 2061;
c0890d26
RS
6144 }
6145 else
6146 {
42e6288f
MM
6147 /* 33222222222211111111110000000000
6148 10987654321098765432109876543210
6149 000001x0x11xxxxx001x10xxxxxxxxxx
6150 bcax. */
6151 return 2050;
c0890d26
RS
6152 }
6153 }
6154 }
582e12bf
RS
6155 else
6156 {
42e6288f 6157 if (((word >> 11) & 0x1) == 0)
582e12bf
RS
6158 {
6159 /* 33222222222211111111110000000000
6160 10987654321098765432109876543210
42e6288f
MM
6161 000001x0xx1xxxxx001x01xxxxxxxxxx
6162 xar. */
6163 return 2321;
582e12bf
RS
6164 }
6165 else
6166 {
42e6288f
MM
6167 if (((word >> 22) & 0x1) == 0)
6168 {
6169 if (((word >> 23) & 0x1) == 0)
6170 {
6171 /* 33222222222211111111110000000000
6172 10987654321098765432109876543210
6173 000001x0001xxxxx001x11xxxxxxxxxx
6174 bsl. */
6175 return 2051;
6176 }
6177 else
6178 {
6179 /* 33222222222211111111110000000000
6180 10987654321098765432109876543210
6181 000001x0101xxxxx001x11xxxxxxxxxx
6182 bsl2n. */
6183 return 2053;
6184 }
6185 }
6186 else
6187 {
6188 if (((word >> 23) & 0x1) == 0)
6189 {
6190 /* 33222222222211111111110000000000
6191 10987654321098765432109876543210
6192 000001x0011xxxxx001x11xxxxxxxxxx
6193 bsl1n. */
6194 return 2052;
6195 }
6196 else
6197 {
6198 /* 33222222222211111111110000000000
6199 10987654321098765432109876543210
6200 000001x0111xxxxx001x11xxxxxxxxxx
6201 nbsl. */
6202 return 2108;
6203 }
6204 }
582e12bf
RS
6205 }
6206 }
6207 }
6208 else
6209 {
6210 if (((word >> 23) & 0x1) == 0)
6211 {
6212 /* 33222222222211111111110000000000
6213 10987654321098765432109876543210
42e6288f
MM
6214 100001x00x1xxxxx001xxxxxxxxxxxxx
6215 prfh. */
6216 return 1776;
582e12bf
RS
6217 }
6218 else
6219 {
6220 /* 33222222222211111111110000000000
6221 10987654321098765432109876543210
42e6288f
MM
6222 100001x01x1xxxxx001xxxxxxxxxxxxx
6223 ldff1sh. */
6224 return 1669;
582e12bf
RS
6225 }
6226 }
6227 }
42e6288f 6228 else
582e12bf 6229 {
42e6288f 6230 if (((word >> 23) & 0x1) == 0)
582e12bf 6231 {
42e6288f
MM
6232 /* 33222222222211111111110000000000
6233 10987654321098765432109876543210
6234 x10001x00x1xxxxx001xxxxxxxxxxxxx
6235 prfh. */
6236 return 1778;
6237 }
6238 else
6239 {
6240 if (((word >> 31) & 0x1) == 0)
6241 {
6242 if (((word >> 10) & 0x1) == 0)
6243 {
6244 if (((word >> 12) & 0x1) == 0)
6245 {
6246 if (((word >> 22) & 0x1) == 0)
6247 {
6248 /* 33222222222211111111110000000000
6249 10987654321098765432109876543210
6250 010001x0101xxxxx0010x0xxxxxxxxxx
6251 sqdmlalb. */
6252 return 2161;
6253 }
6254 else
6255 {
6256 /* 33222222222211111111110000000000
6257 10987654321098765432109876543210
6258 010001x0111xxxxx0010x0xxxxxxxxxx
6259 sqdmlalb. */
6260 return 2162;
6261 }
6262 }
6263 else
6264 {
6265 if (((word >> 22) & 0x1) == 0)
6266 {
6267 /* 33222222222211111111110000000000
6268 10987654321098765432109876543210
6269 010001x0101xxxxx0011x0xxxxxxxxxx
6270 sqdmlslb. */
6271 return 2168;
6272 }
6273 else
6274 {
6275 /* 33222222222211111111110000000000
6276 10987654321098765432109876543210
6277 010001x0111xxxxx0011x0xxxxxxxxxx
6278 sqdmlslb. */
6279 return 2169;
6280 }
6281 }
6282 }
6283 else
6284 {
6285 if (((word >> 12) & 0x1) == 0)
6286 {
6287 if (((word >> 22) & 0x1) == 0)
6288 {
6289 /* 33222222222211111111110000000000
6290 10987654321098765432109876543210
6291 010001x0101xxxxx0010x1xxxxxxxxxx
6292 sqdmlalt. */
6293 return 2165;
6294 }
6295 else
6296 {
6297 /* 33222222222211111111110000000000
6298 10987654321098765432109876543210
6299 010001x0111xxxxx0010x1xxxxxxxxxx
6300 sqdmlalt. */
6301 return 2166;
6302 }
6303 }
6304 else
6305 {
6306 if (((word >> 22) & 0x1) == 0)
6307 {
6308 /* 33222222222211111111110000000000
6309 10987654321098765432109876543210
6310 010001x0101xxxxx0011x1xxxxxxxxxx
6311 sqdmlslt. */
6312 return 2172;
6313 }
6314 else
6315 {
6316 /* 33222222222211111111110000000000
6317 10987654321098765432109876543210
6318 010001x0111xxxxx0011x1xxxxxxxxxx
6319 sqdmlslt. */
6320 return 2173;
6321 }
6322 }
6323 }
6324 }
6325 else
6326 {
6327 /* 33222222222211111111110000000000
6328 10987654321098765432109876543210
6329 110001x01x1xxxxx001xxxxxxxxxxxxx
6330 ldff1sh. */
6331 return 1675;
6332 }
6333 }
6334 }
6335 }
6336 }
6337 else
6338 {
6339 if (((word >> 30) & 0x1) == 0)
6340 {
6341 if (((word >> 31) & 0x1) == 0)
6342 {
6343 if (((word >> 21) & 0x1) == 0)
6344 {
6345 if (((word >> 16) & 0x1) == 0)
6346 {
6347 if (((word >> 17) & 0x1) == 0)
6348 {
6349 if (((word >> 18) & 0x1) == 0)
6350 {
6351 if (((word >> 19) & 0x1) == 0)
6352 {
6353 /* 33222222222211111111110000000000
6354 10987654321098765432109876543210
6355 000001x0xx0x0000101xxxxxxxxxxxxx
6356 sxtb. */
6357 return 1949;
6358 }
6359 else
6360 {
6361 /* 33222222222211111111110000000000
6362 10987654321098765432109876543210
6363 000001x0xx0x1000101xxxxxxxxxxxxx
6364 cls. */
6365 return 1314;
6366 }
6367 }
6368 else
6369 {
6370 if (((word >> 19) & 0x1) == 0)
6371 {
6372 /* 33222222222211111111110000000000
6373 10987654321098765432109876543210
6374 000001x0xx0x0100101xxxxxxxxxxxxx
6375 sxtw. */
6376 return 1951;
6377 }
6378 else
6379 {
6380 /* 33222222222211111111110000000000
6381 10987654321098765432109876543210
6382 000001x0xx0x1100101xxxxxxxxxxxxx
6383 fabs. */
6384 return 1376;
6385 }
6386 }
6387 }
6388 else
6389 {
6390 if (((word >> 18) & 0x1) == 0)
6391 {
6392 if (((word >> 19) & 0x1) == 0)
6393 {
6394 /* 33222222222211111111110000000000
6395 10987654321098765432109876543210
6396 000001x0xx0x0010101xxxxxxxxxxxxx
6397 sxth. */
6398 return 1950;
6399 }
6400 else
6401 {
6402 /* 33222222222211111111110000000000
6403 10987654321098765432109876543210
6404 000001x0xx0x1010101xxxxxxxxxxxxx
6405 cnt. */
6406 return 1343;
6407 }
6408 }
6409 else
6410 {
6411 if (((word >> 19) & 0x1) == 0)
6412 {
6413 /* 33222222222211111111110000000000
6414 10987654321098765432109876543210
6415 000001x0xx0x0110101xxxxxxxxxxxxx
6416 abs. */
6417 return 1272;
6418 }
6419 else
6420 {
6421 /* 33222222222211111111110000000000
6422 10987654321098765432109876543210
6423 000001x0xx0x1110101xxxxxxxxxxxxx
6424 not. */
6425 return 1750;
6426 }
6427 }
6428 }
6429 }
6430 else
6431 {
6432 if (((word >> 17) & 0x1) == 0)
6433 {
6434 if (((word >> 18) & 0x1) == 0)
6435 {
6436 if (((word >> 19) & 0x1) == 0)
6437 {
6438 /* 33222222222211111111110000000000
6439 10987654321098765432109876543210
6440 000001x0xx0x0001101xxxxxxxxxxxxx
6441 uxtb. */
6442 return 2012;
6443 }
6444 else
6445 {
6446 /* 33222222222211111111110000000000
6447 10987654321098765432109876543210
6448 000001x0xx0x1001101xxxxxxxxxxxxx
6449 clz. */
6450 return 1315;
6451 }
6452 }
6453 else
6454 {
6455 if (((word >> 19) & 0x1) == 0)
6456 {
6457 /* 33222222222211111111110000000000
6458 10987654321098765432109876543210
6459 000001x0xx0x0101101xxxxxxxxxxxxx
6460 uxtw. */
6461 return 2014;
6462 }
6463 else
6464 {
6465 /* 33222222222211111111110000000000
6466 10987654321098765432109876543210
6467 000001x0xx0x1101101xxxxxxxxxxxxx
6468 fneg. */
6469 return 1453;
6470 }
6471 }
6472 }
6473 else
6474 {
6475 if (((word >> 18) & 0x1) == 0)
6476 {
6477 if (((word >> 19) & 0x1) == 0)
6478 {
6479 /* 33222222222211111111110000000000
6480 10987654321098765432109876543210
6481 000001x0xx0x0011101xxxxxxxxxxxxx
6482 uxth. */
6483 return 2013;
6484 }
6485 else
6486 {
6487 /* 33222222222211111111110000000000
6488 10987654321098765432109876543210
6489 000001x0xx0x1011101xxxxxxxxxxxxx
6490 cnot. */
6491 return 1342;
6492 }
6493 }
6494 else
6495 {
6496 /* 33222222222211111111110000000000
6497 10987654321098765432109876543210
6498 000001x0xx0xx111101xxxxxxxxxxxxx
6499 neg. */
6500 return 1747;
6501 }
6502 }
6503 }
6504 }
6505 else
6506 {
6507 if (((word >> 12) & 0x1) == 0)
6508 {
6509 if (((word >> 23) & 0x1) == 0)
6510 {
6511 if (((word >> 22) & 0x1) == 0)
6512 {
6513 /* 33222222222211111111110000000000
6514 10987654321098765432109876543210
6515 000001x0001xxxxx1010xxxxxxxxxxxx
6516 adr. */
6517 return 1278;
6518 }
6519 else
6520 {
6521 /* 33222222222211111111110000000000
6522 10987654321098765432109876543210
6523 000001x0011xxxxx1010xxxxxxxxxxxx
6524 adr. */
6525 return 1279;
6526 }
6527 }
6528 else
6529 {
6530 /* 33222222222211111111110000000000
6531 10987654321098765432109876543210
6532 000001x01x1xxxxx1010xxxxxxxxxxxx
6533 adr. */
6534 return 1280;
6535 }
6536 }
6537 else
6538 {
6539 if (((word >> 10) & 0x1) == 0)
6540 {
6541 if (((word >> 11) & 0x1) == 0)
6542 {
6543 /* 33222222222211111111110000000000
6544 10987654321098765432109876543210
6545 000001x0xx1xxxxx101100xxxxxxxxxx
6546 ftssel. */
6547 return 1479;
6548 }
6549 else
6550 {
6551 /* 33222222222211111111110000000000
6552 10987654321098765432109876543210
6553 000001x0xx1xxxxx101110xxxxxxxxxx
6554 fexpa. */
6555 return 1423;
6556 }
6557 }
6558 else
6559 {
6560 /* 33222222222211111111110000000000
6561 10987654321098765432109876543210
6562 000001x0xx1xxxxx1011x1xxxxxxxxxx
6563 movprfx. */
6564 return 1740;
6565 }
6566 }
6567 }
6568 }
6569 else
6570 {
6571 if (((word >> 22) & 0x1) == 0)
6572 {
6573 if (((word >> 21) & 0x1) == 0)
6574 {
6575 if (((word >> 23) & 0x1) == 0)
6576 {
6577 /* 33222222222211111111110000000000
6578 10987654321098765432109876543210
6579 100001x0000xxxxx101xxxxxxxxxxxxx
6580 ldnt1b. */
6581 return 2087;
6582 }
6583 else
6584 {
6585 /* 33222222222211111111110000000000
6586 10987654321098765432109876543210
6587 100001x0100xxxxx101xxxxxxxxxxxxx
6588 ldnt1h. */
6589 return 2090;
6590 }
6591 }
6592 else
6593 {
6594 if (((word >> 23) & 0x1) == 0)
6595 {
6596 /* 33222222222211111111110000000000
6597 10987654321098765432109876543210
6598 100001x0001xxxxx101xxxxxxxxxxxxx
6599 ldff1sb. */
6600 return 1666;
6601 }
6602 else
6603 {
6604 /* 33222222222211111111110000000000
6605 10987654321098765432109876543210
6606 100001x0101xxxxx101xxxxxxxxxxxxx
6607 ldff1sh. */
6608 return 1678;
6609 }
6610 }
6611 }
6612 else
6613 {
6614 if (((word >> 23) & 0x1) == 0)
6615 {
6616 /* 33222222222211111111110000000000
6617 10987654321098765432109876543210
6618 100001x001xxxxxx101xxxxxxxxxxxxx
6619 ld1rb. */
6620 return 1534;
6621 }
6622 else
6623 {
6624 /* 33222222222211111111110000000000
6625 10987654321098765432109876543210
6626 100001x011xxxxxx101xxxxxxxxxxxxx
6627 ld1rh. */
6628 return 1538;
6629 }
6630 }
6631 }
6632 }
6633 else
6634 {
6635 if (((word >> 21) & 0x1) == 0)
6636 {
6637 if (((word >> 31) & 0x1) == 0)
6638 {
6639 if (((word >> 16) & 0x1) == 0)
6640 {
6641 if (((word >> 17) & 0x1) == 0)
6642 {
6643 if (((word >> 18) & 0x1) == 0)
6644 {
6645 if (((word >> 19) & 0x1) == 0)
6646 {
6647 /* 33222222222211111111110000000000
6648 10987654321098765432109876543210
6649 010001x0xx0x0000101xxxxxxxxxxxxx
6650 urecpe. */
6651 return 2296;
6652 }
6653 else
6654 {
6655 /* 33222222222211111111110000000000
6656 10987654321098765432109876543210
6657 010001x0xx0x1000101xxxxxxxxxxxxx
6658 sqabs. */
6659 return 2158;
6660 }
6661 }
6662 else
6663 {
6664 if (((word >> 20) & 0x1) == 0)
6665 {
6666 /* 33222222222211111111110000000000
6667 10987654321098765432109876543210
6668 010001x0xx00x100101xxxxxxxxxxxxx
6669 sadalp. */
6670 return 2122;
6671 }
6672 else
6673 {
6674 /* 33222222222211111111110000000000
6675 10987654321098765432109876543210
6676 010001x0xx01x100101xxxxxxxxxxxxx
6677 smaxp. */
6678 return 2136;
6679 }
6680 }
6681 }
6682 else
6683 {
6684 /* 33222222222211111111110000000000
6685 10987654321098765432109876543210
6686 010001x0xx0xxx10101xxxxxxxxxxxxx
6687 sminp. */
6688 return 2137;
6689 }
6690 }
6691 else
6692 {
6693 if (((word >> 17) & 0x1) == 0)
6694 {
6695 if (((word >> 18) & 0x1) == 0)
6696 {
6697 if (((word >> 19) & 0x1) == 0)
6698 {
6699 if (((word >> 20) & 0x1) == 0)
6700 {
6701 /* 33222222222211111111110000000000
6702 10987654321098765432109876543210
6703 010001x0xx000001101xxxxxxxxxxxxx
6704 ursqrte. */
6705 return 2301;
6706 }
6707 else
6708 {
6709 /* 33222222222211111111110000000000
6710 10987654321098765432109876543210
6711 010001x0xx010001101xxxxxxxxxxxxx
6712 addp. */
6713 return 2049;
6714 }
6715 }
6716 else
6717 {
6718 /* 33222222222211111111110000000000
6719 10987654321098765432109876543210
6720 010001x0xx0x1001101xxxxxxxxxxxxx
6721 sqneg. */
6722 return 2185;
6723 }
6724 }
6725 else
6726 {
6727 if (((word >> 20) & 0x1) == 0)
6728 {
6729 /* 33222222222211111111110000000000
6730 10987654321098765432109876543210
6731 010001x0xx00x101101xxxxxxxxxxxxx
6732 uadalp. */
6733 return 2253;
6734 }
6735 else
6736 {
6737 /* 33222222222211111111110000000000
6738 10987654321098765432109876543210
6739 010001x0xx01x101101xxxxxxxxxxxxx
6740 umaxp. */
6741 return 2261;
6742 }
6743 }
6744 }
6745 else
6746 {
6747 /* 33222222222211111111110000000000
6748 10987654321098765432109876543210
6749 010001x0xx0xxx11101xxxxxxxxxxxxx
6750 uminp. */
6751 return 2262;
6752 }
6753 }
6754 }
6755 else
6756 {
6757 if (((word >> 23) & 0x1) == 0)
6758 {
6759 /* 33222222222211111111110000000000
6760 10987654321098765432109876543210
6761 110001x00x0xxxxx101xxxxxxxxxxxxx
6762 ldff1sb. */
6763 return 1665;
6764 }
6765 else
6766 {
6767 /* 33222222222211111111110000000000
6768 10987654321098765432109876543210
6769 110001x01x0xxxxx101xxxxxxxxxxxxx
6770 ldff1sh. */
6771 return 1676;
6772 }
6773 }
6774 }
6775 else
6776 {
6777 if (((word >> 22) & 0x1) == 0)
6778 {
6779 if (((word >> 23) & 0x1) == 0)
6780 {
6781 /* 33222222222211111111110000000000
6782 10987654321098765432109876543210
6783 x10001x0001xxxxx101xxxxxxxxxxxxx
6784 ldff1sb. */
6785 return 1667;
6786 }
6787 else
6788 {
6789 if (((word >> 31) & 0x1) == 0)
6790 {
6791 if (((word >> 10) & 0x1) == 0)
6792 {
6793 if (((word >> 12) & 0x1) == 0)
6794 {
6795 /* 33222222222211111111110000000000
6796 10987654321098765432109876543210
6797 010001x0101xxxxx1010x0xxxxxxxxxx
6798 smlslb. */
6799 return 2144;
6800 }
6801 else
6802 {
6803 /* 33222222222211111111110000000000
6804 10987654321098765432109876543210
6805 010001x0101xxxxx1011x0xxxxxxxxxx
6806 umlslb. */
6807 return 2269;
6808 }
6809 }
6810 else
6811 {
6812 if (((word >> 12) & 0x1) == 0)
6813 {
6814 /* 33222222222211111111110000000000
6815 10987654321098765432109876543210
6816 010001x0101xxxxx1010x1xxxxxxxxxx
6817 smlslt. */
6818 return 2147;
6819 }
6820 else
6821 {
6822 /* 33222222222211111111110000000000
6823 10987654321098765432109876543210
6824 010001x0101xxxxx1011x1xxxxxxxxxx
6825 umlslt. */
6826 return 2272;
6827 }
6828 }
6829 }
6830 else
6831 {
6832 /* 33222222222211111111110000000000
6833 10987654321098765432109876543210
6834 110001x0101xxxxx101xxxxxxxxxxxxx
6835 ldff1sh. */
6836 return 1679;
6837 }
6838 }
6839 }
6840 else
6841 {
6842 if (((word >> 23) & 0x1) == 0)
6843 {
6844 /* 33222222222211111111110000000000
6845 10987654321098765432109876543210
6846 x10001x0011xxxxx101xxxxxxxxxxxxx
6847 prfh. */
6848 return 1779;
6849 }
6850 else
6851 {
6852 if (((word >> 31) & 0x1) == 0)
6853 {
6854 if (((word >> 10) & 0x1) == 0)
6855 {
6856 if (((word >> 12) & 0x1) == 0)
6857 {
6858 /* 33222222222211111111110000000000
6859 10987654321098765432109876543210
6860 010001x0111xxxxx1010x0xxxxxxxxxx
6861 smlslb. */
6862 return 2145;
6863 }
6864 else
6865 {
6866 /* 33222222222211111111110000000000
6867 10987654321098765432109876543210
6868 010001x0111xxxxx1011x0xxxxxxxxxx
6869 umlslb. */
6870 return 2270;
6871 }
6872 }
6873 else
6874 {
6875 if (((word >> 12) & 0x1) == 0)
6876 {
6877 /* 33222222222211111111110000000000
6878 10987654321098765432109876543210
6879 010001x0111xxxxx1010x1xxxxxxxxxx
6880 smlslt. */
6881 return 2148;
6882 }
6883 else
6884 {
6885 /* 33222222222211111111110000000000
6886 10987654321098765432109876543210
6887 010001x0111xxxxx1011x1xxxxxxxxxx
6888 umlslt. */
6889 return 2273;
6890 }
6891 }
6892 }
6893 else
6894 {
6895 /* 33222222222211111111110000000000
6896 10987654321098765432109876543210
6897 110001x0111xxxxx101xxxxxxxxxxxxx
6898 ldff1sh. */
6899 return 1677;
6900 }
6901 }
6902 }
6903 }
6904 }
6905 }
6906 }
6907 else
6908 {
6909 if (((word >> 15) & 0x1) == 0)
6910 {
6911 if (((word >> 21) & 0x1) == 0)
6912 {
6913 if (((word >> 30) & 0x1) == 0)
6914 {
6915 if (((word >> 31) & 0x1) == 0)
6916 {
6917 /* 33222222222211111111110000000000
6918 10987654321098765432109876543210
6919 000001x0xx0xxxxx011xxxxxxxxxxxxx
6920 mls. */
6921 return 1739;
6922 }
6923 else
6924 {
6925 if (((word >> 23) & 0x1) == 0)
6926 {
6927 /* 33222222222211111111110000000000
6928 10987654321098765432109876543210
6929 100001x00x0xxxxx011xxxxxxxxxxxxx
6930 ldff1b. */
6931 return 1623;
6932 }
6933 else
6934 {
6935 /* 33222222222211111111110000000000
6936 10987654321098765432109876543210
6937 100001x01x0xxxxx011xxxxxxxxxxxxx
6938 ldff1h. */
6939 return 1643;
6940 }
6941 }
6942 }
6943 else
6944 {
6945 if (((word >> 31) & 0x1) == 0)
6946 {
6947 if (((word >> 10) & 0x1) == 0)
6948 {
6949 if (((word >> 11) & 0x1) == 0)
6950 {
6951 if (((word >> 12) & 0x1) == 0)
6952 {
6953 /* 33222222222211111111110000000000
6954 10987654321098765432109876543210
6955 010001x0xx0xxxxx011000xxxxxxxxxx
6956 sqdmlalb. */
6957 return 2163;
6958 }
6959 else
6960 {
6961 /* 33222222222211111111110000000000
6962 10987654321098765432109876543210
6963 010001x0xx0xxxxx011100xxxxxxxxxx
6964 sqrdmlah. */
6965 return 2192;
6966 }
6967 }
6968 else
6969 {
6970 /* 33222222222211111111110000000000
6971 10987654321098765432109876543210
6972 010001x0xx0xxxxx011x10xxxxxxxxxx
6973 sqdmlslb. */
6974 return 2170;
6975 }
6976 }
6977 else
6978 {
6979 if (((word >> 11) & 0x1) == 0)
6980 {
6981 if (((word >> 12) & 0x1) == 0)
6982 {
6983 /* 33222222222211111111110000000000
6984 10987654321098765432109876543210
6985 010001x0xx0xxxxx011001xxxxxxxxxx
6986 sqdmlalt. */
6987 return 2167;
6988 }
6989 else
6990 {
6991 /* 33222222222211111111110000000000
6992 10987654321098765432109876543210
6993 010001x0xx0xxxxx011101xxxxxxxxxx
6994 sqrdmlsh. */
6995 return 2196;
6996 }
6997 }
6998 else
6999 {
7000 /* 33222222222211111111110000000000
7001 10987654321098765432109876543210
7002 010001x0xx0xxxxx011x11xxxxxxxxxx
7003 sqdmlslt. */
7004 return 2174;
7005 }
7006 }
7007 }
7008 else
7009 {
7010 if (((word >> 23) & 0x1) == 0)
7011 {
7012 /* 33222222222211111111110000000000
7013 10987654321098765432109876543210
7014 110001x00x0xxxxx011xxxxxxxxxxxxx
7015 ldff1b. */
7016 return 1632;
7017 }
7018 else
7019 {
7020 /* 33222222222211111111110000000000
7021 10987654321098765432109876543210
7022 110001x01x0xxxxx011xxxxxxxxxxxxx
7023 ldff1h. */
7024 return 1651;
7025 }
7026 }
7027 }
7028 }
7029 else
7030 {
7031 if (((word >> 30) & 0x1) == 0)
7032 {
7033 if (((word >> 31) & 0x1) == 0)
7034 {
7035 if (((word >> 10) & 0x1) == 0)
7036 {
7037 if (((word >> 11) & 0x1) == 0)
7038 {
7039 if (((word >> 12) & 0x1) == 0)
7040 {
7041 /* 33222222222211111111110000000000
7042 10987654321098765432109876543210
7043 000001x0xx1xxxxx011000xxxxxxxxxx
7044 mul. */
7045 return 2107;
7046 }
7047 else
7048 {
7049 /* 33222222222211111111110000000000
7050 10987654321098765432109876543210
7051 000001x0xx1xxxxx011100xxxxxxxxxx
7052 sqdmulh. */
7053 return 2178;
7054 }
7055 }
7056 else
7057 {
7058 /* 33222222222211111111110000000000
7059 10987654321098765432109876543210
7060 000001x0xx1xxxxx011x10xxxxxxxxxx
7061 smulh. */
7062 return 2150;
7063 }
7064 }
7065 else
7066 {
7067 if (((word >> 11) & 0x1) == 0)
7068 {
7069 if (((word >> 12) & 0x1) == 0)
7070 {
7071 /* 33222222222211111111110000000000
7072 10987654321098765432109876543210
7073 000001x0xx1xxxxx011001xxxxxxxxxx
7074 pmul. */
7075 return 2110;
7076 }
7077 else
7078 {
7079 /* 33222222222211111111110000000000
7080 10987654321098765432109876543210
7081 000001x0xx1xxxxx011101xxxxxxxxxx
7082 sqrdmulh. */
7083 return 2200;
7084 }
7085 }
7086 else
7087 {
7088 /* 33222222222211111111110000000000
7089 10987654321098765432109876543210
7090 000001x0xx1xxxxx011x11xxxxxxxxxx
7091 umulh. */
7092 return 2275;
7093 }
7094 }
7095 }
7096 else
7097 {
7098 if (((word >> 23) & 0x1) == 0)
7099 {
7100 /* 33222222222211111111110000000000
7101 10987654321098765432109876543210
7102 100001x00x1xxxxx011xxxxxxxxxxxxx
7103 prfd. */
7104 return 1769;
7105 }
7106 else
7107 {
7108 /* 33222222222211111111110000000000
7109 10987654321098765432109876543210
7110 100001x01x1xxxxx011xxxxxxxxxxxxx
7111 ldff1h. */
7112 return 1644;
7113 }
7114 }
7115 }
7116 else
7117 {
7118 if (((word >> 23) & 0x1) == 0)
7119 {
7120 /* 33222222222211111111110000000000
7121 10987654321098765432109876543210
7122 x10001x00x1xxxxx011xxxxxxxxxxxxx
7123 prfd. */
7124 return 1771;
7125 }
7126 else
7127 {
7128 if (((word >> 31) & 0x1) == 0)
7129 {
7130 if (((word >> 12) & 0x1) == 0)
7131 {
7132 if (((word >> 22) & 0x1) == 0)
7133 {
7134 /* 33222222222211111111110000000000
7135 10987654321098765432109876543210
7136 010001x0101xxxxx0110xxxxxxxxxxxx
7137 cmla. */
7138 return 2059;
7139 }
7140 else
7141 {
7142 /* 33222222222211111111110000000000
7143 10987654321098765432109876543210
7144 010001x0111xxxxx0110xxxxxxxxxxxx
7145 cmla. */
7146 return 2060;
7147 }
7148 }
7149 else
7150 {
7151 if (((word >> 22) & 0x1) == 0)
7152 {
7153 /* 33222222222211111111110000000000
7154 10987654321098765432109876543210
7155 010001x0101xxxxx0111xxxxxxxxxxxx
7156 sqrdcmlah. */
7157 return 2186;
7158 }
7159 else
7160 {
7161 /* 33222222222211111111110000000000
7162 10987654321098765432109876543210
7163 010001x0111xxxxx0111xxxxxxxxxxxx
7164 sqrdcmlah. */
7165 return 2187;
7166 }
7167 }
7168 }
7169 else
7170 {
7171 /* 33222222222211111111110000000000
7172 10987654321098765432109876543210
7173 110001x01x1xxxxx011xxxxxxxxxxxxx
7174 ldff1h. */
7175 return 1652;
7176 }
7177 }
7178 }
7179 }
7180 }
7181 else
7182 {
7183 if (((word >> 30) & 0x1) == 0)
7184 {
7185 if (((word >> 31) & 0x1) == 0)
7186 {
7187 if (((word >> 21) & 0x1) == 0)
7188 {
7189 /* 33222222222211111111110000000000
7190 10987654321098765432109876543210
7191 000001x0xx0xxxxx111xxxxxxxxxxxxx
7192 msb. */
7193 return 1742;
7194 }
7195 else
7196 {
7197 if (((word >> 10) & 0x1) == 0)
7198 {
7199 if (((word >> 11) & 0x1) == 0)
7200 {
7201 if (((word >> 12) & 0x1) == 0)
7202 {
7203 if (((word >> 20) & 0x1) == 0)
7204 {
7205 if (((word >> 22) & 0x1) == 0)
7206 {
7207 if (((word >> 23) & 0x1) == 0)
7208 {
7209 /* 33222222222211111111110000000000
7210 10987654321098765432109876543210
7211 000001x00010xxxx111000xxxxxxxxxx
7212 cntb. */
7213 return 1344;
7214 }
7215 else
7216 {
7217 /* 33222222222211111111110000000000
7218 10987654321098765432109876543210
7219 000001x01010xxxx111000xxxxxxxxxx
7220 cntw. */
7221 return 1348;
7222 }
7223 }
7224 else
7225 {
7226 if (((word >> 23) & 0x1) == 0)
7227 {
7228 /* 33222222222211111111110000000000
7229 10987654321098765432109876543210
7230 000001x00110xxxx111000xxxxxxxxxx
7231 cnth. */
7232 return 1346;
7233 }
7234 else
7235 {
7236 /* 33222222222211111111110000000000
7237 10987654321098765432109876543210
7238 000001x01110xxxx111000xxxxxxxxxx
7239 cntd. */
7240 return 1345;
7241 }
7242 }
7243 }
7244 else
7245 {
7246 if (((word >> 22) & 0x1) == 0)
7247 {
7248 if (((word >> 23) & 0x1) == 0)
7249 {
7250 /* 33222222222211111111110000000000
7251 10987654321098765432109876543210
7252 000001x00011xxxx111000xxxxxxxxxx
7253 incb. */
7254 return 1480;
7255 }
7256 else
7257 {
7258 /* 33222222222211111111110000000000
7259 10987654321098765432109876543210
7260 000001x01011xxxx111000xxxxxxxxxx
7261 incw. */
7262 return 1488;
7263 }
7264 }
7265 else
7266 {
7267 if (((word >> 23) & 0x1) == 0)
7268 {
7269 /* 33222222222211111111110000000000
7270 10987654321098765432109876543210
7271 000001x00111xxxx111000xxxxxxxxxx
7272 inch. */
7273 return 1484;
7274 }
7275 else
7276 {
7277 /* 33222222222211111111110000000000
7278 10987654321098765432109876543210
7279 000001x01111xxxx111000xxxxxxxxxx
7280 incd. */
7281 return 1482;
7282 }
7283 }
7284 }
7285 }
7286 else
7287 {
7288 if (((word >> 20) & 0x1) == 0)
7289 {
7290 if (((word >> 22) & 0x1) == 0)
7291 {
7292 if (((word >> 23) & 0x1) == 0)
7293 {
7294 /* 33222222222211111111110000000000
7295 10987654321098765432109876543210
7296 000001x00010xxxx111100xxxxxxxxxx
7297 sqincb. */
7298 return 1847;
7299 }
7300 else
7301 {
7302 /* 33222222222211111111110000000000
7303 10987654321098765432109876543210
7304 000001x01010xxxx111100xxxxxxxxxx
7305 sqincw. */
7306 return 1859;
7307 }
7308 }
7309 else
7310 {
7311 if (((word >> 23) & 0x1) == 0)
7312 {
7313 /* 33222222222211111111110000000000
7314 10987654321098765432109876543210
7315 000001x00110xxxx111100xxxxxxxxxx
7316 sqinch. */
7317 return 1853;
7318 }
7319 else
7320 {
7321 /* 33222222222211111111110000000000
7322 10987654321098765432109876543210
7323 000001x01110xxxx111100xxxxxxxxxx
7324 sqincd. */
7325 return 1850;
7326 }
7327 }
7328 }
7329 else
7330 {
7331 if (((word >> 22) & 0x1) == 0)
7332 {
7333 if (((word >> 23) & 0x1) == 0)
7334 {
7335 /* 33222222222211111111110000000000
7336 10987654321098765432109876543210
7337 000001x00011xxxx111100xxxxxxxxxx
7338 sqincb. */
7339 return 1846;
7340 }
7341 else
7342 {
7343 /* 33222222222211111111110000000000
7344 10987654321098765432109876543210
7345 000001x01011xxxx111100xxxxxxxxxx
7346 sqincw. */
7347 return 1858;
7348 }
7349 }
7350 else
7351 {
7352 if (((word >> 23) & 0x1) == 0)
7353 {
7354 /* 33222222222211111111110000000000
7355 10987654321098765432109876543210
7356 000001x00111xxxx111100xxxxxxxxxx
7357 sqinch. */
7358 return 1852;
7359 }
7360 else
7361 {
7362 /* 33222222222211111111110000000000
7363 10987654321098765432109876543210
7364 000001x01111xxxx111100xxxxxxxxxx
7365 sqincd. */
7366 return 1849;
7367 }
7368 }
7369 }
7370 }
7371 }
7372 else
7373 {
7374 if (((word >> 20) & 0x1) == 0)
7375 {
7376 if (((word >> 22) & 0x1) == 0)
7377 {
7378 if (((word >> 23) & 0x1) == 0)
7379 {
7380 /* 33222222222211111111110000000000
7381 10987654321098765432109876543210
7382 000001x00010xxxx111x10xxxxxxxxxx
7383 sqdecb. */
7384 return 1833;
7385 }
7386 else
7387 {
7388 /* 33222222222211111111110000000000
7389 10987654321098765432109876543210
7390 000001x01010xxxx111x10xxxxxxxxxx
7391 sqdecw. */
7392 return 1845;
7393 }
7394 }
7395 else
7396 {
7397 if (((word >> 23) & 0x1) == 0)
7398 {
7399 /* 33222222222211111111110000000000
7400 10987654321098765432109876543210
7401 000001x00110xxxx111x10xxxxxxxxxx
7402 sqdech. */
7403 return 1839;
7404 }
7405 else
7406 {
7407 /* 33222222222211111111110000000000
7408 10987654321098765432109876543210
7409 000001x01110xxxx111x10xxxxxxxxxx
7410 sqdecd. */
7411 return 1836;
7412 }
7413 }
7414 }
7415 else
7416 {
7417 if (((word >> 22) & 0x1) == 0)
7418 {
7419 if (((word >> 23) & 0x1) == 0)
7420 {
7421 /* 33222222222211111111110000000000
7422 10987654321098765432109876543210
7423 000001x00011xxxx111x10xxxxxxxxxx
7424 sqdecb. */
7425 return 1832;
7426 }
7427 else
7428 {
7429 /* 33222222222211111111110000000000
7430 10987654321098765432109876543210
7431 000001x01011xxxx111x10xxxxxxxxxx
7432 sqdecw. */
7433 return 1844;
7434 }
7435 }
7436 else
7437 {
7438 if (((word >> 23) & 0x1) == 0)
7439 {
7440 /* 33222222222211111111110000000000
7441 10987654321098765432109876543210
7442 000001x00111xxxx111x10xxxxxxxxxx
7443 sqdech. */
7444 return 1838;
7445 }
7446 else
7447 {
7448 /* 33222222222211111111110000000000
7449 10987654321098765432109876543210
7450 000001x01111xxxx111x10xxxxxxxxxx
7451 sqdecd. */
7452 return 1835;
7453 }
7454 }
7455 }
7456 }
7457 }
7458 else
7459 {
7460 if (((word >> 11) & 0x1) == 0)
7461 {
7462 if (((word >> 12) & 0x1) == 0)
7463 {
7464 if (((word >> 22) & 0x1) == 0)
7465 {
7466 if (((word >> 23) & 0x1) == 0)
7467 {
7468 /* 33222222222211111111110000000000
7469 10987654321098765432109876543210
7470 000001x0001xxxxx111001xxxxxxxxxx
7471 decb. */
7472 return 1355;
7473 }
7474 else
7475 {
7476 /* 33222222222211111111110000000000
7477 10987654321098765432109876543210
7478 000001x0101xxxxx111001xxxxxxxxxx
7479 decw. */
7480 return 1363;
7481 }
7482 }
7483 else
7484 {
7485 if (((word >> 23) & 0x1) == 0)
7486 {
7487 /* 33222222222211111111110000000000
7488 10987654321098765432109876543210
7489 000001x0011xxxxx111001xxxxxxxxxx
7490 dech. */
7491 return 1359;
7492 }
7493 else
7494 {
7495 /* 33222222222211111111110000000000
7496 10987654321098765432109876543210
7497 000001x0111xxxxx111001xxxxxxxxxx
7498 decd. */
7499 return 1357;
7500 }
7501 }
7502 }
7503 else
7504 {
7505 if (((word >> 20) & 0x1) == 0)
7506 {
7507 if (((word >> 22) & 0x1) == 0)
7508 {
7509 if (((word >> 23) & 0x1) == 0)
7510 {
7511 /* 33222222222211111111110000000000
7512 10987654321098765432109876543210
7513 000001x00010xxxx111101xxxxxxxxxx
7514 uqincb. */
7515 return 1994;
7516 }
7517 else
7518 {
7519 /* 33222222222211111111110000000000
7520 10987654321098765432109876543210
7521 000001x01010xxxx111101xxxxxxxxxx
7522 uqincw. */
7523 return 2006;
7524 }
7525 }
7526 else
7527 {
7528 if (((word >> 23) & 0x1) == 0)
7529 {
7530 /* 33222222222211111111110000000000
7531 10987654321098765432109876543210
7532 000001x00110xxxx111101xxxxxxxxxx
7533 uqinch. */
7534 return 2000;
7535 }
7536 else
7537 {
7538 /* 33222222222211111111110000000000
7539 10987654321098765432109876543210
7540 000001x01110xxxx111101xxxxxxxxxx
7541 uqincd. */
7542 return 1997;
7543 }
7544 }
7545 }
7546 else
7547 {
7548 if (((word >> 22) & 0x1) == 0)
7549 {
7550 if (((word >> 23) & 0x1) == 0)
7551 {
7552 /* 33222222222211111111110000000000
7553 10987654321098765432109876543210
7554 000001x00011xxxx111101xxxxxxxxxx
7555 uqincb. */
7556 return 1995;
7557 }
7558 else
7559 {
7560 /* 33222222222211111111110000000000
7561 10987654321098765432109876543210
7562 000001x01011xxxx111101xxxxxxxxxx
7563 uqincw. */
7564 return 2007;
7565 }
7566 }
7567 else
7568 {
7569 if (((word >> 23) & 0x1) == 0)
7570 {
7571 /* 33222222222211111111110000000000
7572 10987654321098765432109876543210
7573 000001x00111xxxx111101xxxxxxxxxx
7574 uqinch. */
7575 return 2001;
7576 }
7577 else
7578 {
7579 /* 33222222222211111111110000000000
7580 10987654321098765432109876543210
7581 000001x01111xxxx111101xxxxxxxxxx
7582 uqincd. */
7583 return 1998;
7584 }
7585 }
7586 }
7587 }
7588 }
7589 else
7590 {
7591 if (((word >> 20) & 0x1) == 0)
7592 {
7593 if (((word >> 22) & 0x1) == 0)
7594 {
7595 if (((word >> 23) & 0x1) == 0)
7596 {
7597 /* 33222222222211111111110000000000
7598 10987654321098765432109876543210
7599 000001x00010xxxx111x11xxxxxxxxxx
7600 uqdecb. */
7601 return 1980;
7602 }
7603 else
7604 {
7605 /* 33222222222211111111110000000000
7606 10987654321098765432109876543210
7607 000001x01010xxxx111x11xxxxxxxxxx
7608 uqdecw. */
7609 return 1992;
7610 }
7611 }
7612 else
7613 {
7614 if (((word >> 23) & 0x1) == 0)
7615 {
7616 /* 33222222222211111111110000000000
7617 10987654321098765432109876543210
7618 000001x00110xxxx111x11xxxxxxxxxx
7619 uqdech. */
7620 return 1986;
7621 }
7622 else
7623 {
7624 /* 33222222222211111111110000000000
7625 10987654321098765432109876543210
7626 000001x01110xxxx111x11xxxxxxxxxx
7627 uqdecd. */
7628 return 1983;
7629 }
7630 }
7631 }
7632 else
7633 {
7634 if (((word >> 22) & 0x1) == 0)
7635 {
7636 if (((word >> 23) & 0x1) == 0)
7637 {
7638 /* 33222222222211111111110000000000
7639 10987654321098765432109876543210
7640 000001x00011xxxx111x11xxxxxxxxxx
7641 uqdecb. */
7642 return 1981;
7643 }
7644 else
7645 {
7646 /* 33222222222211111111110000000000
7647 10987654321098765432109876543210
7648 000001x01011xxxx111x11xxxxxxxxxx
7649 uqdecw. */
7650 return 1993;
7651 }
7652 }
7653 else
7654 {
7655 if (((word >> 23) & 0x1) == 0)
7656 {
7657 /* 33222222222211111111110000000000
7658 10987654321098765432109876543210
7659 000001x00111xxxx111x11xxxxxxxxxx
7660 uqdech. */
7661 return 1987;
7662 }
7663 else
7664 {
7665 /* 33222222222211111111110000000000
7666 10987654321098765432109876543210
7667 000001x01111xxxx111x11xxxxxxxxxx
7668 uqdecd. */
7669 return 1984;
7670 }
7671 }
7672 }
7673 }
7674 }
7675 }
7676 }
7677 else
7678 {
7679 if (((word >> 22) & 0x1) == 0)
7680 {
7681 if (((word >> 21) & 0x1) == 0)
7682 {
7683 if (((word >> 23) & 0x1) == 0)
7684 {
7685 /* 33222222222211111111110000000000
7686 10987654321098765432109876543210
7687 100001x0000xxxxx111xxxxxxxxxxxxx
7688 prfb. */
7689 return 1766;
7690 }
7691 else
7692 {
7693 /* 33222222222211111111110000000000
7694 10987654321098765432109876543210
7695 100001x0100xxxxx111xxxxxxxxxxxxx
7696 prfh. */
7697 return 1780;
7698 }
7699 }
7700 else
7701 {
7702 if (((word >> 23) & 0x1) == 0)
7703 {
7704 /* 33222222222211111111110000000000
7705 10987654321098765432109876543210
7706 100001x0001xxxxx111xxxxxxxxxxxxx
7707 ldff1b. */
7708 return 1634;
7709 }
7710 else
7711 {
7712 /* 33222222222211111111110000000000
7713 10987654321098765432109876543210
7714 100001x0101xxxxx111xxxxxxxxxxxxx
7715 ldff1h. */
7716 return 1655;
7717 }
7718 }
7719 }
7720 else
7721 {
7722 if (((word >> 23) & 0x1) == 0)
7723 {
7724 /* 33222222222211111111110000000000
7725 10987654321098765432109876543210
7726 100001x001xxxxxx111xxxxxxxxxxxxx
7727 ld1rb. */
7728 return 1536;
7729 }
7730 else
7731 {
7732 /* 33222222222211111111110000000000
7733 10987654321098765432109876543210
7734 100001x011xxxxxx111xxxxxxxxxxxxx
7735 ld1rh. */
7736 return 1540;
7737 }
7738 }
7739 }
7740 }
7741 else
7742 {
7743 if (((word >> 21) & 0x1) == 0)
7744 {
7745 if (((word >> 22) & 0x1) == 0)
7746 {
7747 if (((word >> 23) & 0x1) == 0)
7748 {
7749 /* 33222222222211111111110000000000
7750 10987654321098765432109876543210
7751 x10001x0000xxxxx111xxxxxxxxxxxxx
7752 prfb. */
7753 return 1768;
7754 }
7755 else
7756 {
7757 /* 33222222222211111111110000000000
7758 10987654321098765432109876543210
7759 x10001x0100xxxxx111xxxxxxxxxxxxx
7760 prfh. */
7761 return 1782;
7762 }
7763 }
7764 else
7765 {
7766 if (((word >> 23) & 0x1) == 0)
7767 {
7768 /* 33222222222211111111110000000000
7769 10987654321098765432109876543210
7770 x10001x0010xxxxx111xxxxxxxxxxxxx
7771 ldff1b. */
7772 return 1633;
7773 }
7774 else
7775 {
7776 /* 33222222222211111111110000000000
7777 10987654321098765432109876543210
7778 x10001x0110xxxxx111xxxxxxxxxxxxx
7779 ldff1h. */
7780 return 1653;
7781 }
7782 }
7783 }
7784 else
7785 {
7786 if (((word >> 23) & 0x1) == 0)
7787 {
7788 if (((word >> 31) & 0x1) == 0)
7789 {
7790 if (((word >> 10) & 0x1) == 0)
7791 {
7792 if (((word >> 11) & 0x1) == 0)
7793 {
7794 /* 33222222222211111111110000000000
7795 10987654321098765432109876543210
7796 010001x00x1xxxxx111x00xxxxxxxxxx
7797 sqdmulh. */
7798 return 2175;
7799 }
7800 else
7801 {
7802 /* 33222222222211111111110000000000
7803 10987654321098765432109876543210
7804 010001x00x1xxxxx111x10xxxxxxxxxx
7805 mul. */
7806 return 2104;
7807 }
7808 }
7809 else
7810 {
7811 /* 33222222222211111111110000000000
7812 10987654321098765432109876543210
7813 010001x00x1xxxxx111xx1xxxxxxxxxx
7814 sqrdmulh. */
7815 return 2197;
7816 }
7817 }
7818 else
7819 {
7820 if (((word >> 22) & 0x1) == 0)
7821 {
7822 /* 33222222222211111111110000000000
7823 10987654321098765432109876543210
7824 110001x0001xxxxx111xxxxxxxxxxxxx
7825 ldff1b. */
7826 return 1635;
7827 }
7828 else
7829 {
7830 /* 33222222222211111111110000000000
7831 10987654321098765432109876543210
7832 110001x0011xxxxx111xxxxxxxxxxxxx
7833 prfd. */
7834 return 1772;
7835 }
7836 }
7837 }
7838 else
7839 {
7840 if (((word >> 22) & 0x1) == 0)
7841 {
7842 if (((word >> 31) & 0x1) == 0)
7843 {
7844 if (((word >> 10) & 0x1) == 0)
7845 {
7846 if (((word >> 12) & 0x1) == 0)
7847 {
7848 /* 33222222222211111111110000000000
7849 10987654321098765432109876543210
7850 010001x0101xxxxx1110x0xxxxxxxxxx
7851 sqdmullb. */
7852 return 2179;
7853 }
7854 else
7855 {
7856 if (((word >> 11) & 0x1) == 0)
7857 {
7858 /* 33222222222211111111110000000000
7859 10987654321098765432109876543210
7860 010001x0101xxxxx111100xxxxxxxxxx
7861 sqdmulh. */
7862 return 2176;
7863 }
7864 else
7865 {
7866 /* 33222222222211111111110000000000
7867 10987654321098765432109876543210
7868 010001x0101xxxxx111110xxxxxxxxxx
7869 mul. */
7870 return 2105;
7871 }
7872 }
7873 }
7874 else
7875 {
7876 if (((word >> 12) & 0x1) == 0)
7877 {
7878 /* 33222222222211111111110000000000
7879 10987654321098765432109876543210
7880 010001x0101xxxxx1110x1xxxxxxxxxx
7881 sqdmullt. */
7882 return 2182;
7883 }
7884 else
7885 {
7886 /* 33222222222211111111110000000000
7887 10987654321098765432109876543210
7888 010001x0101xxxxx1111x1xxxxxxxxxx
7889 sqrdmulh. */
7890 return 2198;
7891 }
7892 }
7893 }
7894 else
7895 {
7896 /* 33222222222211111111110000000000
7897 10987654321098765432109876543210
7898 110001x0101xxxxx111xxxxxxxxxxxxx
7899 ldff1h. */
7900 return 1656;
7901 }
7902 }
7903 else
7904 {
7905 if (((word >> 31) & 0x1) == 0)
7906 {
7907 if (((word >> 10) & 0x1) == 0)
7908 {
7909 if (((word >> 12) & 0x1) == 0)
7910 {
7911 /* 33222222222211111111110000000000
7912 10987654321098765432109876543210
7913 010001x0111xxxxx1110x0xxxxxxxxxx
7914 sqdmullb. */
7915 return 2180;
7916 }
7917 else
7918 {
7919 if (((word >> 11) & 0x1) == 0)
7920 {
7921 /* 33222222222211111111110000000000
7922 10987654321098765432109876543210
7923 010001x0111xxxxx111100xxxxxxxxxx
7924 sqdmulh. */
7925 return 2177;
7926 }
7927 else
7928 {
7929 /* 33222222222211111111110000000000
7930 10987654321098765432109876543210
7931 010001x0111xxxxx111110xxxxxxxxxx
7932 mul. */
7933 return 2106;
7934 }
7935 }
7936 }
7937 else
7938 {
7939 if (((word >> 12) & 0x1) == 0)
7940 {
7941 /* 33222222222211111111110000000000
7942 10987654321098765432109876543210
7943 010001x0111xxxxx1110x1xxxxxxxxxx
7944 sqdmullt. */
7945 return 2183;
7946 }
7947 else
7948 {
7949 /* 33222222222211111111110000000000
7950 10987654321098765432109876543210
7951 010001x0111xxxxx1111x1xxxxxxxxxx
7952 sqrdmulh. */
7953 return 2199;
7954 }
7955 }
7956 }
7957 else
7958 {
7959 /* 33222222222211111111110000000000
7960 10987654321098765432109876543210
7961 110001x0111xxxxx111xxxxxxxxxxxxx
7962 ldff1h. */
7963 return 1654;
7964 }
7965 }
7966 }
7967 }
7968 }
7969 }
7970 }
7971 }
7972 }
7973 else
7974 {
7975 if (((word >> 21) & 0x1) == 0)
7976 {
7977 if (((word >> 15) & 0x1) == 0)
7978 {
7979 if (((word >> 30) & 0x1) == 0)
7980 {
7981 if (((word >> 13) & 0x1) == 0)
7982 {
7983 if (((word >> 14) & 0x1) == 0)
7984 {
7985 if (((word >> 31) & 0x1) == 0)
7986 {
7987 if (((word >> 4) & 0x1) == 0)
7988 {
7989 /* 33222222222211111111110000000000
7990 10987654321098765432109876543210
7991 001001x0xx0xxxxx000xxxxxxxx0xxxx
7992 cmphs. */
7993 return 1328;
7994 }
7995 else
7996 {
7997 /* 33222222222211111111110000000000
7998 10987654321098765432109876543210
7999 001001x0xx0xxxxx000xxxxxxxx1xxxx
8000 cmphi. */
8001 return 1325;
8002 }
8003 }
8004 else
8005 {
8006 if (((word >> 23) & 0x1) == 0)
8007 {
8008 /* 33222222222211111111110000000000
8009 10987654321098765432109876543210
8010 101001x00x0xxxxx000xxxxxxxxxxxxx
8011 ld1rqb. */
8012 return 1542;
8013 }
8014 else
8015 {
8016 /* 33222222222211111111110000000000
8017 10987654321098765432109876543210
8018 101001x01x0xxxxx000xxxxxxxxxxxxx
8019 ld1rqh. */
8020 return 1546;
8021 }
8022 }
8023 }
8024 else
8025 {
8026 if (((word >> 31) & 0x1) == 0)
8027 {
8028 if (((word >> 4) & 0x1) == 0)
8029 {
8030 /* 33222222222211111111110000000000
8031 10987654321098765432109876543210
8032 001001x0xx0xxxxx010xxxxxxxx0xxxx
8033 cmpge. */
8034 return 1319;
8035 }
8036 else
8037 {
8038 /* 33222222222211111111110000000000
8039 10987654321098765432109876543210
8040 001001x0xx0xxxxx010xxxxxxxx1xxxx
8041 cmpgt. */
8042 return 1322;
8043 }
8044 }
8045 else
8046 {
8047 if (((word >> 22) & 0x1) == 0)
8048 {
8049 if (((word >> 23) & 0x1) == 0)
8050 {
8051 /* 33222222222211111111110000000000
8052 10987654321098765432109876543210
8053 101001x0000xxxxx010xxxxxxxxxxxxx
8054 ld1b. */
8055 return 1500;
8056 }
8057 else
8058 {
8059 /* 33222222222211111111110000000000
8060 10987654321098765432109876543210
8061 101001x0100xxxxx010xxxxxxxxxxxxx
8062 ld1sw. */
8063 return 1580;
8064 }
8065 }
8066 else
8067 {
8068 if (((word >> 23) & 0x1) == 0)
8069 {
8070 /* 33222222222211111111110000000000
8071 10987654321098765432109876543210
8072 101001x0010xxxxx010xxxxxxxxxxxxx
8073 ld1b. */
8074 return 1502;
8075 }
8076 else
8077 {
8078 /* 33222222222211111111110000000000
8079 10987654321098765432109876543210
8080 101001x0110xxxxx010xxxxxxxxxxxxx
8081 ld1h. */
8082 return 1522;
8083 }
8084 }
8085 }
8086 }
8087 }
8088 else
8089 {
8090 if (((word >> 14) & 0x1) == 0)
8091 {
8092 if (((word >> 31) & 0x1) == 0)
8093 {
8094 if (((word >> 4) & 0x1) == 0)
8095 {
8096 /* 33222222222211111111110000000000
8097 10987654321098765432109876543210
8098 001001x0xx0xxxxx001xxxxxxxx0xxxx
8099 cmpeq. */
8100 return 1316;
8101 }
8102 else
8103 {
8104 /* 33222222222211111111110000000000
8105 10987654321098765432109876543210
8106 001001x0xx0xxxxx001xxxxxxxx1xxxx
8107 cmpne. */
8108 return 1339;
8109 }
8110 }
8111 else
8112 {
8113 if (((word >> 23) & 0x1) == 0)
8114 {
8115 /* 33222222222211111111110000000000
8116 10987654321098765432109876543210
8117 101001x00x0xxxxx001xxxxxxxxxxxxx
8118 ld1rqb. */
8119 return 1541;
8120 }
8121 else
8122 {
8123 /* 33222222222211111111110000000000
8124 10987654321098765432109876543210
8125 101001x01x0xxxxx001xxxxxxxxxxxxx
8126 ld1rqh. */
8127 return 1545;
8128 }
8129 }
8130 }
8131 else
8132 {
8133 if (((word >> 31) & 0x1) == 0)
8134 {
8135 if (((word >> 4) & 0x1) == 0)
8136 {
8137 /* 33222222222211111111110000000000
8138 10987654321098765432109876543210
8139 001001x0xx0xxxxx011xxxxxxxx0xxxx
8140 cmplt. */
8141 return 1337;
8142 }
8143 else
8144 {
8145 /* 33222222222211111111110000000000
8146 10987654321098765432109876543210
8147 001001x0xx0xxxxx011xxxxxxxx1xxxx
8148 cmple. */
8149 return 1331;
8150 }
8151 }
8152 else
8153 {
8154 if (((word >> 22) & 0x1) == 0)
8155 {
8156 if (((word >> 23) & 0x1) == 0)
8157 {
8158 /* 33222222222211111111110000000000
8159 10987654321098765432109876543210
8160 101001x0000xxxxx011xxxxxxxxxxxxx
8161 ldff1b. */
8162 return 1624;
8163 }
8164 else
8165 {
8166 /* 33222222222211111111110000000000
8167 10987654321098765432109876543210
8168 101001x0100xxxxx011xxxxxxxxxxxxx
8169 ldff1sw. */
8170 return 1680;
8171 }
8172 }
8173 else
8174 {
8175 if (((word >> 23) & 0x1) == 0)
8176 {
8177 /* 33222222222211111111110000000000
8178 10987654321098765432109876543210
8179 101001x0010xxxxx011xxxxxxxxxxxxx
8180 ldff1b. */
8181 return 1628;
8182 }
8183 else
8184 {
8185 /* 33222222222211111111110000000000
8186 10987654321098765432109876543210
8187 101001x0110xxxxx011xxxxxxxxxxxxx
8188 ldff1h. */
8189 return 1647;
8190 }
8191 }
8192 }
8193 }
8194 }
8195 }
8196 else
8197 {
8198 if (((word >> 31) & 0x1) == 0)
8199 {
8200 /* 33222222222211111111110000000000
8201 10987654321098765432109876543210
8202 011001x0xx0xxxxx0xxxxxxxxxxxxxxx
8203 fcmla. */
8204 return 1385;
8205 }
8206 else
8207 {
8208 if (((word >> 13) & 0x1) == 0)
8209 {
8210 if (((word >> 22) & 0x1) == 0)
8211 {
8212 /* 33222222222211111111110000000000
8213 10987654321098765432109876543210
8214 111001x0x00xxxxx0x0xxxxxxxxxxxxx
8215 st1b. */
8216 return 1862;
582e12bf
RS
8217 }
8218 else
8219 {
8220 if (((word >> 23) & 0x1) == 0)
8221 {
8222 /* 33222222222211111111110000000000
8223 10987654321098765432109876543210
42e6288f
MM
8224 111001x0010xxxxx0x0xxxxxxxxxxxxx
8225 st1b. */
8226 return 1866;
8227 }
8228 else
8229 {
8230 /* 33222222222211111111110000000000
8231 10987654321098765432109876543210
8232 111001x0110xxxxx0x0xxxxxxxxxxxxx
8233 st1h. */
8234 return 1887;
8235 }
8236 }
8237 }
8238 else
8239 {
8240 if (((word >> 14) & 0x1) == 0)
8241 {
8242 if (((word >> 22) & 0x1) == 0)
8243 {
8244 if (((word >> 23) & 0x1) == 0)
8245 {
8246 /* 33222222222211111111110000000000
8247 10987654321098765432109876543210
8248 111001x0000xxxxx001xxxxxxxxxxxxx
8249 stnt1b. */
8250 return 2237;
8251 }
8252 else
8253 {
8254 /* 33222222222211111111110000000000
8255 10987654321098765432109876543210
8256 111001x0100xxxxx001xxxxxxxxxxxxx
8257 stnt1h. */
8258 return 2240;
8259 }
8260 }
8261 else
8262 {
8263 if (((word >> 23) & 0x1) == 0)
8264 {
8265 /* 33222222222211111111110000000000
8266 10987654321098765432109876543210
8267 111001x0010xxxxx001xxxxxxxxxxxxx
8268 stnt1b. */
8269 return 2236;
8270 }
8271 else
8272 {
8273 /* 33222222222211111111110000000000
8274 10987654321098765432109876543210
8275 111001x0110xxxxx001xxxxxxxxxxxxx
8276 stnt1h. */
8277 return 2239;
8278 }
8279 }
8280 }
8281 else
8282 {
8283 if (((word >> 22) & 0x1) == 0)
8284 {
8285 if (((word >> 23) & 0x1) == 0)
8286 {
8287 /* 33222222222211111111110000000000
8288 10987654321098765432109876543210
8289 111001x0000xxxxx011xxxxxxxxxxxxx
8290 stnt1b. */
8291 return 1932;
8292 }
8293 else
8294 {
8295 /* 33222222222211111111110000000000
8296 10987654321098765432109876543210
8297 111001x0100xxxxx011xxxxxxxxxxxxx
8298 stnt1h. */
8299 return 1936;
8300 }
8301 }
8302 else
8303 {
8304 if (((word >> 23) & 0x1) == 0)
8305 {
8306 /* 33222222222211111111110000000000
8307 10987654321098765432109876543210
8308 111001x0010xxxxx011xxxxxxxxxxxxx
8309 st3b. */
8310 return 1916;
8311 }
8312 else
8313 {
8314 /* 33222222222211111111110000000000
8315 10987654321098765432109876543210
8316 111001x0110xxxxx011xxxxxxxxxxxxx
8317 st3h. */
8318 return 1920;
8319 }
8320 }
8321 }
8322 }
8323 }
8324 }
8325 }
8326 else
8327 {
8328 if (((word >> 13) & 0x1) == 0)
8329 {
8330 if (((word >> 30) & 0x1) == 0)
8331 {
8332 if (((word >> 14) & 0x1) == 0)
8333 {
8334 if (((word >> 4) & 0x1) == 0)
8335 {
8336 /* 33222222222211111111110000000000
8337 10987654321098765432109876543210
8338 x01001x0xx0xxxxx100xxxxxxxx0xxxx
8339 cmpge. */
8340 return 1320;
8341 }
8342 else
8343 {
8344 /* 33222222222211111111110000000000
8345 10987654321098765432109876543210
8346 x01001x0xx0xxxxx100xxxxxxxx1xxxx
8347 cmpgt. */
8348 return 1323;
8349 }
8350 }
8351 else
8352 {
8353 if (((word >> 31) & 0x1) == 0)
8354 {
8355 if (((word >> 4) & 0x1) == 0)
8356 {
8357 /* 33222222222211111111110000000000
8358 10987654321098765432109876543210
8359 001001x0xx0xxxxx110xxxxxxxx0xxxx
8360 cmphs. */
8361 return 1329;
582e12bf
RS
8362 }
8363 else
8364 {
8365 /* 33222222222211111111110000000000
8366 10987654321098765432109876543210
42e6288f
MM
8367 001001x0xx0xxxxx110xxxxxxxx1xxxx
8368 cmphi. */
8369 return 1326;
8370 }
8371 }
8372 else
8373 {
8374 if (((word >> 22) & 0x1) == 0)
8375 {
8376 if (((word >> 23) & 0x1) == 0)
8377 {
8378 /* 33222222222211111111110000000000
8379 10987654321098765432109876543210
8380 101001x0000xxxxx110xxxxxxxxxxxxx
8381 ldnt1b. */
8382 return 1715;
8383 }
8384 else
8385 {
8386 /* 33222222222211111111110000000000
8387 10987654321098765432109876543210
8388 101001x0100xxxxx110xxxxxxxxxxxxx
8389 ldnt1h. */
8390 return 1719;
8391 }
8392 }
8393 else
8394 {
8395 if (((word >> 23) & 0x1) == 0)
8396 {
8397 /* 33222222222211111111110000000000
8398 10987654321098765432109876543210
8399 101001x0010xxxxx110xxxxxxxxxxxxx
8400 ld3b. */
8401 return 1607;
8402 }
8403 else
8404 {
8405 /* 33222222222211111111110000000000
8406 10987654321098765432109876543210
8407 101001x0110xxxxx110xxxxxxxxxxxxx
8408 ld3h. */
8409 return 1611;
8410 }
8411 }
8412 }
8413 }
8414 }
8415 else
8416 {
8417 if (((word >> 31) & 0x1) == 0)
8418 {
8419 if (((word >> 17) & 0x1) == 0)
8420 {
8421 if (((word >> 18) & 0x1) == 0)
8422 {
8423 if (((word >> 20) & 0x1) == 0)
8424 {
8425 /* 33222222222211111111110000000000
8426 10987654321098765432109876543210
8427 011001x0xx00x00x1x0xxxxxxxxxxxxx
8428 fcadd. */
8429 return 1384;
8430 }
8431 else
8432 {
8433 /* 33222222222211111111110000000000
8434 10987654321098765432109876543210
8435 011001x0xx01x00x1x0xxxxxxxxxxxxx
8436 faddp. */
8437 return 2065;
8438 }
8439 }
8440 else
8441 {
8442 if (((word >> 16) & 0x1) == 0)
8443 {
8444 /* 33222222222211111111110000000000
8445 10987654321098765432109876543210
8446 011001x0xx0xx1001x0xxxxxxxxxxxxx
8447 fmaxnmp. */
8448 return 2073;
8449 }
8450 else
8451 {
8452 /* 33222222222211111111110000000000
8453 10987654321098765432109876543210
8454 011001x0xx0xx1011x0xxxxxxxxxxxxx
8455 fminnmp. */
8456 return 2075;
8457 }
8458 }
8459 }
8460 else
8461 {
8462 if (((word >> 16) & 0x1) == 0)
8463 {
8464 /* 33222222222211111111110000000000
8465 10987654321098765432109876543210
8466 011001x0xx0xxx101x0xxxxxxxxxxxxx
8467 fmaxp. */
8468 return 2074;
8469 }
8470 else
8471 {
8472 /* 33222222222211111111110000000000
8473 10987654321098765432109876543210
8474 011001x0xx0xxx111x0xxxxxxxxxxxxx
8475 fminp. */
8476 return 2076;
582e12bf
RS
8477 }
8478 }
8479 }
8480 else
8481 {
8482 if (((word >> 22) & 0x1) == 0)
8483 {
8484 if (((word >> 23) & 0x1) == 0)
8485 {
8486 /* 33222222222211111111110000000000
8487 10987654321098765432109876543210
42e6288f
MM
8488 111001x0000xxxxx1x0xxxxxxxxxxxxx
8489 st1b. */
8490 return 1863;
8491 }
8492 else
8493 {
8494 /* 33222222222211111111110000000000
8495 10987654321098765432109876543210
8496 111001x0100xxxxx1x0xxxxxxxxxxxxx
8497 st1h. */
8498 return 1882;
8499 }
8500 }
8501 else
8502 {
8503 if (((word >> 23) & 0x1) == 0)
8504 {
8505 /* 33222222222211111111110000000000
8506 10987654321098765432109876543210
8507 111001x0010xxxxx1x0xxxxxxxxxxxxx
8508 st1b. */
8509 return 1867;
8510 }
8511 else
8512 {
8513 /* 33222222222211111111110000000000
8514 10987654321098765432109876543210
8515 111001x0110xxxxx1x0xxxxxxxxxxxxx
8516 st1h. */
8517 return 1888;
8518 }
8519 }
8520 }
8521 }
8522 }
8523 else
8524 {
8525 if (((word >> 14) & 0x1) == 0)
8526 {
8527 if (((word >> 30) & 0x1) == 0)
8528 {
8529 if (((word >> 31) & 0x1) == 0)
8530 {
8531 if (((word >> 4) & 0x1) == 0)
8532 {
8533 /* 33222222222211111111110000000000
8534 10987654321098765432109876543210
8535 001001x0xx0xxxxx101xxxxxxxx0xxxx
8536 cmpeq. */
8537 return 1317;
8538 }
8539 else
8540 {
8541 /* 33222222222211111111110000000000
8542 10987654321098765432109876543210
8543 001001x0xx0xxxxx101xxxxxxxx1xxxx
8544 cmpne. */
8545 return 1340;
8546 }
8547 }
8548 else
8549 {
8550 if (((word >> 20) & 0x1) == 0)
8551 {
8552 if (((word >> 22) & 0x1) == 0)
8553 {
8554 if (((word >> 23) & 0x1) == 0)
8555 {
8556 /* 33222222222211111111110000000000
8557 10987654321098765432109876543210
8558 101001x00000xxxx101xxxxxxxxxxxxx
8559 ld1b. */
8560 return 1507;
8561 }
8562 else
8563 {
8564 /* 33222222222211111111110000000000
8565 10987654321098765432109876543210
8566 101001x01000xxxx101xxxxxxxxxxxxx
8567 ld1sw. */
8568 return 1585;
8569 }
8570 }
8571 else
8572 {
8573 if (((word >> 23) & 0x1) == 0)
8574 {
8575 /* 33222222222211111111110000000000
8576 10987654321098765432109876543210
8577 101001x00100xxxx101xxxxxxxxxxxxx
8578 ld1b. */
8579 return 1509;
8580 }
8581 else
8582 {
8583 /* 33222222222211111111110000000000
8584 10987654321098765432109876543210
8585 101001x01100xxxx101xxxxxxxxxxxxx
8586 ld1h. */
8587 return 1530;
8588 }
8589 }
8590 }
8591 else
8592 {
8593 if (((word >> 22) & 0x1) == 0)
8594 {
8595 if (((word >> 23) & 0x1) == 0)
8596 {
8597 /* 33222222222211111111110000000000
8598 10987654321098765432109876543210
8599 101001x00001xxxx101xxxxxxxxxxxxx
8600 ldnf1b. */
8601 return 1699;
8602 }
8603 else
8604 {
8605 /* 33222222222211111111110000000000
8606 10987654321098765432109876543210
8607 101001x01001xxxx101xxxxxxxxxxxxx
8608 ldnf1sw. */
8609 return 1712;
8610 }
8611 }
8612 else
8613 {
8614 if (((word >> 23) & 0x1) == 0)
8615 {
8616 /* 33222222222211111111110000000000
8617 10987654321098765432109876543210
8618 101001x00101xxxx101xxxxxxxxxxxxx
8619 ldnf1b. */
8620 return 1701;
8621 }
8622 else
8623 {
8624 /* 33222222222211111111110000000000
8625 10987654321098765432109876543210
8626 101001x01101xxxx101xxxxxxxxxxxxx
8627 ldnf1h. */
8628 return 1705;
8629 }
8630 }
8631 }
8632 }
8633 }
8634 else
8635 {
8636 if (((word >> 22) & 0x1) == 0)
8637 {
8638 if (((word >> 23) & 0x1) == 0)
8639 {
8640 if (((word >> 31) & 0x1) == 0)
8641 {
8642 /* 33222222222211111111110000000000
8643 10987654321098765432109876543210
8644 011001x0000xxxxx101xxxxxxxxxxxxx
8645 fcvtxnt. */
8646 return 2071;
8647 }
8648 else
8649 {
8650 /* 33222222222211111111110000000000
8651 10987654321098765432109876543210
8652 111001x0000xxxxx101xxxxxxxxxxxxx
8653 st1b. */
8654 return 1864;
8655 }
582e12bf
RS
8656 }
8657 else
8658 {
42e6288f
MM
8659 if (((word >> 31) & 0x1) == 0)
8660 {
8661 if (((word >> 16) & 0x1) == 0)
8662 {
8663 /* 33222222222211111111110000000000
8664 10987654321098765432109876543210
8665 011001x0100xxxx0101xxxxxxxxxxxxx
8666 fcvtnt. */
8667 return 2068;
8668 }
8669 else
8670 {
8671 /* 33222222222211111111110000000000
8672 10987654321098765432109876543210
8673 011001x0100xxxx1101xxxxxxxxxxxxx
8674 fcvtlt. */
8675 return 2066;
8676 }
8677 }
8678 else
8679 {
8680 /* 33222222222211111111110000000000
8681 10987654321098765432109876543210
8682 111001x0100xxxxx101xxxxxxxxxxxxx
8683 st1h. */
8684 return 1883;
8685 }
582e12bf
RS
8686 }
8687 }
8688 else
8689 {
8690 if (((word >> 23) & 0x1) == 0)
8691 {
8692 /* 33222222222211111111110000000000
8693 10987654321098765432109876543210
42e6288f
MM
8694 x11001x0010xxxxx101xxxxxxxxxxxxx
8695 st1b. */
8696 return 1871;
582e12bf
RS
8697 }
8698 else
8699 {
42e6288f
MM
8700 if (((word >> 31) & 0x1) == 0)
8701 {
8702 if (((word >> 16) & 0x1) == 0)
8703 {
8704 /* 33222222222211111111110000000000
8705 10987654321098765432109876543210
8706 011001x0110xxxx0101xxxxxxxxxxxxx
8707 fcvtnt. */
8708 return 2069;
8709 }
8710 else
8711 {
8712 /* 33222222222211111111110000000000
8713 10987654321098765432109876543210
8714 011001x0110xxxx1101xxxxxxxxxxxxx
8715 fcvtlt. */
8716 return 2067;
8717 }
8718 }
8719 else
8720 {
8721 /* 33222222222211111111110000000000
8722 10987654321098765432109876543210
8723 111001x0110xxxxx101xxxxxxxxxxxxx
8724 st1h. */
8725 return 1892;
8726 }
582e12bf
RS
8727 }
8728 }
8729 }
8730 }
42e6288f 8731 else
582e12bf 8732 {
42e6288f 8733 if (((word >> 30) & 0x1) == 0)
582e12bf
RS
8734 {
8735 if (((word >> 31) & 0x1) == 0)
8736 {
8737 if (((word >> 4) & 0x1) == 0)
8738 {
8739 /* 33222222222211111111110000000000
8740 10987654321098765432109876543210
42e6288f
MM
8741 001001x0xx0xxxxx111xxxxxxxx0xxxx
8742 cmplo. */
8743 return 1333;
582e12bf
RS
8744 }
8745 else
8746 {
8747 /* 33222222222211111111110000000000
8748 10987654321098765432109876543210
42e6288f
MM
8749 001001x0xx0xxxxx111xxxxxxxx1xxxx
8750 cmpls. */
8751 return 1335;
582e12bf
RS
8752 }
8753 }
8754 else
8755 {
42e6288f 8756 if (((word >> 22) & 0x1) == 0)
582e12bf 8757 {
42e6288f
MM
8758 if (((word >> 23) & 0x1) == 0)
8759 {
8760 /* 33222222222211111111110000000000
8761 10987654321098765432109876543210
8762 101001x0000xxxxx111xxxxxxxxxxxxx
8763 ldnt1b. */
8764 return 1716;
8765 }
8766 else
8767 {
8768 /* 33222222222211111111110000000000
8769 10987654321098765432109876543210
8770 101001x0100xxxxx111xxxxxxxxxxxxx
8771 ldnt1h. */
8772 return 1720;
8773 }
582e12bf
RS
8774 }
8775 else
8776 {
42e6288f
MM
8777 if (((word >> 23) & 0x1) == 0)
8778 {
8779 /* 33222222222211111111110000000000
8780 10987654321098765432109876543210
8781 101001x0010xxxxx111xxxxxxxxxxxxx
8782 ld3b. */
8783 return 1608;
8784 }
8785 else
8786 {
8787 /* 33222222222211111111110000000000
8788 10987654321098765432109876543210
8789 101001x0110xxxxx111xxxxxxxxxxxxx
8790 ld3h. */
8791 return 1612;
8792 }
582e12bf
RS
8793 }
8794 }
8795 }
8796 else
8797 {
42e6288f 8798 if (((word >> 20) & 0x1) == 0)
582e12bf 8799 {
42e6288f 8800 if (((word >> 22) & 0x1) == 0)
582e12bf
RS
8801 {
8802 /* 33222222222211111111110000000000
8803 10987654321098765432109876543210
42e6288f
MM
8804 x11001x0x000xxxx111xxxxxxxxxxxxx
8805 st1b. */
8806 return 1869;
582e12bf
RS
8807 }
8808 else
8809 {
42e6288f
MM
8810 if (((word >> 23) & 0x1) == 0)
8811 {
8812 /* 33222222222211111111110000000000
8813 10987654321098765432109876543210
8814 x11001x00100xxxx111xxxxxxxxxxxxx
8815 st1b. */
8816 return 1872;
8817 }
8818 else
8819 {
8820 /* 33222222222211111111110000000000
8821 10987654321098765432109876543210
8822 x11001x01100xxxx111xxxxxxxxxxxxx
8823 st1h. */
8824 return 1893;
8825 }
582e12bf
RS
8826 }
8827 }
8828 else
8829 {
8830 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
8831 {
8832 if (((word >> 23) & 0x1) == 0)
8833 {
8834 /* 33222222222211111111110000000000
8835 10987654321098765432109876543210
42e6288f
MM
8836 x11001x00001xxxx111xxxxxxxxxxxxx
8837 stnt1b. */
8838 return 1933;
c0890d26
RS
8839 }
8840 else
8841 {
8842 /* 33222222222211111111110000000000
8843 10987654321098765432109876543210
42e6288f
MM
8844 x11001x01001xxxx111xxxxxxxxxxxxx
8845 stnt1h. */
8846 return 1937;
c0890d26
RS
8847 }
8848 }
8849 else
8850 {
8851 if (((word >> 23) & 0x1) == 0)
8852 {
8853 /* 33222222222211111111110000000000
8854 10987654321098765432109876543210
42e6288f
MM
8855 x11001x00101xxxx111xxxxxxxxxxxxx
8856 st3b. */
8857 return 1917;
c0890d26
RS
8858 }
8859 else
8860 {
8861 /* 33222222222211111111110000000000
8862 10987654321098765432109876543210
42e6288f
MM
8863 x11001x01101xxxx111xxxxxxxxxxxxx
8864 st3h. */
8865 return 1921;
c0890d26
RS
8866 }
8867 }
8868 }
582e12bf
RS
8869 }
8870 }
42e6288f
MM
8871 }
8872 }
8873 }
8874 else
8875 {
8876 if (((word >> 13) & 0x1) == 0)
8877 {
8878 if (((word >> 30) & 0x1) == 0)
8879 {
8880 if (((word >> 31) & 0x1) == 0)
8881 {
8882 if (((word >> 4) & 0x1) == 0)
8883 {
8884 /* 33222222222211111111110000000000
8885 10987654321098765432109876543210
8886 001001x0xx1xxxxxxx0xxxxxxxx0xxxx
8887 cmphs. */
8888 return 1330;
8889 }
8890 else
8891 {
8892 /* 33222222222211111111110000000000
8893 10987654321098765432109876543210
8894 001001x0xx1xxxxxxx0xxxxxxxx1xxxx
8895 cmphi. */
8896 return 1327;
8897 }
8898 }
582e12bf
RS
8899 else
8900 {
42e6288f 8901 if (((word >> 15) & 0x1) == 0)
582e12bf 8902 {
42e6288f 8903 if (((word >> 22) & 0x1) == 0)
582e12bf 8904 {
42e6288f 8905 if (((word >> 23) & 0x1) == 0)
582e12bf
RS
8906 {
8907 /* 33222222222211111111110000000000
8908 10987654321098765432109876543210
42e6288f
MM
8909 101001x0001xxxxx0x0xxxxxxxxxxxxx
8910 ld1b. */
8911 return 1501;
582e12bf
RS
8912 }
8913 else
8914 {
8915 /* 33222222222211111111110000000000
8916 10987654321098765432109876543210
42e6288f
MM
8917 101001x0101xxxxx0x0xxxxxxxxxxxxx
8918 ld1h. */
8919 return 1521;
582e12bf
RS
8920 }
8921 }
c0890d26
RS
8922 else
8923 {
8924 if (((word >> 23) & 0x1) == 0)
8925 {
8926 /* 33222222222211111111110000000000
8927 10987654321098765432109876543210
42e6288f
MM
8928 101001x0011xxxxx0x0xxxxxxxxxxxxx
8929 ld1b. */
8930 return 1503;
8931 }
8932 else
8933 {
8934 /* 33222222222211111111110000000000
8935 10987654321098765432109876543210
8936 101001x0111xxxxx0x0xxxxxxxxxxxxx
8937 ld1h. */
8938 return 1523;
8939 }
8940 }
8941 }
8942 else
8943 {
8944 if (((word >> 22) & 0x1) == 0)
8945 {
8946 if (((word >> 23) & 0x1) == 0)
8947 {
8948 /* 33222222222211111111110000000000
8949 10987654321098765432109876543210
8950 101001x0001xxxxx1x0xxxxxxxxxxxxx
8951 ld2b. */
8952 return 1599;
c0890d26
RS
8953 }
8954 else
8955 {
8956 /* 33222222222211111111110000000000
8957 10987654321098765432109876543210
42e6288f
MM
8958 101001x0101xxxxx1x0xxxxxxxxxxxxx
8959 ld2h. */
8960 return 1603;
582e12bf
RS
8961 }
8962 }
42e6288f 8963 else
582e12bf 8964 {
42e6288f 8965 if (((word >> 23) & 0x1) == 0)
582e12bf
RS
8966 {
8967 /* 33222222222211111111110000000000
8968 10987654321098765432109876543210
42e6288f
MM
8969 101001x0011xxxxx1x0xxxxxxxxxxxxx
8970 ld4b. */
8971 return 1615;
582e12bf
RS
8972 }
8973 else
8974 {
8975 /* 33222222222211111111110000000000
8976 10987654321098765432109876543210
42e6288f
MM
8977 101001x0111xxxxx1x0xxxxxxxxxxxxx
8978 ld4h. */
8979 return 1619;
582e12bf
RS
8980 }
8981 }
42e6288f
MM
8982 }
8983 }
8984 }
8985 else
8986 {
8987 if (((word >> 15) & 0x1) == 0)
8988 {
8989 if (((word >> 14) & 0x1) == 0)
8990 {
8991 if (((word >> 12) & 0x1) == 0)
582e12bf 8992 {
42e6288f 8993 if (((word >> 10) & 0x1) == 0)
582e12bf
RS
8994 {
8995 if (((word >> 23) & 0x1) == 0)
8996 {
8997 /* 33222222222211111111110000000000
8998 10987654321098765432109876543210
42e6288f
MM
8999 x11001x00x1xxxxx0000x0xxxxxxxxxx
9000 fmla. */
9001 return 1438;
582e12bf
RS
9002 }
9003 else
9004 {
42e6288f
MM
9005 if (((word >> 22) & 0x1) == 0)
9006 {
9007 /* 33222222222211111111110000000000
9008 10987654321098765432109876543210
9009 x11001x0101xxxxx0000x0xxxxxxxxxx
9010 fmla. */
9011 return 1439;
9012 }
9013 else
9014 {
9015 /* 33222222222211111111110000000000
9016 10987654321098765432109876543210
9017 x11001x0111xxxxx0000x0xxxxxxxxxx
9018 fmla. */
9019 return 1440;
9020 }
582e12bf
RS
9021 }
9022 }
9023 else
9024 {
9025 if (((word >> 23) & 0x1) == 0)
9026 {
9027 /* 33222222222211111111110000000000
9028 10987654321098765432109876543210
42e6288f
MM
9029 x11001x00x1xxxxx0000x1xxxxxxxxxx
9030 fmls. */
9031 return 1442;
582e12bf
RS
9032 }
9033 else
9034 {
42e6288f
MM
9035 if (((word >> 22) & 0x1) == 0)
9036 {
9037 /* 33222222222211111111110000000000
9038 10987654321098765432109876543210
9039 x11001x0101xxxxx0000x1xxxxxxxxxx
9040 fmls. */
9041 return 1443;
9042 }
9043 else
9044 {
9045 /* 33222222222211111111110000000000
9046 10987654321098765432109876543210
9047 x11001x0111xxxxx0000x1xxxxxxxxxx
9048 fmls. */
9049 return 1444;
9050 }
582e12bf 9051 }
c0890d26
RS
9052 }
9053 }
c0890d26
RS
9054 else
9055 {
42e6288f 9056 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
9057 {
9058 /* 33222222222211111111110000000000
9059 10987654321098765432109876543210
42e6288f
MM
9060 x11001x0x01xxxxx0001xxxxxxxxxxxx
9061 fcmla. */
9062 return 1386;
c0890d26
RS
9063 }
9064 else
9065 {
9066 /* 33222222222211111111110000000000
9067 10987654321098765432109876543210
42e6288f
MM
9068 x11001x0x11xxxxx0001xxxxxxxxxxxx
9069 fcmla. */
9070 return 1387;
c0890d26
RS
9071 }
9072 }
9073 }
9074 else
9075 {
9076 if (((word >> 22) & 0x1) == 0)
9077 {
9078 if (((word >> 23) & 0x1) == 0)
9079 {
9080 /* 33222222222211111111110000000000
9081 10987654321098765432109876543210
42e6288f
MM
9082 x11001x0001xxxxx010xxxxxxxxxxxxx
9083 st1b. */
9084 return 1865;
c0890d26
RS
9085 }
9086 else
9087 {
42e6288f
MM
9088 if (((word >> 31) & 0x1) == 0)
9089 {
9090 if (((word >> 10) & 0x1) == 0)
9091 {
9092 /* 33222222222211111111110000000000
9093 10987654321098765432109876543210
9094 011001x0101xxxxx010xx0xxxxxxxxxx
9095 fmlalb. */
9096 return 2077;
9097 }
9098 else
9099 {
9100 /* 33222222222211111111110000000000
9101 10987654321098765432109876543210
9102 011001x0101xxxxx010xx1xxxxxxxxxx
9103 fmlalt. */
9104 return 2079;
9105 }
9106 }
9107 else
9108 {
9109 /* 33222222222211111111110000000000
9110 10987654321098765432109876543210
9111 111001x0101xxxxx010xxxxxxxxxxxxx
9112 st1h. */
9113 return 1884;
9114 }
c0890d26
RS
9115 }
9116 }
9117 else
9118 {
9119 if (((word >> 23) & 0x1) == 0)
9120 {
9121 /* 33222222222211111111110000000000
9122 10987654321098765432109876543210
42e6288f
MM
9123 x11001x0011xxxxx010xxxxxxxxxxxxx
9124 st1b. */
9125 return 1868;
c0890d26
RS
9126 }
9127 else
9128 {
9129 /* 33222222222211111111110000000000
9130 10987654321098765432109876543210
42e6288f
MM
9131 x11001x0111xxxxx010xxxxxxxxxxxxx
9132 st1h. */
9133 return 1889;
c0890d26
RS
9134 }
9135 }
9136 }
9137 }
42e6288f 9138 else
c0890d26 9139 {
42e6288f 9140 if (((word >> 22) & 0x1) == 0)
c0890d26 9141 {
42e6288f 9142 if (((word >> 31) & 0x1) == 0)
582e12bf 9143 {
42e6288f
MM
9144 if (((word >> 10) & 0x1) == 0)
9145 {
9146 /* 33222222222211111111110000000000
9147 10987654321098765432109876543210
9148 011001x0x01xxxxx1x0xx0xxxxxxxxxx
9149 fmlalb. */
9150 return 2078;
9151 }
9152 else
9153 {
9154 /* 33222222222211111111110000000000
9155 10987654321098765432109876543210
9156 011001x0x01xxxxx1x0xx1xxxxxxxxxx
9157 fmlalt. */
9158 return 2080;
9159 }
582e12bf
RS
9160 }
9161 else
9162 {
9163 /* 33222222222211111111110000000000
9164 10987654321098765432109876543210
42e6288f
MM
9165 111001x0x01xxxxx1x0xxxxxxxxxxxxx
9166 st1h. */
9167 return 1885;
582e12bf 9168 }
c0890d26
RS
9169 }
9170 else
c0890d26 9171 {
42e6288f
MM
9172 /* 33222222222211111111110000000000
9173 10987654321098765432109876543210
9174 x11001x0x11xxxxx1x0xxxxxxxxxxxxx
9175 st1h. */
9176 return 1890;
9177 }
9178 }
9179 }
9180 }
9181 else
9182 {
9183 if (((word >> 30) & 0x1) == 0)
9184 {
9185 if (((word >> 31) & 0x1) == 0)
9186 {
9187 if (((word >> 4) & 0x1) == 0)
9188 {
9189 /* 33222222222211111111110000000000
9190 10987654321098765432109876543210
9191 001001x0xx1xxxxxxx1xxxxxxxx0xxxx
9192 cmplo. */
9193 return 1334;
9194 }
9195 else
9196 {
9197 /* 33222222222211111111110000000000
9198 10987654321098765432109876543210
9199 001001x0xx1xxxxxxx1xxxxxxxx1xxxx
9200 cmpls. */
9201 return 1336;
9202 }
9203 }
9204 else
9205 {
9206 if (((word >> 14) & 0x1) == 0)
9207 {
9208 if (((word >> 20) & 0x1) == 0)
c0890d26 9209 {
42e6288f 9210 if (((word >> 22) & 0x1) == 0)
c0890d26 9211 {
42e6288f
MM
9212 if (((word >> 23) & 0x1) == 0)
9213 {
9214 /* 33222222222211111111110000000000
9215 10987654321098765432109876543210
9216 101001x00010xxxxx01xxxxxxxxxxxxx
9217 ld1b. */
9218 return 1508;
9219 }
9220 else
9221 {
9222 /* 33222222222211111111110000000000
9223 10987654321098765432109876543210
9224 101001x01010xxxxx01xxxxxxxxxxxxx
9225 ld1h. */
9226 return 1529;
9227 }
c0890d26
RS
9228 }
9229 else
9230 {
42e6288f
MM
9231 if (((word >> 23) & 0x1) == 0)
9232 {
9233 /* 33222222222211111111110000000000
9234 10987654321098765432109876543210
9235 101001x00110xxxxx01xxxxxxxxxxxxx
9236 ld1b. */
9237 return 1510;
9238 }
9239 else
9240 {
9241 /* 33222222222211111111110000000000
9242 10987654321098765432109876543210
9243 101001x01110xxxxx01xxxxxxxxxxxxx
9244 ld1h. */
9245 return 1531;
9246 }
c0890d26
RS
9247 }
9248 }
9249 else
9250 {
582e12bf 9251 if (((word >> 22) & 0x1) == 0)
c0890d26 9252 {
582e12bf 9253 if (((word >> 23) & 0x1) == 0)
c0890d26 9254 {
582e12bf
RS
9255 /* 33222222222211111111110000000000
9256 10987654321098765432109876543210
42e6288f
MM
9257 101001x00011xxxxx01xxxxxxxxxxxxx
9258 ldnf1b. */
9259 return 1700;
c0890d26
RS
9260 }
9261 else
9262 {
582e12bf
RS
9263 /* 33222222222211111111110000000000
9264 10987654321098765432109876543210
42e6288f
MM
9265 101001x01011xxxxx01xxxxxxxxxxxxx
9266 ldnf1h. */
9267 return 1704;
c0890d26
RS
9268 }
9269 }
9270 else
9271 {
582e12bf 9272 if (((word >> 23) & 0x1) == 0)
c0890d26 9273 {
582e12bf
RS
9274 /* 33222222222211111111110000000000
9275 10987654321098765432109876543210
42e6288f
MM
9276 101001x00111xxxxx01xxxxxxxxxxxxx
9277 ldnf1b. */
9278 return 1702;
c0890d26
RS
9279 }
9280 else
9281 {
582e12bf
RS
9282 /* 33222222222211111111110000000000
9283 10987654321098765432109876543210
42e6288f
MM
9284 101001x01111xxxxx01xxxxxxxxxxxxx
9285 ldnf1h. */
9286 return 1706;
c0890d26
RS
9287 }
9288 }
9289 }
9290 }
9291 else
9292 {
42e6288f 9293 if (((word >> 15) & 0x1) == 0)
c0890d26 9294 {
42e6288f 9295 if (((word >> 22) & 0x1) == 0)
c0890d26 9296 {
42e6288f
MM
9297 if (((word >> 23) & 0x1) == 0)
9298 {
9299 /* 33222222222211111111110000000000
9300 10987654321098765432109876543210
9301 101001x0001xxxxx011xxxxxxxxxxxxx
9302 ldff1b. */
9303 return 1626;
9304 }
9305 else
9306 {
9307 /* 33222222222211111111110000000000
9308 10987654321098765432109876543210
9309 101001x0101xxxxx011xxxxxxxxxxxxx
9310 ldff1h. */
9311 return 1645;
9312 }
c0890d26
RS
9313 }
9314 else
9315 {
42e6288f
MM
9316 if (((word >> 23) & 0x1) == 0)
9317 {
9318 /* 33222222222211111111110000000000
9319 10987654321098765432109876543210
9320 101001x0011xxxxx011xxxxxxxxxxxxx
9321 ldff1b. */
9322 return 1630;
9323 }
9324 else
9325 {
9326 /* 33222222222211111111110000000000
9327 10987654321098765432109876543210
9328 101001x0111xxxxx011xxxxxxxxxxxxx
9329 ldff1h. */
9330 return 1649;
9331 }
c0890d26
RS
9332 }
9333 }
9334 else
9335 {
42e6288f 9336 if (((word >> 22) & 0x1) == 0)
c0890d26 9337 {
42e6288f
MM
9338 if (((word >> 23) & 0x1) == 0)
9339 {
9340 /* 33222222222211111111110000000000
9341 10987654321098765432109876543210
9342 101001x0001xxxxx111xxxxxxxxxxxxx
9343 ld2b. */
9344 return 1600;
9345 }
9346 else
9347 {
9348 /* 33222222222211111111110000000000
9349 10987654321098765432109876543210
9350 101001x0101xxxxx111xxxxxxxxxxxxx
9351 ld2h. */
9352 return 1604;
9353 }
c0890d26
RS
9354 }
9355 else
9356 {
42e6288f
MM
9357 if (((word >> 23) & 0x1) == 0)
9358 {
9359 /* 33222222222211111111110000000000
9360 10987654321098765432109876543210
9361 101001x0011xxxxx111xxxxxxxxxxxxx
9362 ld4b. */
9363 return 1616;
9364 }
9365 else
9366 {
9367 /* 33222222222211111111110000000000
9368 10987654321098765432109876543210
9369 101001x0111xxxxx111xxxxxxxxxxxxx
9370 ld4h. */
9371 return 1620;
9372 }
c0890d26
RS
9373 }
9374 }
9375 }
9376 }
9377 }
9378 else
9379 {
582e12bf 9380 if (((word >> 14) & 0x1) == 0)
c0890d26 9381 {
42e6288f 9382 if (((word >> 15) & 0x1) == 0)
c0890d26 9383 {
42e6288f 9384 if (((word >> 23) & 0x1) == 0)
c0890d26 9385 {
42e6288f
MM
9386 /* 33222222222211111111110000000000
9387 10987654321098765432109876543210
9388 x11001x00x1xxxxx001xxxxxxxxxxxxx
9389 fmul. */
9390 return 1449;
9391 }
9392 else
9393 {
9394 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
9395 {
9396 /* 33222222222211111111110000000000
9397 10987654321098765432109876543210
42e6288f
MM
9398 x11001x0101xxxxx001xxxxxxxxxxxxx
9399 fmul. */
9400 return 1450;
c0890d26
RS
9401 }
9402 else
9403 {
9404 /* 33222222222211111111110000000000
9405 10987654321098765432109876543210
42e6288f
MM
9406 x11001x0111xxxxx001xxxxxxxxxxxxx
9407 fmul. */
9408 return 1451;
c0890d26
RS
9409 }
9410 }
9411 }
9412 else
9413 {
9414 if (((word >> 22) & 0x1) == 0)
9415 {
42e6288f 9416 if (((word >> 31) & 0x1) == 0)
c0890d26 9417 {
42e6288f
MM
9418 if (((word >> 10) & 0x1) == 0)
9419 {
9420 /* 33222222222211111111110000000000
9421 10987654321098765432109876543210
9422 011001x0x01xxxxx101xx0xxxxxxxxxx
9423 fmlslb. */
9424 return 2082;
9425 }
9426 else
9427 {
9428 /* 33222222222211111111110000000000
9429 10987654321098765432109876543210
9430 011001x0x01xxxxx101xx1xxxxxxxxxx
9431 fmlslt. */
9432 return 2084;
9433 }
c0890d26
RS
9434 }
9435 else
9436 {
9437 /* 33222222222211111111110000000000
9438 10987654321098765432109876543210
42e6288f 9439 111001x0x01xxxxx101xxxxxxxxxxxxx
582e12bf 9440 st1h. */
42e6288f 9441 return 1886;
c0890d26
RS
9442 }
9443 }
9444 else
9445 {
9446 if (((word >> 23) & 0x1) == 0)
9447 {
9448 /* 33222222222211111111110000000000
9449 10987654321098765432109876543210
42e6288f 9450 x11001x0011xxxxx101xxxxxxxxxxxxx
582e12bf 9451 st1b. */
42e6288f 9452 return 1873;
c0890d26
RS
9453 }
9454 else
9455 {
9456 /* 33222222222211111111110000000000
9457 10987654321098765432109876543210
42e6288f 9458 x11001x0111xxxxx101xxxxxxxxxxxxx
582e12bf 9459 st1h. */
42e6288f 9460 return 1894;
c0890d26
RS
9461 }
9462 }
9463 }
9464 }
9465 else
9466 {
42e6288f 9467 if (((word >> 15) & 0x1) == 0)
c0890d26 9468 {
42e6288f 9469 if (((word >> 22) & 0x1) == 0)
c0890d26 9470 {
42e6288f 9471 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
9472 {
9473 /* 33222222222211111111110000000000
9474 10987654321098765432109876543210
42e6288f
MM
9475 x11001x0001xxxxx011xxxxxxxxxxxxx
9476 st2b. */
9477 return 1908;
c0890d26
RS
9478 }
9479 else
9480 {
42e6288f 9481 if (((word >> 31) & 0x1) == 0)
c0890d26 9482 {
42e6288f
MM
9483 if (((word >> 10) & 0x1) == 0)
9484 {
9485 /* 33222222222211111111110000000000
9486 10987654321098765432109876543210
9487 011001x0101xxxxx011xx0xxxxxxxxxx
9488 fmlslb. */
9489 return 2081;
9490 }
9491 else
9492 {
9493 /* 33222222222211111111110000000000
9494 10987654321098765432109876543210
9495 011001x0101xxxxx011xx1xxxxxxxxxx
9496 fmlslt. */
9497 return 2083;
9498 }
c0890d26
RS
9499 }
9500 else
9501 {
9502 /* 33222222222211111111110000000000
9503 10987654321098765432109876543210
42e6288f
MM
9504 111001x0101xxxxx011xxxxxxxxxxxxx
9505 st2h. */
9506 return 1912;
c0890d26
RS
9507 }
9508 }
42e6288f
MM
9509 }
9510 else
9511 {
9512 if (((word >> 23) & 0x1) == 0)
9513 {
9514 /* 33222222222211111111110000000000
9515 10987654321098765432109876543210
9516 x11001x0011xxxxx011xxxxxxxxxxxxx
9517 st4b. */
9518 return 1924;
9519 }
c0890d26 9520 else
42e6288f
MM
9521 {
9522 /* 33222222222211111111110000000000
9523 10987654321098765432109876543210
9524 x11001x0111xxxxx011xxxxxxxxxxxxx
9525 st4h. */
9526 return 1928;
9527 }
9528 }
9529 }
9530 else
9531 {
9532 if (((word >> 20) & 0x1) == 0)
9533 {
9534 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
9535 {
9536 if (((word >> 23) & 0x1) == 0)
9537 {
9538 /* 33222222222211111111110000000000
9539 10987654321098765432109876543210
42e6288f
MM
9540 x11001x00010xxxx111xxxxxxxxxxxxx
9541 st1b. */
9542 return 1870;
c0890d26
RS
9543 }
9544 else
9545 {
9546 /* 33222222222211111111110000000000
9547 10987654321098765432109876543210
42e6288f
MM
9548 x11001x01010xxxx111xxxxxxxxxxxxx
9549 st1h. */
9550 return 1891;
c0890d26
RS
9551 }
9552 }
c0890d26
RS
9553 else
9554 {
9555 if (((word >> 23) & 0x1) == 0)
9556 {
9557 /* 33222222222211111111110000000000
9558 10987654321098765432109876543210
42e6288f 9559 x11001x00110xxxx111xxxxxxxxxxxxx
c0890d26 9560 st1b. */
42e6288f 9561 return 1874;
c0890d26
RS
9562 }
9563 else
9564 {
9565 /* 33222222222211111111110000000000
9566 10987654321098765432109876543210
42e6288f 9567 x11001x01110xxxx111xxxxxxxxxxxxx
c0890d26 9568 st1h. */
42e6288f 9569 return 1895;
c0890d26
RS
9570 }
9571 }
9572 }
9573 else
9574 {
9575 if (((word >> 22) & 0x1) == 0)
9576 {
9577 if (((word >> 23) & 0x1) == 0)
9578 {
9579 /* 33222222222211111111110000000000
9580 10987654321098765432109876543210
42e6288f
MM
9581 x11001x00011xxxx111xxxxxxxxxxxxx
9582 st2b. */
9583 return 1909;
c0890d26
RS
9584 }
9585 else
9586 {
9587 /* 33222222222211111111110000000000
9588 10987654321098765432109876543210
42e6288f
MM
9589 x11001x01011xxxx111xxxxxxxxxxxxx
9590 st2h. */
9591 return 1913;
c0890d26
RS
9592 }
9593 }
9594 else
9595 {
9596 if (((word >> 23) & 0x1) == 0)
9597 {
9598 /* 33222222222211111111110000000000
9599 10987654321098765432109876543210
42e6288f
MM
9600 x11001x00111xxxx111xxxxxxxxxxxxx
9601 st4b. */
9602 return 1925;
c0890d26
RS
9603 }
9604 else
9605 {
9606 /* 33222222222211111111110000000000
9607 10987654321098765432109876543210
42e6288f
MM
9608 x11001x01111xxxx111xxxxxxxxxxxxx
9609 st4h. */
9610 return 1929;
582e12bf
RS
9611 }
9612 }
9613 }
9614 }
9615 }
9616 }
9617 }
9618 }
42e6288f
MM
9619 }
9620 }
9621 else
9622 {
9623 if (((word >> 29) & 0x1) == 0)
9624 {
9625 if (((word >> 30) & 0x1) == 0)
582e12bf 9626 {
42e6288f 9627 if (((word >> 31) & 0x1) == 0)
582e12bf 9628 {
42e6288f 9629 if (((word >> 21) & 0x1) == 0)
582e12bf 9630 {
42e6288f 9631 if (((word >> 20) & 0x1) == 0)
582e12bf 9632 {
42e6288f 9633 if (((word >> 22) & 0x1) == 0)
582e12bf 9634 {
42e6288f
MM
9635 if (((word >> 23) & 0x1) == 0)
9636 {
9637 /* 33222222222211111111110000000000
9638 10987654321098765432109876543210
9639 000001x10000xxxxxxxxxxxxxxxxxxxx
9640 orr. */
9641 return 1754;
9642 }
9643 else
9644 {
9645 /* 33222222222211111111110000000000
9646 10987654321098765432109876543210
9647 000001x11000xxxxxxxxxxxxxxxxxxxx
9648 and. */
9649 return 1282;
9650 }
582e12bf
RS
9651 }
9652 else
9653 {
42e6288f
MM
9654 if (((word >> 23) & 0x1) == 0)
9655 {
9656 /* 33222222222211111111110000000000
9657 10987654321098765432109876543210
9658 000001x10100xxxxxxxxxxxxxxxxxxxx
9659 eor. */
9660 return 1369;
9661 }
9662 else
9663 {
9664 /* 33222222222211111111110000000000
9665 10987654321098765432109876543210
9666 000001x11100xxxxxxxxxxxxxxxxxxxx
9667 dupm. */
9668 return 1367;
9669 }
582e12bf
RS
9670 }
9671 }
9672 else
9673 {
9674 if (((word >> 15) & 0x1) == 0)
9675 {
42e6288f
MM
9676 /* 33222222222211111111110000000000
9677 10987654321098765432109876543210
9678 000001x1xx01xxxx0xxxxxxxxxxxxxxx
9679 cpy. */
9680 return 1352;
9681 }
9682 else
9683 {
9684 /* 33222222222211111111110000000000
9685 10987654321098765432109876543210
9686 000001x1xx01xxxx1xxxxxxxxxxxxxxx
9687 fcpy. */
9688 return 1399;
582e12bf 9689 }
42e6288f
MM
9690 }
9691 }
9692 else
9693 {
9694 if (((word >> 14) & 0x1) == 0)
9695 {
9696 if (((word >> 13) & 0x1) == 0)
582e12bf 9697 {
42e6288f 9698 if (((word >> 15) & 0x1) == 0)
582e12bf 9699 {
42e6288f 9700 if (((word >> 22) & 0x1) == 0)
582e12bf
RS
9701 {
9702 /* 33222222222211111111110000000000
9703 10987654321098765432109876543210
42e6288f
MM
9704 000001x1x01xxxxx000xxxxxxxxxxxxx
9705 ext. */
9706 return 1374;
582e12bf
RS
9707 }
9708 else
9709 {
9710 /* 33222222222211111111110000000000
9711 10987654321098765432109876543210
42e6288f
MM
9712 000001x1x11xxxxx000xxxxxxxxxxxxx
9713 ext. */
9714 return 2064;
582e12bf
RS
9715 }
9716 }
9717 else
9718 {
42e6288f 9719 if (((word >> 16) & 0x1) == 0)
582e12bf 9720 {
42e6288f
MM
9721 if (((word >> 17) & 0x1) == 0)
9722 {
9723 if (((word >> 18) & 0x1) == 0)
9724 {
9725 if (((word >> 19) & 0x1) == 0)
9726 {
9727 /* 33222222222211111111110000000000
9728 10987654321098765432109876543210
9729 000001x1xx1x0000100xxxxxxxxxxxxx
9730 cpy. */
9731 return 1350;
9732 }
9733 else
9734 {
9735 /* 33222222222211111111110000000000
9736 10987654321098765432109876543210
9737 000001x1xx1x1000100xxxxxxxxxxxxx
9738 clasta. */
9739 return 1308;
9740 }
9741 }
9742 else
9743 {
9744 if (((word >> 19) & 0x1) == 0)
9745 {
9746 /* 33222222222211111111110000000000
9747 10987654321098765432109876543210
9748 000001x1xx1x0100100xxxxxxxxxxxxx
9749 revb. */
9750 return 1802;
9751 }
9752 else
9753 {
9754 /* 33222222222211111111110000000000
9755 10987654321098765432109876543210
9756 000001x1xx1x1100100xxxxxxxxxxxxx
9757 splice. */
9758 return 1829;
9759 }
9760 }
9761 }
9762 else
9763 {
9764 if (((word >> 18) & 0x1) == 0)
9765 {
9766 if (((word >> 19) & 0x1) == 0)
9767 {
9768 /* 33222222222211111111110000000000
9769 10987654321098765432109876543210
9770 000001x1xx1x0010100xxxxxxxxxxxxx
9771 lasta. */
9772 return 1496;
9773 }
9774 else
9775 {
9776 /* 33222222222211111111110000000000
9777 10987654321098765432109876543210
9778 000001x1xx1x1010100xxxxxxxxxxxxx
9779 clasta. */
9780 return 1309;
9781 }
9782 }
9783 else
9784 {
9785 /* 33222222222211111111110000000000
9786 10987654321098765432109876543210
9787 000001x1xx1xx110100xxxxxxxxxxxxx
9788 revw. */
9789 return 1804;
9790 }
9791 }
582e12bf
RS
9792 }
9793 else
9794 {
42e6288f
MM
9795 if (((word >> 17) & 0x1) == 0)
9796 {
9797 if (((word >> 18) & 0x1) == 0)
9798 {
9799 if (((word >> 19) & 0x1) == 0)
9800 {
9801 /* 33222222222211111111110000000000
9802 10987654321098765432109876543210
9803 000001x1xx1x0001100xxxxxxxxxxxxx
9804 compact. */
9805 return 1349;
9806 }
9807 else
9808 {
9809 /* 33222222222211111111110000000000
9810 10987654321098765432109876543210
9811 000001x1xx1x1001100xxxxxxxxxxxxx
9812 clastb. */
9813 return 1311;
9814 }
9815 }
9816 else
9817 {
9818 if (((word >> 19) & 0x1) == 0)
9819 {
9820 /* 33222222222211111111110000000000
9821 10987654321098765432109876543210
9822 000001x1xx1x0101100xxxxxxxxxxxxx
9823 revh. */
9824 return 1803;
9825 }
9826 else
9827 {
9828 /* 33222222222211111111110000000000
9829 10987654321098765432109876543210
9830 000001x1xx1x1101100xxxxxxxxxxxxx
9831 splice. */
9832 return 2157;
9833 }
9834 }
9835 }
9836 else
9837 {
9838 if (((word >> 18) & 0x1) == 0)
9839 {
9840 if (((word >> 19) & 0x1) == 0)
9841 {
9842 /* 33222222222211111111110000000000
9843 10987654321098765432109876543210
9844 000001x1xx1x0011100xxxxxxxxxxxxx
9845 lastb. */
9846 return 1498;
9847 }
9848 else
9849 {
9850 /* 33222222222211111111110000000000
9851 10987654321098765432109876543210
9852 000001x1xx1x1011100xxxxxxxxxxxxx
9853 clastb. */
9854 return 1312;
9855 }
9856 }
9857 else
9858 {
9859 /* 33222222222211111111110000000000
9860 10987654321098765432109876543210
9861 000001x1xx1xx111100xxxxxxxxxxxxx
9862 rbit. */
9863 return 1795;
9864 }
9865 }
582e12bf
RS
9866 }
9867 }
9868 }
42e6288f 9869 else
582e12bf 9870 {
42e6288f
MM
9871 if (((word >> 15) & 0x1) == 0)
9872 {
9873 if (((word >> 10) & 0x1) == 0)
9874 {
9875 if (((word >> 11) & 0x1) == 0)
9876 {
9877 if (((word >> 12) & 0x1) == 0)
9878 {
9879 /* 33222222222211111111110000000000
9880 10987654321098765432109876543210
9881 000001x1xx1xxxxx001000xxxxxxxxxx
9882 dup. */
9883 return 1365;
9884 }
9885 else
9886 {
9887 /* 33222222222211111111110000000000
9888 10987654321098765432109876543210
9889 000001x1xx1xxxxx001100xxxxxxxxxx
9890 tbl. */
9891 return 1952;
9892 }
9893 }
9894 else
9895 {
9896 if (((word >> 12) & 0x1) == 0)
9897 {
9898 /* 33222222222211111111110000000000
9899 10987654321098765432109876543210
9900 000001x1xx1xxxxx001010xxxxxxxxxx
9901 tbl. */
9902 return 2246;
9903 }
9904 else
9905 {
9906 if (((word >> 16) & 0x1) == 0)
9907 {
9908 if (((word >> 17) & 0x1) == 0)
9909 {
9910 if (((word >> 18) & 0x1) == 0)
9911 {
9912 if (((word >> 19) & 0x1) == 0)
9913 {
9914 if (((word >> 20) & 0x1) == 0)
9915 {
9916 /* 33222222222211111111110000000000
9917 10987654321098765432109876543210
9918 000001x1xx100000001110xxxxxxxxxx
9919 dup. */
9920 return 1364;
9921 }
9922 else
9923 {
9924 /* 33222222222211111111110000000000
9925 10987654321098765432109876543210
9926 000001x1xx110000001110xxxxxxxxxx
9927 sunpklo. */
9928 return 1948;
9929 }
9930 }
9931 else
9932 {
9933 /* 33222222222211111111110000000000
9934 10987654321098765432109876543210
9935 000001x1xx1x1000001110xxxxxxxxxx
9936 rev. */
9937 return 1801;
9938 }
9939 }
9940 else
9941 {
9942 if (((word >> 20) & 0x1) == 0)
9943 {
9944 /* 33222222222211111111110000000000
9945 10987654321098765432109876543210
9946 000001x1xx10x100001110xxxxxxxxxx
9947 insr. */
9948 return 1493;
9949 }
9950 else
9951 {
9952 /* 33222222222211111111110000000000
9953 10987654321098765432109876543210
9954 000001x1xx11x100001110xxxxxxxxxx
9955 insr. */
9956 return 1494;
9957 }
9958 }
9959 }
9960 else
9961 {
9962 /* 33222222222211111111110000000000
9963 10987654321098765432109876543210
9964 000001x1xx1xxx10001110xxxxxxxxxx
9965 uunpklo. */
9966 return 2011;
9967 }
9968 }
9969 else
9970 {
9971 if (((word >> 17) & 0x1) == 0)
9972 {
9973 /* 33222222222211111111110000000000
9974 10987654321098765432109876543210
9975 000001x1xx1xxx01001110xxxxxxxxxx
9976 sunpkhi. */
9977 return 1947;
9978 }
9979 else
9980 {
9981 /* 33222222222211111111110000000000
9982 10987654321098765432109876543210
9983 000001x1xx1xxx11001110xxxxxxxxxx
9984 uunpkhi. */
9985 return 2010;
9986 }
9987 }
9988 }
9989 }
9990 }
9991 else
9992 {
9993 /* 33222222222211111111110000000000
9994 10987654321098765432109876543210
9995 000001x1xx1xxxxx001xx1xxxxxxxxxx
9996 tbx. */
9997 return 2247;
9998 }
9999 }
10000 else
582e12bf 10001 {
42e6288f 10002 if (((word >> 16) & 0x1) == 0)
582e12bf 10003 {
42e6288f 10004 if (((word >> 19) & 0x1) == 0)
582e12bf 10005 {
42e6288f 10006 if (((word >> 20) & 0x1) == 0)
582e12bf
RS
10007 {
10008 /* 33222222222211111111110000000000
10009 10987654321098765432109876543210
42e6288f
MM
10010 000001x1xx100xx0101xxxxxxxxxxxxx
10011 lasta. */
10012 return 1495;
582e12bf
RS
10013 }
10014 else
10015 {
10016 /* 33222222222211111111110000000000
10017 10987654321098765432109876543210
42e6288f
MM
10018 000001x1xx110xx0101xxxxxxxxxxxxx
10019 clasta. */
10020 return 1310;
582e12bf
RS
10021 }
10022 }
42e6288f
MM
10023 else
10024 {
10025 /* 33222222222211111111110000000000
10026 10987654321098765432109876543210
10027 000001x1xx1x1xx0101xxxxxxxxxxxxx
10028 cpy. */
10029 return 1351;
10030 }
582e12bf
RS
10031 }
10032 else
10033 {
42e6288f 10034 if (((word >> 20) & 0x1) == 0)
582e12bf
RS
10035 {
10036 /* 33222222222211111111110000000000
10037 10987654321098765432109876543210
42e6288f
MM
10038 000001x1xx10xxx1101xxxxxxxxxxxxx
10039 lastb. */
10040 return 1497;
582e12bf
RS
10041 }
10042 else
10043 {
42e6288f
MM
10044 /* 33222222222211111111110000000000
10045 10987654321098765432109876543210
10046 000001x1xx11xxx1101xxxxxxxxxxxxx
10047 clastb. */
10048 return 1313;
c0890d26
RS
10049 }
10050 }
10051 }
c0890d26
RS
10052 }
10053 }
10054 else
10055 {
42e6288f 10056 if (((word >> 15) & 0x1) == 0)
c0890d26 10057 {
42e6288f 10058 if (((word >> 10) & 0x1) == 0)
c0890d26 10059 {
42e6288f 10060 if (((word >> 11) & 0x1) == 0)
c0890d26 10061 {
42e6288f 10062 if (((word >> 12) & 0x1) == 0)
c0890d26 10063 {
42e6288f
MM
10064 if (((word >> 13) & 0x1) == 0)
10065 {
10066 if (((word >> 20) & 0x1) == 0)
10067 {
10068 /* 33222222222211111111110000000000
10069 10987654321098765432109876543210
10070 000001x1xx10xxxx010000xxxxxxxxxx
10071 zip1. */
10072 return 2028;
10073 }
10074 else
10075 {
10076 if (((word >> 16) & 0x1) == 0)
10077 {
10078 if (((word >> 18) & 0x1) == 0)
10079 {
10080 /* 33222222222211111111110000000000
10081 10987654321098765432109876543210
10082 000001x1xx11x0x0010000xxxxxxxxxx
10083 punpklo. */
10084 return 1794;
10085 }
10086 else
10087 {
10088 /* 33222222222211111111110000000000
10089 10987654321098765432109876543210
10090 000001x1xx11x1x0010000xxxxxxxxxx
10091 rev. */
10092 return 1800;
10093 }
10094 }
10095 else
10096 {
10097 /* 33222222222211111111110000000000
10098 10987654321098765432109876543210
10099 000001x1xx11xxx1010000xxxxxxxxxx
10100 punpkhi. */
10101 return 1793;
10102 }
10103 }
10104 }
10105 else
10106 {
10107 /* 33222222222211111111110000000000
10108 10987654321098765432109876543210
10109 000001x1xx1xxxxx011000xxxxxxxxxx
10110 zip1. */
10111 return 2029;
10112 }
c0890d26
RS
10113 }
10114 else
10115 {
42e6288f
MM
10116 if (((word >> 13) & 0x1) == 0)
10117 {
10118 /* 33222222222211111111110000000000
10119 10987654321098765432109876543210
10120 000001x1xx1xxxxx010100xxxxxxxxxx
10121 trn1. */
10122 return 1953;
10123 }
10124 else
10125 {
10126 /* 33222222222211111111110000000000
10127 10987654321098765432109876543210
10128 000001x1xx1xxxxx011100xxxxxxxxxx
10129 trn1. */
10130 return 1954;
10131 }
c0890d26
RS
10132 }
10133 }
10134 else
10135 {
42e6288f 10136 if (((word >> 13) & 0x1) == 0)
c0890d26
RS
10137 {
10138 /* 33222222222211111111110000000000
10139 10987654321098765432109876543210
42e6288f
MM
10140 000001x1xx1xxxxx010x10xxxxxxxxxx
10141 uzp1. */
10142 return 2015;
c0890d26
RS
10143 }
10144 else
10145 {
10146 /* 33222222222211111111110000000000
10147 10987654321098765432109876543210
42e6288f
MM
10148 000001x1xx1xxxxx011x10xxxxxxxxxx
10149 uzp1. */
10150 return 2016;
c0890d26
RS
10151 }
10152 }
10153 }
10154 else
10155 {
42e6288f 10156 if (((word >> 11) & 0x1) == 0)
c0890d26 10157 {
42e6288f 10158 if (((word >> 12) & 0x1) == 0)
c0890d26 10159 {
42e6288f
MM
10160 if (((word >> 13) & 0x1) == 0)
10161 {
10162 /* 33222222222211111111110000000000
10163 10987654321098765432109876543210
10164 000001x1xx1xxxxx010001xxxxxxxxxx
10165 zip2. */
10166 return 2030;
10167 }
10168 else
10169 {
10170 /* 33222222222211111111110000000000
10171 10987654321098765432109876543210
10172 000001x1xx1xxxxx011001xxxxxxxxxx
10173 zip2. */
10174 return 2031;
10175 }
c0890d26
RS
10176 }
10177 else
10178 {
42e6288f
MM
10179 if (((word >> 13) & 0x1) == 0)
10180 {
10181 /* 33222222222211111111110000000000
10182 10987654321098765432109876543210
10183 000001x1xx1xxxxx010101xxxxxxxxxx
10184 trn2. */
10185 return 1955;
10186 }
10187 else
10188 {
10189 /* 33222222222211111111110000000000
10190 10987654321098765432109876543210
10191 000001x1xx1xxxxx011101xxxxxxxxxx
10192 trn2. */
10193 return 1956;
10194 }
c0890d26
RS
10195 }
10196 }
10197 else
10198 {
42e6288f 10199 if (((word >> 13) & 0x1) == 0)
c0890d26
RS
10200 {
10201 /* 33222222222211111111110000000000
10202 10987654321098765432109876543210
42e6288f
MM
10203 000001x1xx1xxxxx010x11xxxxxxxxxx
10204 uzp2. */
10205 return 2017;
c0890d26
RS
10206 }
10207 else
10208 {
10209 /* 33222222222211111111110000000000
10210 10987654321098765432109876543210
42e6288f
MM
10211 000001x1xx1xxxxx011x11xxxxxxxxxx
10212 uzp2. */
10213 return 2018;
c0890d26
RS
10214 }
10215 }
10216 }
10217 }
10218 else
10219 {
42e6288f
MM
10220 /* 33222222222211111111110000000000
10221 10987654321098765432109876543210
10222 000001x1xx1xxxxx11xxxxxxxxxxxxxx
10223 sel. */
10224 return 1819;
10225 }
10226 }
10227 }
10228 }
10229 else
10230 {
10231 if (((word >> 13) & 0x1) == 0)
10232 {
10233 if (((word >> 14) & 0x1) == 0)
10234 {
10235 if (((word >> 15) & 0x1) == 0)
10236 {
10237 if (((word >> 22) & 0x1) == 0)
c0890d26 10238 {
42e6288f
MM
10239 /* 33222222222211111111110000000000
10240 10987654321098765432109876543210
10241 100001x1x0xxxxxx000xxxxxxxxxxxxx
10242 ldr. */
10243 return 1723;
10244 }
10245 else
10246 {
10247 /* 33222222222211111111110000000000
10248 10987654321098765432109876543210
10249 100001x1x1xxxxxx000xxxxxxxxxxxxx
10250 prfb. */
10251 return 1767;
10252 }
10253 }
10254 else
10255 {
10256 if (((word >> 23) & 0x1) == 0)
10257 {
10258 /* 33222222222211111111110000000000
10259 10987654321098765432109876543210
10260 100001x10xxxxxxx100xxxxxxxxxxxxx
10261 ld1rsh. */
10262 return 1552;
10263 }
10264 else
10265 {
10266 /* 33222222222211111111110000000000
10267 10987654321098765432109876543210
10268 100001x11xxxxxxx100xxxxxxxxxxxxx
10269 ld1rsb. */
10270 return 1549;
10271 }
10272 }
10273 }
10274 else
10275 {
10276 if (((word >> 15) & 0x1) == 0)
10277 {
10278 if (((word >> 23) & 0x1) == 0)
10279 {
10280 if (((word >> 21) & 0x1) == 0)
c0890d26 10281 {
42e6288f
MM
10282 /* 33222222222211111111110000000000
10283 10987654321098765432109876543210
10284 100001x10x0xxxxx010xxxxxxxxxxxxx
10285 ld1w. */
10286 return 1587;
c0890d26
RS
10287 }
10288 else
10289 {
42e6288f
MM
10290 /* 33222222222211111111110000000000
10291 10987654321098765432109876543210
10292 100001x10x1xxxxx010xxxxxxxxxxxxx
10293 ld1w. */
10294 return 1588;
c0890d26
RS
10295 }
10296 }
10297 else
10298 {
10299 if (((word >> 22) & 0x1) == 0)
10300 {
42e6288f
MM
10301 /* 33222222222211111111110000000000
10302 10987654321098765432109876543210
10303 100001x110xxxxxx010xxxxxxxxxxxxx
10304 ldr. */
10305 return 1724;
c0890d26
RS
10306 }
10307 else
42e6288f
MM
10308 {
10309 /* 33222222222211111111110000000000
10310 10987654321098765432109876543210
10311 100001x111xxxxxx010xxxxxxxxxxxxx
10312 prfw. */
10313 return 1788;
10314 }
10315 }
10316 }
10317 else
10318 {
10319 if (((word >> 22) & 0x1) == 0)
10320 {
10321 if (((word >> 21) & 0x1) == 0)
c0890d26
RS
10322 {
10323 if (((word >> 23) & 0x1) == 0)
10324 {
10325 /* 33222222222211111111110000000000
10326 10987654321098765432109876543210
42e6288f
MM
10327 100001x1000xxxxx110xxxxxxxxxxxxx
10328 prfw. */
10329 return 1784;
c0890d26
RS
10330 }
10331 else
10332 {
10333 /* 33222222222211111111110000000000
10334 10987654321098765432109876543210
42e6288f
MM
10335 100001x1100xxxxx110xxxxxxxxxxxxx
10336 prfd. */
10337 return 1770;
c0890d26
RS
10338 }
10339 }
42e6288f
MM
10340 else
10341 {
10342 /* 33222222222211111111110000000000
10343 10987654321098765432109876543210
10344 100001x1x01xxxxx110xxxxxxxxxxxxx
10345 ld1w. */
10346 return 1595;
10347 }
c0890d26
RS
10348 }
10349 else
582e12bf 10350 {
42e6288f 10351 if (((word >> 23) & 0x1) == 0)
582e12bf
RS
10352 {
10353 /* 33222222222211111111110000000000
10354 10987654321098765432109876543210
42e6288f
MM
10355 100001x101xxxxxx110xxxxxxxxxxxxx
10356 ld1rw. */
10357 return 1555;
582e12bf
RS
10358 }
10359 else
10360 {
10361 /* 33222222222211111111110000000000
42e6288f
MM
10362 10987654321098765432109876543210
10363 100001x111xxxxxx110xxxxxxxxxxxxx
10364 ld1rsb. */
10365 return 1551;
582e12bf
RS
10366 }
10367 }
10368 }
42e6288f
MM
10369 }
10370 }
10371 else
10372 {
10373 if (((word >> 14) & 0x1) == 0)
10374 {
10375 if (((word >> 15) & 0x1) == 0)
10376 {
10377 /* 33222222222211111111110000000000
10378 10987654321098765432109876543210
10379 100001x1xxxxxxxx001xxxxxxxxxxxxx
10380 prfh. */
10381 return 1781;
10382 }
582e12bf
RS
10383 else
10384 {
10385 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
10386 {
10387 /* 33222222222211111111110000000000
10388 10987654321098765432109876543210
42e6288f
MM
10389 100001x1x0xxxxxx101xxxxxxxxxxxxx
10390 ldnt1w. */
10391 return 2095;
582e12bf
RS
10392 }
10393 else
10394 {
10395 if (((word >> 23) & 0x1) == 0)
10396 {
10397 /* 33222222222211111111110000000000
10398 10987654321098765432109876543210
42e6288f
MM
10399 100001x101xxxxxx101xxxxxxxxxxxxx
10400 ld1rsh. */
10401 return 1553;
582e12bf
RS
10402 }
10403 else
10404 {
10405 /* 33222222222211111111110000000000
10406 10987654321098765432109876543210
42e6288f
MM
10407 100001x111xxxxxx101xxxxxxxxxxxxx
10408 ld1rsb. */
10409 return 1550;
582e12bf 10410 }
c0890d26
RS
10411 }
10412 }
10413 }
10414 else
10415 {
10416 if (((word >> 15) & 0x1) == 0)
10417 {
42e6288f 10418 if (((word >> 23) & 0x1) == 0)
c0890d26 10419 {
42e6288f 10420 if (((word >> 21) & 0x1) == 0)
c0890d26
RS
10421 {
10422 /* 33222222222211111111110000000000
10423 10987654321098765432109876543210
42e6288f
MM
10424 100001x10x0xxxxx011xxxxxxxxxxxxx
10425 ldff1w. */
10426 return 1687;
c0890d26
RS
10427 }
10428 else
10429 {
10430 /* 33222222222211111111110000000000
10431 10987654321098765432109876543210
42e6288f
MM
10432 100001x10x1xxxxx011xxxxxxxxxxxxx
10433 ldff1w. */
10434 return 1688;
c0890d26
RS
10435 }
10436 }
10437 else
10438 {
42e6288f
MM
10439 /* 33222222222211111111110000000000
10440 10987654321098765432109876543210
10441 100001x11xxxxxxx011xxxxxxxxxxxxx
10442 prfd. */
10443 return 1774;
c0890d26
RS
10444 }
10445 }
10446 else
10447 {
42e6288f 10448 if (((word >> 22) & 0x1) == 0)
c0890d26 10449 {
42e6288f 10450 if (((word >> 21) & 0x1) == 0)
c0890d26
RS
10451 {
10452 if (((word >> 23) & 0x1) == 0)
10453 {
10454 /* 33222222222211111111110000000000
10455 10987654321098765432109876543210
42e6288f
MM
10456 100001x1000xxxxx111xxxxxxxxxxxxx
10457 prfw. */
10458 return 1787;
c0890d26
RS
10459 }
10460 else
10461 {
10462 /* 33222222222211111111110000000000
10463 10987654321098765432109876543210
42e6288f
MM
10464 100001x1100xxxxx111xxxxxxxxxxxxx
10465 prfd. */
10466 return 1773;
c0890d26
RS
10467 }
10468 }
10469 else
10470 {
42e6288f
MM
10471 /* 33222222222211111111110000000000
10472 10987654321098765432109876543210
10473 100001x1x01xxxxx111xxxxxxxxxxxxx
10474 ldff1w. */
10475 return 1697;
c0890d26
RS
10476 }
10477 }
10478 else
10479 {
42e6288f 10480 if (((word >> 23) & 0x1) == 0)
c0890d26 10481 {
42e6288f
MM
10482 /* 33222222222211111111110000000000
10483 10987654321098765432109876543210
10484 100001x101xxxxxx111xxxxxxxxxxxxx
10485 ld1rw. */
10486 return 1556;
c0890d26
RS
10487 }
10488 else
10489 {
42e6288f
MM
10490 /* 33222222222211111111110000000000
10491 10987654321098765432109876543210
10492 100001x111xxxxxx111xxxxxxxxxxxxx
10493 ld1rd. */
10494 return 1537;
c0890d26
RS
10495 }
10496 }
10497 }
10498 }
10499 }
10500 }
10501 }
42e6288f 10502 else
c0890d26 10503 {
42e6288f 10504 if (((word >> 13) & 0x1) == 0)
c0890d26 10505 {
42e6288f 10506 if (((word >> 14) & 0x1) == 0)
c0890d26 10507 {
42e6288f 10508 if (((word >> 15) & 0x1) == 0)
c0890d26 10509 {
42e6288f 10510 if (((word >> 21) & 0x1) == 0)
c0890d26 10511 {
42e6288f 10512 if (((word >> 31) & 0x1) == 0)
c0890d26 10513 {
42e6288f
MM
10514 if (((word >> 10) & 0x1) == 0)
10515 {
10516 if (((word >> 11) & 0x1) == 0)
10517 {
10518 if (((word >> 12) & 0x1) == 0)
10519 {
10520 /* 33222222222211111111110000000000
10521 10987654321098765432109876543210
10522 010001x1xx0xxxxx000000xxxxxxxxxx
10523 saddlb. */
10524 return 2123;
10525 }
10526 else
10527 {
10528 /* 33222222222211111111110000000000
10529 10987654321098765432109876543210
10530 010001x1xx0xxxxx000100xxxxxxxxxx
10531 ssublb. */
10532 return 2230;
10533 }
10534 }
10535 else
10536 {
10537 if (((word >> 12) & 0x1) == 0)
10538 {
10539 /* 33222222222211111111110000000000
10540 10987654321098765432109876543210
10541 010001x1xx0xxxxx000010xxxxxxxxxx
10542 uaddlb. */
10543 return 2254;
10544 }
10545 else
10546 {
10547 /* 33222222222211111111110000000000
10548 10987654321098765432109876543210
10549 010001x1xx0xxxxx000110xxxxxxxxxx
10550 usublb. */
10551 return 2307;
10552 }
10553 }
10554 }
10555 else
10556 {
10557 if (((word >> 11) & 0x1) == 0)
10558 {
10559 if (((word >> 12) & 0x1) == 0)
10560 {
10561 /* 33222222222211111111110000000000
10562 10987654321098765432109876543210
10563 010001x1xx0xxxxx000001xxxxxxxxxx
10564 saddlt. */
10565 return 2125;
10566 }
10567 else
10568 {
10569 /* 33222222222211111111110000000000
10570 10987654321098765432109876543210
10571 010001x1xx0xxxxx000101xxxxxxxxxx
10572 ssublt. */
10573 return 2232;
10574 }
10575 }
10576 else
10577 {
10578 if (((word >> 12) & 0x1) == 0)
10579 {
10580 /* 33222222222211111111110000000000
10581 10987654321098765432109876543210
10582 010001x1xx0xxxxx000011xxxxxxxxxx
10583 uaddlt. */
10584 return 2255;
10585 }
10586 else
10587 {
10588 /* 33222222222211111111110000000000
10589 10987654321098765432109876543210
10590 010001x1xx0xxxxx000111xxxxxxxxxx
10591 usublt. */
10592 return 2308;
10593 }
10594 }
10595 }
10596 }
10597 else
c0890d26
RS
10598 {
10599 /* 33222222222211111111110000000000
10600 10987654321098765432109876543210
42e6288f
MM
10601 110001x1xx0xxxxx000xxxxxxxxxxxxx
10602 ld1sw. */
10603 return 1581;
c0890d26 10604 }
42e6288f
MM
10605 }
10606 else
10607 {
10608 if (((word >> 31) & 0x1) == 0)
c0890d26 10609 {
42e6288f 10610 if (((word >> 10) & 0x1) == 0)
c0890d26 10611 {
42e6288f 10612 if (((word >> 11) & 0x1) == 0)
c0890d26 10613 {
42e6288f 10614 if (((word >> 12) & 0x1) == 0)
c0890d26 10615 {
42e6288f
MM
10616 /* 33222222222211111111110000000000
10617 10987654321098765432109876543210
10618 010001x1xx1xxxxx000000xxxxxxxxxx
10619 sqshrunb. */
10620 return 2213;
c0890d26
RS
10621 }
10622 else
10623 {
42e6288f
MM
10624 /* 33222222222211111111110000000000
10625 10987654321098765432109876543210
10626 010001x1xx1xxxxx000100xxxxxxxxxx
10627 shrnb. */
10628 return 2131;
c0890d26
RS
10629 }
10630 }
10631 else
10632 {
42e6288f 10633 if (((word >> 12) & 0x1) == 0)
c0890d26 10634 {
42e6288f
MM
10635 /* 33222222222211111111110000000000
10636 10987654321098765432109876543210
10637 010001x1xx1xxxxx000010xxxxxxxxxx
10638 sqrshrunb. */
10639 return 2205;
c0890d26
RS
10640 }
10641 else
10642 {
10643 /* 33222222222211111111110000000000
10644 10987654321098765432109876543210
42e6288f
MM
10645 010001x1xx1xxxxx000110xxxxxxxxxx
10646 rshrnb. */
10647 return 2113;
c0890d26
RS
10648 }
10649 }
10650 }
10651 else
10652 {
42e6288f 10653 if (((word >> 11) & 0x1) == 0)
c0890d26 10654 {
42e6288f 10655 if (((word >> 12) & 0x1) == 0)
c0890d26 10656 {
42e6288f
MM
10657 /* 33222222222211111111110000000000
10658 10987654321098765432109876543210
10659 010001x1xx1xxxxx000001xxxxxxxxxx
10660 sqshrunt. */
10661 return 2214;
c0890d26
RS
10662 }
10663 else
10664 {
10665 /* 33222222222211111111110000000000
10666 10987654321098765432109876543210
42e6288f
MM
10667 010001x1xx1xxxxx000101xxxxxxxxxx
10668 shrnt. */
10669 return 2132;
c0890d26
RS
10670 }
10671 }
10672 else
10673 {
42e6288f 10674 if (((word >> 12) & 0x1) == 0)
c0890d26 10675 {
42e6288f
MM
10676 /* 33222222222211111111110000000000
10677 10987654321098765432109876543210
10678 010001x1xx1xxxxx000011xxxxxxxxxx
10679 sqrshrunt. */
10680 return 2206;
c0890d26
RS
10681 }
10682 else
10683 {
10684 /* 33222222222211111111110000000000
10685 10987654321098765432109876543210
42e6288f
MM
10686 010001x1xx1xxxxx000111xxxxxxxxxx
10687 rshrnt. */
10688 return 2114;
c0890d26
RS
10689 }
10690 }
10691 }
10692 }
42e6288f
MM
10693 else
10694 {
10695 /* 33222222222211111111110000000000
10696 10987654321098765432109876543210
10697 110001x1xx1xxxxx000xxxxxxxxxxxxx
10698 ld1sw. */
10699 return 1582;
10700 }
c0890d26 10701 }
42e6288f
MM
10702 }
10703 else
10704 {
10705 if (((word >> 21) & 0x1) == 0)
c0890d26 10706 {
42e6288f 10707 if (((word >> 31) & 0x1) == 0)
c0890d26 10708 {
42e6288f 10709 if (((word >> 10) & 0x1) == 0)
c0890d26 10710 {
42e6288f
MM
10711 if (((word >> 11) & 0x1) == 0)
10712 {
10713 if (((word >> 12) & 0x1) == 0)
10714 {
10715 /* 33222222222211111111110000000000
10716 10987654321098765432109876543210
10717 010001x1xx0xxxxx100000xxxxxxxxxx
10718 saddlbt. */
10719 return 2124;
10720 }
10721 else
10722 {
10723 /* 33222222222211111111110000000000
10724 10987654321098765432109876543210
10725 010001x1xx0xxxxx100100xxxxxxxxxx
10726 eorbt. */
10727 return 2062;
10728 }
10729 }
10730 else
c0890d26
RS
10731 {
10732 /* 33222222222211111111110000000000
10733 10987654321098765432109876543210
42e6288f
MM
10734 010001x1xx0xxxxx100x10xxxxxxxxxx
10735 ssublbt. */
10736 return 2231;
10737 }
10738 }
10739 else
10740 {
10741 if (((word >> 11) & 0x1) == 0)
10742 {
10743 /* 33222222222211111111110000000000
10744 10987654321098765432109876543210
10745 010001x1xx0xxxxx100x01xxxxxxxxxx
10746 eortb. */
10747 return 2063;
c0890d26
RS
10748 }
10749 else
10750 {
10751 /* 33222222222211111111110000000000
10752 10987654321098765432109876543210
42e6288f
MM
10753 010001x1xx0xxxxx100x11xxxxxxxxxx
10754 ssubltb. */
10755 return 2233;
c0890d26
RS
10756 }
10757 }
42e6288f
MM
10758 }
10759 else
10760 {
10761 if (((word >> 22) & 0x1) == 0)
10762 {
10763 /* 33222222222211111111110000000000
10764 10987654321098765432109876543210
10765 110001x1x00xxxxx100xxxxxxxxxxxxx
10766 ldnt1sw. */
10767 return 2094;
10768 }
c0890d26
RS
10769 else
10770 {
42e6288f
MM
10771 /* 33222222222211111111110000000000
10772 10987654321098765432109876543210
10773 110001x1x10xxxxx100xxxxxxxxxxxxx
10774 ld1sw. */
10775 return 1583;
10776 }
10777 }
10778 }
10779 else
10780 {
10781 if (((word >> 31) & 0x1) == 0)
10782 {
10783 if (((word >> 4) & 0x1) == 0)
10784 {
10785 /* 33222222222211111111110000000000
10786 10987654321098765432109876543210
10787 010001x1xx1xxxxx100xxxxxxxx0xxxx
10788 match. */
10789 return 2097;
10790 }
10791 else
10792 {
10793 /* 33222222222211111111110000000000
10794 10987654321098765432109876543210
10795 010001x1xx1xxxxx100xxxxxxxx1xxxx
10796 nmatch. */
10797 return 2109;
10798 }
10799 }
10800 else
10801 {
10802 if (((word >> 22) & 0x1) == 0)
10803 {
10804 /* 33222222222211111111110000000000
10805 10987654321098765432109876543210
10806 110001x1x01xxxxx100xxxxxxxxxxxxx
10807 ld1sw. */
10808 return 1586;
10809 }
10810 else
10811 {
10812 /* 33222222222211111111110000000000
10813 10987654321098765432109876543210
10814 110001x1x11xxxxx100xxxxxxxxxxxxx
10815 ld1sw. */
10816 return 1584;
10817 }
10818 }
10819 }
10820 }
10821 }
10822 else
10823 {
10824 if (((word >> 15) & 0x1) == 0)
10825 {
10826 if (((word >> 21) & 0x1) == 0)
10827 {
10828 if (((word >> 31) & 0x1) == 0)
10829 {
10830 if (((word >> 10) & 0x1) == 0)
10831 {
10832 if (((word >> 11) & 0x1) == 0)
10833 {
10834 if (((word >> 12) & 0x1) == 0)
10835 {
10836 /* 33222222222211111111110000000000
10837 10987654321098765432109876543210
10838 010001x1xx0xxxxx010000xxxxxxxxxx
10839 saddwb. */
10840 return 2126;
c0890d26
RS
10841 }
10842 else
10843 {
10844 /* 33222222222211111111110000000000
10845 10987654321098765432109876543210
42e6288f
MM
10846 010001x1xx0xxxxx010100xxxxxxxxxx
10847 ssubwb. */
10848 return 2234;
c0890d26
RS
10849 }
10850 }
10851 else
10852 {
42e6288f 10853 if (((word >> 12) & 0x1) == 0)
c0890d26
RS
10854 {
10855 /* 33222222222211111111110000000000
10856 10987654321098765432109876543210
42e6288f
MM
10857 010001x1xx0xxxxx010010xxxxxxxxxx
10858 uaddwb. */
10859 return 2256;
c0890d26
RS
10860 }
10861 else
10862 {
10863 /* 33222222222211111111110000000000
10864 10987654321098765432109876543210
42e6288f
MM
10865 010001x1xx0xxxxx010110xxxxxxxxxx
10866 usubwb. */
10867 return 2309;
c0890d26
RS
10868 }
10869 }
10870 }
42e6288f 10871 else
c0890d26 10872 {
42e6288f 10873 if (((word >> 11) & 0x1) == 0)
c0890d26 10874 {
42e6288f 10875 if (((word >> 12) & 0x1) == 0)
c0890d26
RS
10876 {
10877 /* 33222222222211111111110000000000
10878 10987654321098765432109876543210
42e6288f
MM
10879 010001x1xx0xxxxx010001xxxxxxxxxx
10880 saddwt. */
10881 return 2127;
c0890d26
RS
10882 }
10883 else
10884 {
10885 /* 33222222222211111111110000000000
10886 10987654321098765432109876543210
42e6288f
MM
10887 010001x1xx0xxxxx010101xxxxxxxxxx
10888 ssubwt. */
10889 return 2235;
c0890d26
RS
10890 }
10891 }
10892 else
10893 {
42e6288f
MM
10894 if (((word >> 12) & 0x1) == 0)
10895 {
10896 /* 33222222222211111111110000000000
10897 10987654321098765432109876543210
10898 010001x1xx0xxxxx010011xxxxxxxxxx
10899 uaddwt. */
10900 return 2257;
10901 }
10902 else
10903 {
10904 /* 33222222222211111111110000000000
10905 10987654321098765432109876543210
10906 010001x1xx0xxxxx010111xxxxxxxxxx
10907 usubwt. */
10908 return 2310;
10909 }
c0890d26
RS
10910 }
10911 }
42e6288f
MM
10912 }
10913 else
10914 {
10915 if (((word >> 23) & 0x1) == 0)
10916 {
10917 /* 33222222222211111111110000000000
10918 10987654321098765432109876543210
10919 110001x10x0xxxxx010xxxxxxxxxxxxx
10920 ld1w. */
10921 return 1591;
10922 }
c0890d26
RS
10923 else
10924 {
42e6288f
MM
10925 /* 33222222222211111111110000000000
10926 10987654321098765432109876543210
10927 110001x11x0xxxxx010xxxxxxxxxxxxx
10928 ld1d. */
10929 return 1513;
c0890d26
RS
10930 }
10931 }
10932 }
42e6288f 10933 else
c0890d26 10934 {
42e6288f 10935 if (((word >> 23) & 0x1) == 0)
c0890d26 10936 {
42e6288f 10937 if (((word >> 31) & 0x1) == 0)
c0890d26 10938 {
42e6288f 10939 if (((word >> 10) & 0x1) == 0)
c0890d26 10940 {
42e6288f 10941 if (((word >> 11) & 0x1) == 0)
c0890d26 10942 {
42e6288f 10943 if (((word >> 12) & 0x1) == 0)
c0890d26
RS
10944 {
10945 /* 33222222222211111111110000000000
10946 10987654321098765432109876543210
42e6288f
MM
10947 010001x10x1xxxxx010000xxxxxxxxxx
10948 sqxtnb. */
10949 return 2217;
c0890d26
RS
10950 }
10951 else
10952 {
42e6288f
MM
10953 /* 33222222222211111111110000000000
10954 10987654321098765432109876543210
10955 010001x10x1xxxxx010100xxxxxxxxxx
10956 sqxtunb. */
10957 return 2219;
c0890d26
RS
10958 }
10959 }
10960 else
10961 {
10962 /* 33222222222211111111110000000000
10963 10987654321098765432109876543210
42e6288f
MM
10964 010001x10x1xxxxx010x10xxxxxxxxxx
10965 uqxtnb. */
10966 return 2294;
c0890d26
RS
10967 }
10968 }
10969 else
10970 {
42e6288f 10971 if (((word >> 11) & 0x1) == 0)
c0890d26 10972 {
42e6288f
MM
10973 if (((word >> 12) & 0x1) == 0)
10974 {
10975 /* 33222222222211111111110000000000
10976 10987654321098765432109876543210
10977 010001x10x1xxxxx010001xxxxxxxxxx
10978 sqxtnt. */
10979 return 2218;
10980 }
10981 else
10982 {
10983 /* 33222222222211111111110000000000
10984 10987654321098765432109876543210
10985 010001x10x1xxxxx010101xxxxxxxxxx
10986 sqxtunt. */
10987 return 2220;
10988 }
c0890d26
RS
10989 }
10990 else
10991 {
10992 /* 33222222222211111111110000000000
10993 10987654321098765432109876543210
42e6288f
MM
10994 010001x10x1xxxxx010x11xxxxxxxxxx
10995 uqxtnt. */
10996 return 2295;
c0890d26
RS
10997 }
10998 }
10999 }
11000 else
11001 {
42e6288f
MM
11002 /* 33222222222211111111110000000000
11003 10987654321098765432109876543210
11004 110001x10x1xxxxx010xxxxxxxxxxxxx
11005 ld1w. */
11006 return 1592;
11007 }
11008 }
11009 else
11010 {
11011 /* 33222222222211111111110000000000
11012 10987654321098765432109876543210
11013 x10001x11x1xxxxx010xxxxxxxxxxxxx
11014 ld1d. */
11015 return 1514;
11016 }
11017 }
11018 }
11019 else
11020 {
11021 if (((word >> 21) & 0x1) == 0)
11022 {
11023 if (((word >> 31) & 0x1) == 0)
11024 {
11025 if (((word >> 11) & 0x1) == 0)
11026 {
11027 if (((word >> 10) & 0x1) == 0)
c0890d26 11028 {
42e6288f
MM
11029 if (((word >> 12) & 0x1) == 0)
11030 {
11031 /* 33222222222211111111110000000000
11032 10987654321098765432109876543210
11033 010001x1xx0xxxxx110000xxxxxxxxxx
11034 sabalb. */
11035 return 2118;
11036 }
11037 else
11038 {
11039 if (((word >> 23) & 0x1) == 0)
11040 {
11041 /* 33222222222211111111110000000000
11042 10987654321098765432109876543210
11043 010001x10x0xxxxx110100xxxxxxxxxx
11044 adclb. */
11045 return 2045;
11046 }
11047 else
11048 {
11049 /* 33222222222211111111110000000000
11050 10987654321098765432109876543210
11051 010001x11x0xxxxx110100xxxxxxxxxx
11052 sbclb. */
11053 return 2128;
11054 }
11055 }
c0890d26
RS
11056 }
11057 else
11058 {
42e6288f
MM
11059 if (((word >> 12) & 0x1) == 0)
11060 {
11061 /* 33222222222211111111110000000000
11062 10987654321098765432109876543210
11063 010001x1xx0xxxxx110001xxxxxxxxxx
11064 sabalt. */
11065 return 2119;
11066 }
11067 else
11068 {
11069 if (((word >> 23) & 0x1) == 0)
11070 {
11071 /* 33222222222211111111110000000000
11072 10987654321098765432109876543210
11073 010001x10x0xxxxx110101xxxxxxxxxx
11074 adclt. */
11075 return 2046;
11076 }
11077 else
11078 {
11079 /* 33222222222211111111110000000000
11080 10987654321098765432109876543210
11081 010001x11x0xxxxx110101xxxxxxxxxx
11082 sbclt. */
11083 return 2129;
11084 }
11085 }
c0890d26
RS
11086 }
11087 }
42e6288f 11088 else
c0890d26
RS
11089 {
11090 if (((word >> 12) & 0x1) == 0)
11091 {
42e6288f 11092 if (((word >> 10) & 0x1) == 0)
c0890d26
RS
11093 {
11094 /* 33222222222211111111110000000000
11095 10987654321098765432109876543210
42e6288f
MM
11096 010001x1xx0xxxxx110010xxxxxxxxxx
11097 uabalb. */
11098 return 2249;
c0890d26
RS
11099 }
11100 else
11101 {
11102 /* 33222222222211111111110000000000
11103 10987654321098765432109876543210
42e6288f
MM
11104 010001x1xx0xxxxx110011xxxxxxxxxx
11105 uabalt. */
11106 return 2250;
c0890d26
RS
11107 }
11108 }
11109 else
11110 {
42e6288f 11111 if (((word >> 16) & 0x1) == 0)
c0890d26
RS
11112 {
11113 /* 33222222222211111111110000000000
11114 10987654321098765432109876543210
42e6288f
MM
11115 010001x1xx0xxxx011011xxxxxxxxxxx
11116 cadd. */
11117 return 2054;
c0890d26
RS
11118 }
11119 else
11120 {
11121 /* 33222222222211111111110000000000
11122 10987654321098765432109876543210
42e6288f
MM
11123 010001x1xx0xxxx111011xxxxxxxxxxx
11124 sqcadd. */
11125 return 2160;
c0890d26
RS
11126 }
11127 }
11128 }
42e6288f
MM
11129 }
11130 else
11131 {
11132 if (((word >> 22) & 0x1) == 0)
11133 {
11134 if (((word >> 23) & 0x1) == 0)
11135 {
11136 /* 33222222222211111111110000000000
11137 10987654321098765432109876543210
11138 110001x1000xxxxx110xxxxxxxxxxxxx
11139 ldnt1w. */
11140 return 2096;
11141 }
11142 else
11143 {
11144 /* 33222222222211111111110000000000
11145 10987654321098765432109876543210
11146 110001x1100xxxxx110xxxxxxxxxxxxx
11147 ldnt1d. */
11148 return 2089;
11149 }
11150 }
c0890d26
RS
11151 else
11152 {
42e6288f 11153 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
11154 {
11155 /* 33222222222211111111110000000000
11156 10987654321098765432109876543210
42e6288f
MM
11157 110001x1010xxxxx110xxxxxxxxxxxxx
11158 ld1w. */
11159 return 1593;
c0890d26
RS
11160 }
11161 else
11162 {
11163 /* 33222222222211111111110000000000
11164 10987654321098765432109876543210
42e6288f
MM
11165 110001x1110xxxxx110xxxxxxxxxxxxx
11166 ld1d. */
11167 return 1515;
c0890d26
RS
11168 }
11169 }
11170 }
11171 }
11172 else
c0890d26
RS
11173 {
11174 if (((word >> 23) & 0x1) == 0)
11175 {
42e6288f 11176 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
11177 {
11178 /* 33222222222211111111110000000000
11179 10987654321098765432109876543210
42e6288f 11180 x10001x1001xxxxx110xxxxxxxxxxxxx
c0890d26 11181 ld1w. */
42e6288f 11182 return 1598;
c0890d26
RS
11183 }
11184 else
11185 {
11186 /* 33222222222211111111110000000000
11187 10987654321098765432109876543210
42e6288f 11188 x10001x1011xxxxx110xxxxxxxxxxxxx
c0890d26 11189 ld1w. */
42e6288f 11190 return 1594;
c0890d26
RS
11191 }
11192 }
11193 else
11194 {
42e6288f 11195 if (((word >> 31) & 0x1) == 0)
c0890d26
RS
11196 {
11197 /* 33222222222211111111110000000000
11198 10987654321098765432109876543210
42e6288f
MM
11199 010001x11x1xxxxx110xxxxxxxxxxxxx
11200 histcnt. */
11201 return 2085;
c0890d26
RS
11202 }
11203 else
11204 {
42e6288f
MM
11205 if (((word >> 22) & 0x1) == 0)
11206 {
11207 /* 33222222222211111111110000000000
11208 10987654321098765432109876543210
11209 110001x1101xxxxx110xxxxxxxxxxxxx
11210 ld1d. */
11211 return 1518;
11212 }
11213 else
11214 {
11215 /* 33222222222211111111110000000000
11216 10987654321098765432109876543210
11217 110001x1111xxxxx110xxxxxxxxxxxxx
11218 ld1d. */
11219 return 1516;
11220 }
c0890d26
RS
11221 }
11222 }
11223 }
42e6288f
MM
11224 }
11225 }
11226 }
11227 else
11228 {
11229 if (((word >> 14) & 0x1) == 0)
11230 {
11231 if (((word >> 15) & 0x1) == 0)
11232 {
11233 if (((word >> 21) & 0x1) == 0)
c0890d26 11234 {
42e6288f 11235 if (((word >> 31) & 0x1) == 0)
c0890d26 11236 {
42e6288f 11237 if (((word >> 10) & 0x1) == 0)
c0890d26 11238 {
42e6288f 11239 if (((word >> 11) & 0x1) == 0)
c0890d26
RS
11240 {
11241 /* 33222222222211111111110000000000
11242 10987654321098765432109876543210
42e6288f
MM
11243 010001x1xx0xxxxx001x00xxxxxxxxxx
11244 sabdlb. */
11245 return 2120;
c0890d26
RS
11246 }
11247 else
11248 {
11249 /* 33222222222211111111110000000000
11250 10987654321098765432109876543210
42e6288f
MM
11251 010001x1xx0xxxxx001x10xxxxxxxxxx
11252 uabdlb. */
11253 return 2251;
c0890d26
RS
11254 }
11255 }
11256 else
11257 {
42e6288f
MM
11258 if (((word >> 11) & 0x1) == 0)
11259 {
11260 /* 33222222222211111111110000000000
11261 10987654321098765432109876543210
11262 010001x1xx0xxxxx001x01xxxxxxxxxx
11263 sabdlt. */
11264 return 2121;
11265 }
11266 else
11267 {
11268 /* 33222222222211111111110000000000
11269 10987654321098765432109876543210
11270 010001x1xx0xxxxx001x11xxxxxxxxxx
11271 uabdlt. */
11272 return 2252;
11273 }
c0890d26
RS
11274 }
11275 }
c0890d26
RS
11276 else
11277 {
11278 /* 33222222222211111111110000000000
11279 10987654321098765432109876543210
42e6288f
MM
11280 110001x1xx0xxxxx001xxxxxxxxxxxxx
11281 ldff1sw. */
11282 return 1682;
c0890d26
RS
11283 }
11284 }
42e6288f 11285 else
c0890d26 11286 {
42e6288f 11287 if (((word >> 31) & 0x1) == 0)
c0890d26 11288 {
42e6288f 11289 if (((word >> 10) & 0x1) == 0)
c0890d26 11290 {
42e6288f
MM
11291 if (((word >> 11) & 0x1) == 0)
11292 {
11293 if (((word >> 12) & 0x1) == 0)
11294 {
11295 /* 33222222222211111111110000000000
11296 10987654321098765432109876543210
11297 010001x1xx1xxxxx001000xxxxxxxxxx
11298 sqshrnb. */
11299 return 2211;
11300 }
11301 else
11302 {
11303 /* 33222222222211111111110000000000
11304 10987654321098765432109876543210
11305 010001x1xx1xxxxx001100xxxxxxxxxx
11306 uqshrnb. */
11307 return 2290;
11308 }
11309 }
11310 else
11311 {
11312 if (((word >> 12) & 0x1) == 0)
11313 {
11314 /* 33222222222211111111110000000000
11315 10987654321098765432109876543210
11316 010001x1xx1xxxxx001010xxxxxxxxxx
11317 sqrshrnb. */
11318 return 2203;
11319 }
11320 else
11321 {
11322 /* 33222222222211111111110000000000
11323 10987654321098765432109876543210
11324 010001x1xx1xxxxx001110xxxxxxxxxx
11325 uqrshrnb. */
11326 return 2285;
11327 }
11328 }
c0890d26
RS
11329 }
11330 else
11331 {
42e6288f
MM
11332 if (((word >> 11) & 0x1) == 0)
11333 {
11334 if (((word >> 12) & 0x1) == 0)
11335 {
11336 /* 33222222222211111111110000000000
11337 10987654321098765432109876543210
11338 010001x1xx1xxxxx001001xxxxxxxxxx
11339 sqshrnt. */
11340 return 2212;
11341 }
11342 else
11343 {
11344 /* 33222222222211111111110000000000
11345 10987654321098765432109876543210
11346 010001x1xx1xxxxx001101xxxxxxxxxx
11347 uqshrnt. */
11348 return 2291;
11349 }
11350 }
11351 else
11352 {
11353 if (((word >> 12) & 0x1) == 0)
11354 {
11355 /* 33222222222211111111110000000000
11356 10987654321098765432109876543210
11357 010001x1xx1xxxxx001011xxxxxxxxxx
11358 sqrshrnt. */
11359 return 2204;
11360 }
11361 else
11362 {
11363 /* 33222222222211111111110000000000
11364 10987654321098765432109876543210
11365 010001x1xx1xxxxx001111xxxxxxxxxx
11366 uqrshrnt. */
11367 return 2286;
11368 }
11369 }
c0890d26
RS
11370 }
11371 }
11372 else
11373 {
11374 /* 33222222222211111111110000000000
11375 10987654321098765432109876543210
42e6288f
MM
11376 110001x1xx1xxxxx001xxxxxxxxxxxxx
11377 ldff1sw. */
11378 return 1683;
c0890d26
RS
11379 }
11380 }
42e6288f
MM
11381 }
11382 else
11383 {
11384 if (((word >> 21) & 0x1) == 0)
c0890d26 11385 {
42e6288f 11386 if (((word >> 31) & 0x1) == 0)
c0890d26 11387 {
42e6288f 11388 if (((word >> 10) & 0x1) == 0)
c0890d26 11389 {
42e6288f 11390 if (((word >> 11) & 0x1) == 0)
c0890d26 11391 {
42e6288f
MM
11392 if (((word >> 12) & 0x1) == 0)
11393 {
11394 /* 33222222222211111111110000000000
11395 10987654321098765432109876543210
11396 010001x1xx0xxxxx101000xxxxxxxxxx
11397 sshllb. */
11398 return 2227;
11399 }
11400 else
11401 {
11402 /* 33222222222211111111110000000000
11403 10987654321098765432109876543210
11404 010001x1xx0xxxxx101100xxxxxxxxxx
11405 bext. */
11406 return 2332;
11407 }
c0890d26
RS
11408 }
11409 else
11410 {
42e6288f
MM
11411 if (((word >> 12) & 0x1) == 0)
11412 {
11413 /* 33222222222211111111110000000000
11414 10987654321098765432109876543210
11415 010001x1xx0xxxxx101010xxxxxxxxxx
11416 ushllb. */
11417 return 2303;
11418 }
11419 else
11420 {
11421 /* 33222222222211111111110000000000
11422 10987654321098765432109876543210
11423 010001x1xx0xxxxx101110xxxxxxxxxx
11424 bgrp. */
11425 return 2333;
11426 }
c0890d26
RS
11427 }
11428 }
11429 else
11430 {
42e6288f
MM
11431 if (((word >> 11) & 0x1) == 0)
11432 {
11433 if (((word >> 12) & 0x1) == 0)
11434 {
11435 /* 33222222222211111111110000000000
11436 10987654321098765432109876543210
11437 010001x1xx0xxxxx101001xxxxxxxxxx
11438 sshllt. */
11439 return 2228;
11440 }
11441 else
11442 {
11443 /* 33222222222211111111110000000000
11444 10987654321098765432109876543210
11445 010001x1xx0xxxxx101101xxxxxxxxxx
11446 bdep. */
11447 return 2331;
11448 }
11449 }
11450 else
11451 {
11452 /* 33222222222211111111110000000000
11453 10987654321098765432109876543210
11454 010001x1xx0xxxxx101x11xxxxxxxxxx
11455 ushllt. */
11456 return 2304;
11457 }
c0890d26
RS
11458 }
11459 }
11460 else
11461 {
42e6288f
MM
11462 /* 33222222222211111111110000000000
11463 10987654321098765432109876543210
11464 110001x1xx0xxxxx101xxxxxxxxxxxxx
11465 ldff1sw. */
11466 return 1684;
11467 }
11468 }
11469 else
11470 {
11471 if (((word >> 22) & 0x1) == 0)
11472 {
11473 if (((word >> 31) & 0x1) == 0)
c0890d26
RS
11474 {
11475 /* 33222222222211111111110000000000
11476 10987654321098765432109876543210
42e6288f
MM
11477 010001x1x01xxxxx101xxxxxxxxxxxxx
11478 histseg. */
11479 return 2086;
c0890d26
RS
11480 }
11481 else
11482 {
11483 /* 33222222222211111111110000000000
11484 10987654321098765432109876543210
42e6288f
MM
11485 110001x1x01xxxxx101xxxxxxxxxxxxx
11486 ldff1sw. */
11487 return 1686;
c0890d26
RS
11488 }
11489 }
c0890d26
RS
11490 else
11491 {
11492 /* 33222222222211111111110000000000
11493 10987654321098765432109876543210
42e6288f
MM
11494 x10001x1x11xxxxx101xxxxxxxxxxxxx
11495 ldff1sw. */
11496 return 1685;
c0890d26
RS
11497 }
11498 }
11499 }
11500 }
11501 else
11502 {
11503 if (((word >> 15) & 0x1) == 0)
11504 {
11505 if (((word >> 21) & 0x1) == 0)
11506 {
42e6288f 11507 if (((word >> 31) & 0x1) == 0)
c0890d26 11508 {
42e6288f
MM
11509 if (((word >> 10) & 0x1) == 0)
11510 {
11511 if (((word >> 11) & 0x1) == 0)
11512 {
11513 if (((word >> 12) & 0x1) == 0)
11514 {
11515 /* 33222222222211111111110000000000
11516 10987654321098765432109876543210
11517 010001x1xx0xxxxx011000xxxxxxxxxx
11518 sqdmullb. */
11519 return 2181;
11520 }
11521 else
11522 {
11523 /* 33222222222211111111110000000000
11524 10987654321098765432109876543210
11525 010001x1xx0xxxxx011100xxxxxxxxxx
11526 smullb. */
11527 return 2153;
11528 }
11529 }
11530 else
11531 {
11532 if (((word >> 12) & 0x1) == 0)
11533 {
11534 /* 33222222222211111111110000000000
11535 10987654321098765432109876543210
11536 010001x1xx0xxxxx011010xxxxxxxxxx
11537 pmullb. */
11538 return 2328;
11539 }
11540 else
11541 {
11542 /* 33222222222211111111110000000000
11543 10987654321098765432109876543210
11544 010001x1xx0xxxxx011110xxxxxxxxxx
11545 umullb. */
11546 return 2278;
11547 }
11548 }
11549 }
11550 else
11551 {
11552 if (((word >> 11) & 0x1) == 0)
11553 {
11554 if (((word >> 12) & 0x1) == 0)
11555 {
11556 /* 33222222222211111111110000000000
11557 10987654321098765432109876543210
11558 010001x1xx0xxxxx011001xxxxxxxxxx
11559 sqdmullt. */
11560 return 2184;
11561 }
11562 else
11563 {
11564 /* 33222222222211111111110000000000
11565 10987654321098765432109876543210
11566 010001x1xx0xxxxx011101xxxxxxxxxx
11567 smullt. */
11568 return 2156;
11569 }
11570 }
11571 else
11572 {
11573 if (((word >> 12) & 0x1) == 0)
11574 {
11575 /* 33222222222211111111110000000000
11576 10987654321098765432109876543210
11577 010001x1xx0xxxxx011011xxxxxxxxxx
11578 pmullt. */
11579 return 2329;
11580 }
11581 else
11582 {
11583 /* 33222222222211111111110000000000
11584 10987654321098765432109876543210
11585 010001x1xx0xxxxx011111xxxxxxxxxx
11586 umullt. */
11587 return 2281;
11588 }
11589 }
11590 }
c0890d26
RS
11591 }
11592 else
11593 {
42e6288f
MM
11594 if (((word >> 23) & 0x1) == 0)
11595 {
11596 /* 33222222222211111111110000000000
11597 10987654321098765432109876543210
11598 110001x10x0xxxxx011xxxxxxxxxxxxx
11599 ldff1w. */
11600 return 1693;
11601 }
11602 else
11603 {
11604 /* 33222222222211111111110000000000
11605 10987654321098765432109876543210
11606 110001x11x0xxxxx011xxxxxxxxxxxxx
11607 ldff1d. */
11608 return 1638;
11609 }
c0890d26
RS
11610 }
11611 }
11612 else
11613 {
42e6288f 11614 if (((word >> 31) & 0x1) == 0)
c0890d26 11615 {
42e6288f 11616 if (((word >> 10) & 0x1) == 0)
c0890d26 11617 {
42e6288f
MM
11618 if (((word >> 11) & 0x1) == 0)
11619 {
11620 if (((word >> 12) & 0x1) == 0)
11621 {
11622 /* 33222222222211111111110000000000
11623 10987654321098765432109876543210
11624 010001x1xx1xxxxx011000xxxxxxxxxx
11625 addhnb. */
11626 return 2047;
11627 }
11628 else
11629 {
11630 /* 33222222222211111111110000000000
11631 10987654321098765432109876543210
11632 010001x1xx1xxxxx011100xxxxxxxxxx
11633 subhnb. */
11634 return 2243;
11635 }
11636 }
11637 else
11638 {
11639 if (((word >> 12) & 0x1) == 0)
11640 {
11641 /* 33222222222211111111110000000000
11642 10987654321098765432109876543210
11643 010001x1xx1xxxxx011010xxxxxxxxxx
11644 raddhnb. */
11645 return 2111;
11646 }
11647 else
11648 {
11649 /* 33222222222211111111110000000000
11650 10987654321098765432109876543210
11651 010001x1xx1xxxxx011110xxxxxxxxxx
11652 rsubhnb. */
11653 return 2115;
11654 }
11655 }
c0890d26
RS
11656 }
11657 else
11658 {
42e6288f
MM
11659 if (((word >> 11) & 0x1) == 0)
11660 {
11661 if (((word >> 12) & 0x1) == 0)
11662 {
11663 /* 33222222222211111111110000000000
11664 10987654321098765432109876543210
11665 010001x1xx1xxxxx011001xxxxxxxxxx
11666 addhnt. */
11667 return 2048;
11668 }
11669 else
11670 {
11671 /* 33222222222211111111110000000000
11672 10987654321098765432109876543210
11673 010001x1xx1xxxxx011101xxxxxxxxxx
11674 subhnt. */
11675 return 2244;
11676 }
11677 }
11678 else
11679 {
11680 if (((word >> 12) & 0x1) == 0)
11681 {
11682 /* 33222222222211111111110000000000
11683 10987654321098765432109876543210
11684 010001x1xx1xxxxx011011xxxxxxxxxx
11685 raddhnt. */
11686 return 2112;
11687 }
11688 else
11689 {
11690 /* 33222222222211111111110000000000
11691 10987654321098765432109876543210
11692 010001x1xx1xxxxx011111xxxxxxxxxx
11693 rsubhnt. */
11694 return 2116;
11695 }
11696 }
c0890d26
RS
11697 }
11698 }
11699 else
11700 {
11701 if (((word >> 23) & 0x1) == 0)
11702 {
11703 /* 33222222222211111111110000000000
11704 10987654321098765432109876543210
42e6288f
MM
11705 110001x10x1xxxxx011xxxxxxxxxxxxx
11706 ldff1w. */
11707 return 1694;
c0890d26
RS
11708 }
11709 else
11710 {
11711 /* 33222222222211111111110000000000
11712 10987654321098765432109876543210
42e6288f
MM
11713 110001x11x1xxxxx011xxxxxxxxxxxxx
11714 ldff1d. */
11715 return 1639;
c0890d26
RS
11716 }
11717 }
11718 }
11719 }
c0890d26
RS
11720 else
11721 {
11722 if (((word >> 21) & 0x1) == 0)
11723 {
42e6288f 11724 if (((word >> 31) & 0x1) == 0)
c0890d26 11725 {
42e6288f 11726 if (((word >> 10) & 0x1) == 0)
c0890d26 11727 {
42e6288f
MM
11728 if (((word >> 11) & 0x1) == 0)
11729 {
11730 if (((word >> 12) & 0x1) == 0)
11731 {
11732 /* 33222222222211111111110000000000
11733 10987654321098765432109876543210
11734 010001x1xx0xxxxx111000xxxxxxxxxx
11735 ssra. */
11736 return 2229;
11737 }
11738 else
11739 {
11740 /* 33222222222211111111110000000000
11741 10987654321098765432109876543210
11742 010001x1xx0xxxxx111100xxxxxxxxxx
11743 sri. */
11744 return 2222;
11745 }
11746 }
11747 else
11748 {
11749 if (((word >> 12) & 0x1) == 0)
11750 {
11751 /* 33222222222211111111110000000000
11752 10987654321098765432109876543210
11753 010001x1xx0xxxxx111010xxxxxxxxxx
11754 srsra. */
11755 return 2226;
11756 }
11757 else
11758 {
11759 /* 33222222222211111111110000000000
11760 10987654321098765432109876543210
11761 010001x1xx0xxxxx111110xxxxxxxxxx
11762 saba. */
11763 return 2117;
11764 }
11765 }
c0890d26
RS
11766 }
11767 else
11768 {
42e6288f
MM
11769 if (((word >> 11) & 0x1) == 0)
11770 {
11771 if (((word >> 12) & 0x1) == 0)
11772 {
11773 /* 33222222222211111111110000000000
11774 10987654321098765432109876543210
11775 010001x1xx0xxxxx111001xxxxxxxxxx
11776 usra. */
11777 return 2306;
11778 }
11779 else
11780 {
11781 /* 33222222222211111111110000000000
11782 10987654321098765432109876543210
11783 010001x1xx0xxxxx111101xxxxxxxxxx
11784 sli. */
11785 return 2135;
11786 }
11787 }
11788 else
11789 {
11790 if (((word >> 12) & 0x1) == 0)
11791 {
11792 /* 33222222222211111111110000000000
11793 10987654321098765432109876543210
11794 010001x1xx0xxxxx111011xxxxxxxxxx
11795 ursra. */
11796 return 2302;
11797 }
11798 else
11799 {
11800 /* 33222222222211111111110000000000
11801 10987654321098765432109876543210
11802 010001x1xx0xxxxx111111xxxxxxxxxx
11803 uaba. */
11804 return 2248;
11805 }
11806 }
c0890d26
RS
11807 }
11808 }
11809 else
11810 {
42e6288f 11811 if (((word >> 22) & 0x1) == 0)
c0890d26 11812 {
42e6288f
MM
11813 if (((word >> 23) & 0x1) == 0)
11814 {
11815 /* 33222222222211111111110000000000
11816 10987654321098765432109876543210
11817 110001x1000xxxxx111xxxxxxxxxxxxx
11818 prfw. */
11819 return 1789;
11820 }
11821 else
11822 {
11823 /* 33222222222211111111110000000000
11824 10987654321098765432109876543210
11825 110001x1100xxxxx111xxxxxxxxxxxxx
11826 prfd. */
11827 return 1775;
11828 }
c0890d26
RS
11829 }
11830 else
11831 {
42e6288f
MM
11832 if (((word >> 23) & 0x1) == 0)
11833 {
11834 /* 33222222222211111111110000000000
11835 10987654321098765432109876543210
11836 110001x1010xxxxx111xxxxxxxxxxxxx
11837 ldff1w. */
11838 return 1695;
11839 }
11840 else
11841 {
11842 /* 33222222222211111111110000000000
11843 10987654321098765432109876543210
11844 110001x1110xxxxx111xxxxxxxxxxxxx
11845 ldff1d. */
11846 return 1640;
11847 }
c0890d26
RS
11848 }
11849 }
11850 }
11851 else
11852 {
11853 if (((word >> 22) & 0x1) == 0)
11854 {
11855 if (((word >> 23) & 0x1) == 0)
11856 {
42e6288f
MM
11857 if (((word >> 31) & 0x1) == 0)
11858 {
11859 if (((word >> 10) & 0x1) == 0)
11860 {
11861 if (((word >> 12) & 0x1) == 0)
11862 {
11863 if (((word >> 16) & 0x1) == 0)
11864 {
11865 if (((word >> 17) & 0x1) == 0)
11866 {
11867 /* 33222222222211111111110000000000
11868 10987654321098765432109876543210
11869 010001x1001xxx001110x0xxxxxxxxxx
11870 aesmc. */
11871 return 2327;
11872 }
11873 else
11874 {
11875 /* 33222222222211111111110000000000
11876 10987654321098765432109876543210
11877 010001x1001xxx101110x0xxxxxxxxxx
11878 aese. */
11879 return 2325;
11880 }
11881 }
11882 else
11883 {
11884 /* 33222222222211111111110000000000
11885 10987654321098765432109876543210
11886 010001x1001xxxx11110x0xxxxxxxxxx
11887 sm4e. */
11888 return 2322;
11889 }
11890 }
11891 else
11892 {
11893 /* 33222222222211111111110000000000
11894 10987654321098765432109876543210
11895 010001x1001xxxxx1111x0xxxxxxxxxx
11896 sm4ekey. */
11897 return 2323;
11898 }
11899 }
11900 else
11901 {
11902 if (((word >> 12) & 0x1) == 0)
11903 {
11904 if (((word >> 17) & 0x1) == 0)
11905 {
11906 /* 33222222222211111111110000000000
11907 10987654321098765432109876543210
11908 010001x1001xxx0x1110x1xxxxxxxxxx
11909 aesimc. */
11910 return 2326;
11911 }
11912 else
11913 {
11914 /* 33222222222211111111110000000000
11915 10987654321098765432109876543210
11916 010001x1001xxx1x1110x1xxxxxxxxxx
11917 aesd. */
11918 return 2324;
11919 }
11920 }
11921 else
11922 {
11923 /* 33222222222211111111110000000000
11924 10987654321098765432109876543210
11925 010001x1001xxxxx1111x1xxxxxxxxxx
11926 rax1. */
11927 return 2330;
11928 }
11929 }
11930 }
11931 else
11932 {
11933 /* 33222222222211111111110000000000
11934 10987654321098765432109876543210
11935 110001x1001xxxxx111xxxxxxxxxxxxx
11936 ldff1w. */
11937 return 1698;
11938 }
c0890d26
RS
11939 }
11940 else
11941 {
11942 /* 33222222222211111111110000000000
11943 10987654321098765432109876543210
7684e580 11944 x10001x1101xxxxx111xxxxxxxxxxxxx
c0890d26 11945 ldff1d. */
b83b4b13 11946 return 1642;
c0890d26
RS
11947 }
11948 }
11949 else
11950 {
11951 if (((word >> 23) & 0x1) == 0)
11952 {
11953 /* 33222222222211111111110000000000
11954 10987654321098765432109876543210
7684e580 11955 x10001x1011xxxxx111xxxxxxxxxxxxx
c0890d26 11956 ldff1w. */
b83b4b13 11957 return 1696;
c0890d26
RS
11958 }
11959 else
11960 {
11961 /* 33222222222211111111110000000000
11962 10987654321098765432109876543210
7684e580 11963 x10001x1111xxxxx111xxxxxxxxxxxxx
c0890d26 11964 ldff1d. */
b83b4b13 11965 return 1641;
c0890d26
RS
11966 }
11967 }
11968 }
11969 }
11970 }
11971 }
11972 }
11973 }
11974 else
11975 {
11976 if (((word >> 15) & 0x1) == 0)
11977 {
11978 if (((word >> 14) & 0x1) == 0)
11979 {
11980 if (((word >> 13) & 0x1) == 0)
11981 {
11982 if (((word >> 30) & 0x1) == 0)
11983 {
582e12bf 11984 if (((word >> 21) & 0x1) == 0)
c0890d26 11985 {
582e12bf 11986 if (((word >> 31) & 0x1) == 0)
c0890d26 11987 {
582e12bf
RS
11988 if (((word >> 4) & 0x1) == 0)
11989 {
11990 /* 33222222222211111111110000000000
11991 10987654321098765432109876543210
7684e580 11992 001001x1xx0xxxxx000xxxxxxxx0xxxx
582e12bf 11993 cmpge. */
b83b4b13 11994 return 1321;
582e12bf
RS
11995 }
11996 else
11997 {
11998 /* 33222222222211111111110000000000
11999 10987654321098765432109876543210
7684e580 12000 001001x1xx0xxxxx000xxxxxxxx1xxxx
582e12bf 12001 cmpgt. */
b83b4b13 12002 return 1324;
582e12bf 12003 }
c0890d26
RS
12004 }
12005 else
582e12bf
RS
12006 {
12007 if (((word >> 23) & 0x1) == 0)
12008 {
12009 /* 33222222222211111111110000000000
12010 10987654321098765432109876543210
7684e580 12011 101001x10x0xxxxx000xxxxxxxxxxxxx
582e12bf 12012 ld1rqw. */
b83b4b13 12013 return 1548;
582e12bf
RS
12014 }
12015 else
12016 {
12017 /* 33222222222211111111110000000000
12018 10987654321098765432109876543210
7684e580 12019 101001x11x0xxxxx000xxxxxxxxxxxxx
582e12bf 12020 ld1rqd. */
b83b4b13 12021 return 1544;
582e12bf
RS
12022 }
12023 }
12024 }
12025 else
12026 {
12027 if (((word >> 4) & 0x1) == 0)
c0890d26 12028 {
42e6288f 12029 if (((word >> 10) & 0x1) == 0)
c0890d26 12030 {
42e6288f 12031 if (((word >> 11) & 0x1) == 0)
c0890d26 12032 {
42e6288f
MM
12033 if (((word >> 12) & 0x1) == 0)
12034 {
12035 /* 33222222222211111111110000000000
12036 10987654321098765432109876543210
12037 x01001x1xx1xxxxx000000xxxxx0xxxx
12038 whilege. */
12039 return 2311;
12040 }
12041 else
12042 {
12043 /* 33222222222211111111110000000000
12044 10987654321098765432109876543210
12045 x01001x1xx1xxxxx000100xxxxx0xxxx
12046 whilege. */
12047 return 2312;
12048 }
c0890d26
RS
12049 }
12050 else
12051 {
42e6288f
MM
12052 if (((word >> 12) & 0x1) == 0)
12053 {
12054 /* 33222222222211111111110000000000
12055 10987654321098765432109876543210
12056 x01001x1xx1xxxxx000010xxxxx0xxxx
12057 whilehs. */
12058 return 2317;
12059 }
12060 else
12061 {
12062 /* 33222222222211111111110000000000
12063 10987654321098765432109876543210
12064 x01001x1xx1xxxxx000110xxxxx0xxxx
12065 whilehs. */
12066 return 2318;
12067 }
c0890d26
RS
12068 }
12069 }
12070 else
12071 {
42e6288f 12072 if (((word >> 11) & 0x1) == 0)
c0890d26 12073 {
42e6288f
MM
12074 if (((word >> 12) & 0x1) == 0)
12075 {
12076 /* 33222222222211111111110000000000
12077 10987654321098765432109876543210
12078 x01001x1xx1xxxxx000001xxxxx0xxxx
12079 whilelt. */
12080 return 2025;
12081 }
12082 else
12083 {
12084 /* 33222222222211111111110000000000
12085 10987654321098765432109876543210
12086 x01001x1xx1xxxxx000101xxxxx0xxxx
12087 whilelt. */
12088 return 2026;
12089 }
c0890d26
RS
12090 }
12091 else
12092 {
42e6288f
MM
12093 if (((word >> 12) & 0x1) == 0)
12094 {
12095 /* 33222222222211111111110000000000
12096 10987654321098765432109876543210
12097 x01001x1xx1xxxxx000011xxxxx0xxxx
12098 whilelo. */
12099 return 2021;
12100 }
12101 else
12102 {
12103 /* 33222222222211111111110000000000
12104 10987654321098765432109876543210
12105 x01001x1xx1xxxxx000111xxxxx0xxxx
12106 whilelo. */
12107 return 2022;
12108 }
c0890d26
RS
12109 }
12110 }
12111 }
c0890d26
RS
12112 else
12113 {
42e6288f 12114 if (((word >> 10) & 0x1) == 0)
c0890d26 12115 {
42e6288f 12116 if (((word >> 11) & 0x1) == 0)
c0890d26 12117 {
42e6288f
MM
12118 if (((word >> 12) & 0x1) == 0)
12119 {
12120 /* 33222222222211111111110000000000
12121 10987654321098765432109876543210
12122 x01001x1xx1xxxxx000000xxxxx1xxxx
12123 whilegt. */
12124 return 2313;
12125 }
12126 else
12127 {
12128 /* 33222222222211111111110000000000
12129 10987654321098765432109876543210
12130 x01001x1xx1xxxxx000100xxxxx1xxxx
12131 whilegt. */
12132 return 2314;
12133 }
c0890d26
RS
12134 }
12135 else
12136 {
42e6288f
MM
12137 if (((word >> 12) & 0x1) == 0)
12138 {
12139 /* 33222222222211111111110000000000
12140 10987654321098765432109876543210
12141 x01001x1xx1xxxxx000010xxxxx1xxxx
12142 whilehi. */
12143 return 2315;
12144 }
12145 else
12146 {
12147 /* 33222222222211111111110000000000
12148 10987654321098765432109876543210
12149 x01001x1xx1xxxxx000110xxxxx1xxxx
12150 whilehi. */
12151 return 2316;
12152 }
c0890d26
RS
12153 }
12154 }
12155 else
12156 {
42e6288f 12157 if (((word >> 11) & 0x1) == 0)
c0890d26 12158 {
42e6288f
MM
12159 if (((word >> 12) & 0x1) == 0)
12160 {
12161 /* 33222222222211111111110000000000
12162 10987654321098765432109876543210
12163 x01001x1xx1xxxxx000001xxxxx1xxxx
12164 whilele. */
12165 return 2019;
12166 }
12167 else
12168 {
12169 /* 33222222222211111111110000000000
12170 10987654321098765432109876543210
12171 x01001x1xx1xxxxx000101xxxxx1xxxx
12172 whilele. */
12173 return 2020;
12174 }
c0890d26
RS
12175 }
12176 else
12177 {
42e6288f
MM
12178 if (((word >> 12) & 0x1) == 0)
12179 {
12180 /* 33222222222211111111110000000000
12181 10987654321098765432109876543210
12182 x01001x1xx1xxxxx000011xxxxx1xxxx
12183 whilels. */
12184 return 2023;
12185 }
12186 else
12187 {
12188 /* 33222222222211111111110000000000
12189 10987654321098765432109876543210
12190 x01001x1xx1xxxxx000111xxxxx1xxxx
12191 whilels. */
12192 return 2024;
12193 }
c0890d26
RS
12194 }
12195 }
12196 }
12197 }
12198 }
12199 else
12200 {
12201 if (((word >> 31) & 0x1) == 0)
12202 {
12203 if (((word >> 21) & 0x1) == 0)
12204 {
12205 if (((word >> 10) & 0x1) == 0)
12206 {
12207 if (((word >> 11) & 0x1) == 0)
12208 {
12209 /* 33222222222211111111110000000000
12210 10987654321098765432109876543210
7684e580 12211 011001x1xx0xxxxx000x00xxxxxxxxxx
c0890d26 12212 fadd. */
b83b4b13 12213 return 1379;
c0890d26
RS
12214 }
12215 else
12216 {
12217 if (((word >> 12) & 0x1) == 0)
12218 {
12219 /* 33222222222211111111110000000000
12220 10987654321098765432109876543210
7684e580 12221 011001x1xx0xxxxx000010xxxxxxxxxx
c0890d26 12222 fmul. */
b83b4b13 12223 return 1446;
c0890d26
RS
12224 }
12225 else
12226 {
12227 /* 33222222222211111111110000000000
12228 10987654321098765432109876543210
7684e580 12229 011001x1xx0xxxxx000110xxxxxxxxxx
c0890d26 12230 frecps. */
b83b4b13 12231 return 1459;
c0890d26
RS
12232 }
12233 }
12234 }
12235 else
12236 {
12237 if (((word >> 11) & 0x1) == 0)
12238 {
12239 /* 33222222222211111111110000000000
12240 10987654321098765432109876543210
7684e580 12241 011001x1xx0xxxxx000x01xxxxxxxxxx
c0890d26 12242 fsub. */
b83b4b13 12243 return 1472;
c0890d26
RS
12244 }
12245 else
12246 {
12247 if (((word >> 12) & 0x1) == 0)
12248 {
12249 /* 33222222222211111111110000000000
12250 10987654321098765432109876543210
7684e580 12251 011001x1xx0xxxxx000011xxxxxxxxxx
c0890d26 12252 ftsmul. */
b83b4b13 12253 return 1478;
c0890d26
RS
12254 }
12255 else
12256 {
12257 /* 33222222222211111111110000000000
12258 10987654321098765432109876543210
7684e580 12259 011001x1xx0xxxxx000111xxxxxxxxxx
c0890d26 12260 frsqrts. */
b83b4b13 12261 return 1469;
c0890d26
RS
12262 }
12263 }
12264 }
12265 }
12266 else
12267 {
12268 /* 33222222222211111111110000000000
12269 10987654321098765432109876543210
7684e580 12270 011001x1xx1xxxxx000xxxxxxxxxxxxx
c0890d26 12271 fmla. */
b83b4b13 12272 return 1437;
c0890d26
RS
12273 }
12274 }
12275 else
12276 {
12277 /* 33222222222211111111110000000000
12278 10987654321098765432109876543210
7684e580 12279 111001x1xxxxxxxx000xxxxxxxxxxxxx
c0890d26 12280 str. */
b83b4b13 12281 return 1940;
c0890d26
RS
12282 }
12283 }
12284 }
12285 else
12286 {
12287 if (((word >> 21) & 0x1) == 0)
12288 {
12289 if (((word >> 30) & 0x1) == 0)
12290 {
582e12bf 12291 if (((word >> 31) & 0x1) == 0)
c0890d26 12292 {
582e12bf
RS
12293 if (((word >> 4) & 0x1) == 0)
12294 {
12295 /* 33222222222211111111110000000000
12296 10987654321098765432109876543210
7684e580 12297 001001x1xx0xxxxx001xxxxxxxx0xxxx
582e12bf 12298 cmplt. */
b83b4b13 12299 return 1338;
582e12bf
RS
12300 }
12301 else
12302 {
12303 /* 33222222222211111111110000000000
12304 10987654321098765432109876543210
7684e580 12305 001001x1xx0xxxxx001xxxxxxxx1xxxx
582e12bf 12306 cmple. */
b83b4b13 12307 return 1332;
582e12bf 12308 }
c0890d26
RS
12309 }
12310 else
12311 {
582e12bf
RS
12312 if (((word >> 23) & 0x1) == 0)
12313 {
12314 /* 33222222222211111111110000000000
12315 10987654321098765432109876543210
7684e580 12316 101001x10x0xxxxx001xxxxxxxxxxxxx
582e12bf 12317 ld1rqw. */
b83b4b13 12318 return 1547;
582e12bf
RS
12319 }
12320 else
12321 {
12322 /* 33222222222211111111110000000000
12323 10987654321098765432109876543210
7684e580 12324 101001x11x0xxxxx001xxxxxxxxxxxxx
582e12bf 12325 ld1rqd. */
b83b4b13 12326 return 1543;
582e12bf 12327 }
c0890d26
RS
12328 }
12329 }
12330 else
12331 {
42e6288f 12332 if (((word >> 31) & 0x1) == 0)
c0890d26 12333 {
42e6288f 12334 if (((word >> 16) & 0x1) == 0)
c0890d26 12335 {
42e6288f 12336 if (((word >> 17) & 0x1) == 0)
c0890d26 12337 {
42e6288f 12338 if (((word >> 18) & 0x1) == 0)
c0890d26 12339 {
42e6288f 12340 if (((word >> 19) & 0x1) == 0)
c0890d26 12341 {
42e6288f 12342 if (((word >> 20) & 0x1) == 0)
c0890d26
RS
12343 {
12344 /* 33222222222211111111110000000000
12345 10987654321098765432109876543210
42e6288f
MM
12346 011001x1xx000000001xxxxxxxxxxxxx
12347 faddv. */
12348 return 1383;
c0890d26
RS
12349 }
12350 else
12351 {
42e6288f
MM
12352 if (((word >> 4) & 0x1) == 0)
12353 {
12354 /* 33222222222211111111110000000000
12355 10987654321098765432109876543210
12356 011001x1xx010000001xxxxxxxx0xxxx
12357 fcmge. */
12358 return 1390;
12359 }
12360 else
12361 {
12362 /* 33222222222211111111110000000000
12363 10987654321098765432109876543210
12364 011001x1xx010000001xxxxxxxx1xxxx
12365 fcmgt. */
12366 return 1392;
12367 }
c0890d26
RS
12368 }
12369 }
42e6288f
MM
12370 else
12371 {
12372 /* 33222222222211111111110000000000
12373 10987654321098765432109876543210
12374 011001x1xx0x1000001xxxxxxxxxxxxx
12375 fadda. */
12376 return 1382;
12377 }
c0890d26
RS
12378 }
12379 else
12380 {
12381 /* 33222222222211111111110000000000
12382 10987654321098765432109876543210
42e6288f
MM
12383 011001x1xx0xx100001xxxxxxxxxxxxx
12384 fmaxnmv. */
12385 return 1429;
c0890d26
RS
12386 }
12387 }
12388 else
12389 {
42e6288f 12390 if (((word >> 18) & 0x1) == 0)
c0890d26
RS
12391 {
12392 /* 33222222222211111111110000000000
12393 10987654321098765432109876543210
42e6288f
MM
12394 011001x1xx0xx010001xxxxxxxxxxxxx
12395 fcmeq. */
12396 return 1388;
c0890d26
RS
12397 }
12398 else
12399 {
42e6288f
MM
12400 if (((word >> 19) & 0x1) == 0)
12401 {
12402 /* 33222222222211111111110000000000
12403 10987654321098765432109876543210
12404 011001x1xx0x0110001xxxxxxxxxxxxx
12405 fmaxv. */
12406 return 1430;
12407 }
12408 else
12409 {
12410 /* 33222222222211111111110000000000
12411 10987654321098765432109876543210
12412 011001x1xx0x1110001xxxxxxxxxxxxx
12413 frecpe. */
12414 return 1458;
12415 }
c0890d26
RS
12416 }
12417 }
12418 }
42e6288f 12419 else
c0890d26 12420 {
42e6288f 12421 if (((word >> 17) & 0x1) == 0)
c0890d26 12422 {
42e6288f 12423 if (((word >> 18) & 0x1) == 0)
c0890d26 12424 {
42e6288f
MM
12425 if (((word >> 4) & 0x1) == 0)
12426 {
12427 /* 33222222222211111111110000000000
12428 10987654321098765432109876543210
12429 011001x1xx0xx001001xxxxxxxx0xxxx
12430 fcmlt. */
12431 return 1395;
12432 }
12433 else
12434 {
12435 /* 33222222222211111111110000000000
12436 10987654321098765432109876543210
12437 011001x1xx0xx001001xxxxxxxx1xxxx
12438 fcmle. */
12439 return 1394;
12440 }
c0890d26
RS
12441 }
12442 else
12443 {
12444 /* 33222222222211111111110000000000
12445 10987654321098765432109876543210
42e6288f
MM
12446 011001x1xx0xx101001xxxxxxxxxxxxx
12447 fminnmv. */
12448 return 1435;
c0890d26
RS
12449 }
12450 }
12451 else
12452 {
42e6288f
MM
12453 if (((word >> 18) & 0x1) == 0)
12454 {
12455 /* 33222222222211111111110000000000
12456 10987654321098765432109876543210
12457 011001x1xx0xx011001xxxxxxxxxxxxx
12458 fcmne. */
12459 return 1396;
12460 }
12461 else
12462 {
12463 if (((word >> 19) & 0x1) == 0)
12464 {
12465 /* 33222222222211111111110000000000
12466 10987654321098765432109876543210
12467 011001x1xx0x0111001xxxxxxxxxxxxx
12468 fminv. */
12469 return 1436;
12470 }
12471 else
12472 {
12473 /* 33222222222211111111110000000000
12474 10987654321098765432109876543210
12475 011001x1xx0x1111001xxxxxxxxxxxxx
12476 frsqrte. */
12477 return 1468;
12478 }
12479 }
c0890d26
RS
12480 }
12481 }
42e6288f
MM
12482 }
12483 else
12484 {
12485 if (((word >> 22) & 0x1) == 0)
c0890d26 12486 {
42e6288f 12487 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
12488 {
12489 /* 33222222222211111111110000000000
12490 10987654321098765432109876543210
42e6288f
MM
12491 111001x1000xxxxx001xxxxxxxxxxxxx
12492 stnt1w. */
12493 return 2242;
c0890d26
RS
12494 }
12495 else
12496 {
42e6288f
MM
12497 /* 33222222222211111111110000000000
12498 10987654321098765432109876543210
12499 111001x1100xxxxx001xxxxxxxxxxxxx
12500 stnt1d. */
12501 return 2238;
c0890d26
RS
12502 }
12503 }
42e6288f
MM
12504 else
12505 {
12506 /* 33222222222211111111110000000000
12507 10987654321098765432109876543210
12508 111001x1x10xxxxx001xxxxxxxxxxxxx
12509 stnt1w. */
12510 return 2241;
12511 }
c0890d26
RS
12512 }
12513 }
12514 }
12515 else
12516 {
12517 if (((word >> 30) & 0x1) == 0)
12518 {
12519 if (((word >> 4) & 0x1) == 0)
12520 {
42e6288f
MM
12521 if (((word >> 12) & 0x1) == 0)
12522 {
12523 /* 33222222222211111111110000000000
12524 10987654321098765432109876543210
12525 x01001x1xx1xxxxx0010xxxxxxx0xxxx
12526 ctermeq. */
12527 return 1353;
12528 }
12529 else
12530 {
12531 /* 33222222222211111111110000000000
12532 10987654321098765432109876543210
12533 x01001x1xx1xxxxx0011xxxxxxx0xxxx
12534 whilewr. */
12535 return 2320;
12536 }
c0890d26
RS
12537 }
12538 else
12539 {
42e6288f
MM
12540 if (((word >> 12) & 0x1) == 0)
12541 {
12542 /* 33222222222211111111110000000000
12543 10987654321098765432109876543210
12544 x01001x1xx1xxxxx0010xxxxxxx1xxxx
12545 ctermne. */
12546 return 1354;
12547 }
12548 else
12549 {
12550 /* 33222222222211111111110000000000
12551 10987654321098765432109876543210
12552 x01001x1xx1xxxxx0011xxxxxxx1xxxx
12553 whilerw. */
12554 return 2319;
12555 }
c0890d26
RS
12556 }
12557 }
12558 else
12559 {
12560 /* 33222222222211111111110000000000
12561 10987654321098765432109876543210
7684e580 12562 x11001x1xx1xxxxx001xxxxxxxxxxxxx
c0890d26 12563 fmls. */
b83b4b13 12564 return 1441;
c0890d26
RS
12565 }
12566 }
12567 }
12568 }
12569 else
12570 {
582e12bf 12571 if (((word >> 30) & 0x1) == 0)
c0890d26
RS
12572 {
12573 if (((word >> 21) & 0x1) == 0)
12574 {
12575 if (((word >> 22) & 0x1) == 0)
12576 {
582e12bf 12577 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
12578 {
12579 if (((word >> 31) & 0x1) == 0)
12580 {
12581 if (((word >> 9) & 0x1) == 0)
12582 {
12583 if (((word >> 20) & 0x1) == 0)
12584 {
12585 if (((word >> 4) & 0x1) == 0)
12586 {
12587 /* 33222222222211111111110000000000
12588 10987654321098765432109876543210
7684e580 12589 001001x10000xxxx01xxxx0xxxx0xxxx
c0890d26 12590 and. */
b83b4b13 12591 return 1284;
c0890d26
RS
12592 }
12593 else
12594 {
12595 /* 33222222222211111111110000000000
12596 10987654321098765432109876543210
7684e580 12597 001001x10000xxxx01xxxx0xxxx1xxxx
c0890d26 12598 bic. */
b83b4b13 12599 return 1296;
c0890d26
RS
12600 }
12601 }
12602 else
12603 {
12604 if (((word >> 19) & 0x1) == 0)
12605 {
12606 /* 33222222222211111111110000000000
12607 10987654321098765432109876543210
7684e580 12608 001001x100010xxx01xxxx0xxxxxxxxx
c0890d26 12609 brka. */
b83b4b13 12610 return 1298;
c0890d26
RS
12611 }
12612 else
12613 {
12614 /* 33222222222211111111110000000000
12615 10987654321098765432109876543210
7684e580 12616 001001x100011xxx01xxxx0xxxxxxxxx
c0890d26 12617 brkn. */
b83b4b13 12618 return 1302;
c0890d26
RS
12619 }
12620 }
12621 }
12622 else
12623 {
12624 if (((word >> 4) & 0x1) == 0)
12625 {
12626 /* 33222222222211111111110000000000
12627 10987654321098765432109876543210
7684e580 12628 001001x1000xxxxx01xxxx1xxxx0xxxx
582e12bf 12629 eor. */
b83b4b13 12630 return 1371;
582e12bf
RS
12631 }
12632 else
12633 {
12634 /* 33222222222211111111110000000000
12635 10987654321098765432109876543210
7684e580 12636 001001x1000xxxxx01xxxx1xxxx1xxxx
582e12bf 12637 sel. */
b83b4b13 12638 return 1820;
582e12bf
RS
12639 }
12640 }
12641 }
12642 else
12643 {
12644 if (((word >> 13) & 0x1) == 0)
12645 {
12646 /* 33222222222211111111110000000000
12647 10987654321098765432109876543210
7684e580 12648 101001x1000xxxxx010xxxxxxxxxxxxx
582e12bf 12649 ld1sh. */
b83b4b13 12650 return 1570;
582e12bf
RS
12651 }
12652 else
12653 {
12654 /* 33222222222211111111110000000000
12655 10987654321098765432109876543210
7684e580 12656 101001x1000xxxxx011xxxxxxxxxxxxx
582e12bf 12657 ldff1sh. */
b83b4b13 12658 return 1670;
582e12bf
RS
12659 }
12660 }
12661 }
12662 else
12663 {
12664 if (((word >> 31) & 0x1) == 0)
12665 {
12666 if (((word >> 9) & 0x1) == 0)
12667 {
12668 if (((word >> 20) & 0x1) == 0)
12669 {
12670 if (((word >> 4) & 0x1) == 0)
12671 {
12672 /* 33222222222211111111110000000000
12673 10987654321098765432109876543210
7684e580 12674 001001x11000xxxx01xxxx0xxxx0xxxx
582e12bf 12675 orr. */
b83b4b13 12676 return 1756;
582e12bf
RS
12677 }
12678 else
12679 {
12680 /* 33222222222211111111110000000000
12681 10987654321098765432109876543210
7684e580 12682 001001x11000xxxx01xxxx0xxxx1xxxx
582e12bf 12683 orn. */
b83b4b13 12684 return 1751;
582e12bf
RS
12685 }
12686 }
12687 else
12688 {
12689 /* 33222222222211111111110000000000
12690 10987654321098765432109876543210
7684e580 12691 001001x11001xxxx01xxxx0xxxxxxxxx
582e12bf 12692 brkb. */
b83b4b13 12693 return 1300;
582e12bf
RS
12694 }
12695 }
12696 else
12697 {
12698 if (((word >> 4) & 0x1) == 0)
12699 {
12700 /* 33222222222211111111110000000000
12701 10987654321098765432109876543210
7684e580 12702 001001x1100xxxxx01xxxx1xxxx0xxxx
582e12bf 12703 nor. */
b83b4b13 12704 return 1748;
c0890d26
RS
12705 }
12706 else
12707 {
12708 /* 33222222222211111111110000000000
12709 10987654321098765432109876543210
7684e580 12710 001001x1100xxxxx01xxxx1xxxx1xxxx
582e12bf 12711 nand. */
b83b4b13 12712 return 1745;
c0890d26
RS
12713 }
12714 }
12715 }
12716 else
12717 {
12718 if (((word >> 13) & 0x1) == 0)
12719 {
12720 /* 33222222222211111111110000000000
12721 10987654321098765432109876543210
7684e580 12722 101001x1100xxxxx010xxxxxxxxxxxxx
582e12bf 12723 ld1sb. */
b83b4b13 12724 return 1558;
c0890d26
RS
12725 }
12726 else
12727 {
12728 /* 33222222222211111111110000000000
12729 10987654321098765432109876543210
7684e580 12730 101001x1100xxxxx011xxxxxxxxxxxxx
582e12bf 12731 ldff1sb. */
b83b4b13 12732 return 1658;
c0890d26
RS
12733 }
12734 }
12735 }
c0890d26
RS
12736 }
12737 else
12738 {
582e12bf 12739 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
12740 {
12741 if (((word >> 31) & 0x1) == 0)
12742 {
12743 if (((word >> 4) & 0x1) == 0)
12744 {
12745 if (((word >> 9) & 0x1) == 0)
12746 {
12747 if (((word >> 20) & 0x1) == 0)
12748 {
12749 /* 33222222222211111111110000000000
12750 10987654321098765432109876543210
7684e580 12751 001001x10100xxxx01xxxx0xxxx0xxxx
c0890d26 12752 ands. */
b83b4b13 12753 return 1285;
c0890d26
RS
12754 }
12755 else
12756 {
12757 if (((word >> 19) & 0x1) == 0)
12758 {
12759 /* 33222222222211111111110000000000
12760 10987654321098765432109876543210
7684e580 12761 001001x101010xxx01xxxx0xxxx0xxxx
c0890d26 12762 brkas. */
b83b4b13 12763 return 1299;
c0890d26
RS
12764 }
12765 else
12766 {
12767 /* 33222222222211111111110000000000
12768 10987654321098765432109876543210
7684e580 12769 001001x101011xxx01xxxx0xxxx0xxxx
c0890d26 12770 brkns. */
b83b4b13 12771 return 1303;
c0890d26
RS
12772 }
12773 }
12774 }
12775 else
12776 {
12777 /* 33222222222211111111110000000000
12778 10987654321098765432109876543210
7684e580 12779 001001x1010xxxxx01xxxx1xxxx0xxxx
c0890d26 12780 eors. */
b83b4b13 12781 return 1372;
c0890d26
RS
12782 }
12783 }
12784 else
12785 {
12786 /* 33222222222211111111110000000000
12787 10987654321098765432109876543210
7684e580 12788 001001x1010xxxxx01xxxxxxxxx1xxxx
c0890d26 12789 bics. */
b83b4b13 12790 return 1297;
c0890d26
RS
12791 }
12792 }
12793 else
12794 {
12795 if (((word >> 13) & 0x1) == 0)
12796 {
12797 /* 33222222222211111111110000000000
12798 10987654321098765432109876543210
7684e580 12799 101001x1010xxxxx010xxxxxxxxxxxxx
c0890d26 12800 ld1w. */
b83b4b13 12801 return 1589;
c0890d26
RS
12802 }
12803 else
12804 {
12805 /* 33222222222211111111110000000000
12806 10987654321098765432109876543210
7684e580 12807 101001x1010xxxxx011xxxxxxxxxxxxx
c0890d26 12808 ldff1w. */
b83b4b13 12809 return 1689;
c0890d26
RS
12810 }
12811 }
12812 }
12813 else
12814 {
12815 if (((word >> 31) & 0x1) == 0)
12816 {
12817 if (((word >> 4) & 0x1) == 0)
12818 {
12819 if (((word >> 9) & 0x1) == 0)
12820 {
12821 if (((word >> 20) & 0x1) == 0)
12822 {
12823 /* 33222222222211111111110000000000
12824 10987654321098765432109876543210
7684e580 12825 001001x11100xxxx01xxxx0xxxx0xxxx
c0890d26 12826 orrs. */
b83b4b13 12827 return 1757;
c0890d26
RS
12828 }
12829 else
12830 {
12831 /* 33222222222211111111110000000000
12832 10987654321098765432109876543210
7684e580 12833 001001x11101xxxx01xxxx0xxxx0xxxx
c0890d26 12834 brkbs. */
b83b4b13 12835 return 1301;
c0890d26
RS
12836 }
12837 }
12838 else
12839 {
12840 /* 33222222222211111111110000000000
12841 10987654321098765432109876543210
7684e580 12842 001001x1110xxxxx01xxxx1xxxx0xxxx
c0890d26 12843 nors. */
b83b4b13 12844 return 1749;
c0890d26
RS
12845 }
12846 }
12847 else
12848 {
12849 if (((word >> 9) & 0x1) == 0)
12850 {
12851 /* 33222222222211111111110000000000
12852 10987654321098765432109876543210
7684e580 12853 001001x1110xxxxx01xxxx0xxxx1xxxx
c0890d26 12854 orns. */
b83b4b13 12855 return 1752;
c0890d26
RS
12856 }
12857 else
12858 {
12859 /* 33222222222211111111110000000000
12860 10987654321098765432109876543210
7684e580 12861 001001x1110xxxxx01xxxx1xxxx1xxxx
c0890d26 12862 nands. */
b83b4b13 12863 return 1746;
c0890d26
RS
12864 }
12865 }
12866 }
12867 else
12868 {
12869 if (((word >> 13) & 0x1) == 0)
12870 {
12871 /* 33222222222211111111110000000000
12872 10987654321098765432109876543210
7684e580 12873 101001x1110xxxxx010xxxxxxxxxxxxx
c0890d26 12874 ld1sb. */
b83b4b13 12875 return 1560;
c0890d26
RS
12876 }
12877 else
12878 {
12879 /* 33222222222211111111110000000000
12880 10987654321098765432109876543210
7684e580 12881 101001x1110xxxxx011xxxxxxxxxxxxx
c0890d26 12882 ldff1sb. */
b83b4b13 12883 return 1662;
c0890d26
RS
12884 }
12885 }
12886 }
12887 }
582e12bf
RS
12888 }
12889 else
12890 {
12891 if (((word >> 13) & 0x1) == 0)
c0890d26 12892 {
582e12bf 12893 if (((word >> 22) & 0x1) == 0)
c0890d26 12894 {
582e12bf
RS
12895 if (((word >> 23) & 0x1) == 0)
12896 {
12897 /* 33222222222211111111110000000000
12898 10987654321098765432109876543210
7684e580 12899 x01001x1001xxxxx010xxxxxxxxxxxxx
582e12bf 12900 ld1sh. */
b83b4b13 12901 return 1571;
582e12bf
RS
12902 }
12903 else
c0890d26
RS
12904 {
12905 /* 33222222222211111111110000000000
12906 10987654321098765432109876543210
7684e580 12907 x01001x1101xxxxx010xxxxxxxxxxxxx
c0890d26 12908 ld1sb. */
b83b4b13 12909 return 1559;
582e12bf
RS
12910 }
12911 }
12912 else
12913 {
12914 if (((word >> 23) & 0x1) == 0)
12915 {
12916 /* 33222222222211111111110000000000
12917 10987654321098765432109876543210
7684e580 12918 x01001x1011xxxxx010xxxxxxxxxxxxx
582e12bf 12919 ld1w. */
b83b4b13 12920 return 1590;
582e12bf
RS
12921 }
12922 else
12923 {
12924 /* 33222222222211111111110000000000
12925 10987654321098765432109876543210
7684e580 12926 x01001x1111xxxxx010xxxxxxxxxxxxx
582e12bf 12927 ld1d. */
b83b4b13 12928 return 1512;
582e12bf
RS
12929 }
12930 }
12931 }
12932 else
12933 {
12934 if (((word >> 22) & 0x1) == 0)
12935 {
12936 if (((word >> 23) & 0x1) == 0)
12937 {
12938 /* 33222222222211111111110000000000
12939 10987654321098765432109876543210
7684e580 12940 x01001x1001xxxxx011xxxxxxxxxxxxx
582e12bf 12941 ldff1sh. */
b83b4b13 12942 return 1672;
582e12bf
RS
12943 }
12944 else
12945 {
12946 /* 33222222222211111111110000000000
12947 10987654321098765432109876543210
7684e580 12948 x01001x1101xxxxx011xxxxxxxxxxxxx
582e12bf 12949 ldff1sb. */
b83b4b13 12950 return 1660;
582e12bf
RS
12951 }
12952 }
12953 else
12954 {
12955 if (((word >> 23) & 0x1) == 0)
12956 {
12957 /* 33222222222211111111110000000000
12958 10987654321098765432109876543210
7684e580 12959 x01001x1011xxxxx011xxxxxxxxxxxxx
582e12bf 12960 ldff1w. */
b83b4b13 12961 return 1691;
c0890d26
RS
12962 }
12963 else
12964 {
12965 /* 33222222222211111111110000000000
12966 10987654321098765432109876543210
7684e580 12967 x01001x1111xxxxx011xxxxxxxxxxxxx
582e12bf 12968 ldff1d. */
b83b4b13 12969 return 1636;
c0890d26
RS
12970 }
12971 }
582e12bf
RS
12972 }
12973 }
12974 }
12975 else
12976 {
12977 if (((word >> 13) & 0x1) == 0)
12978 {
12979 if (((word >> 31) & 0x1) == 0)
12980 {
12981 if (((word >> 21) & 0x1) == 0)
c0890d26 12982 {
582e12bf 12983 if (((word >> 4) & 0x1) == 0)
c0890d26
RS
12984 {
12985 /* 33222222222211111111110000000000
12986 10987654321098765432109876543210
7684e580 12987 011001x1xx0xxxxx010xxxxxxxx0xxxx
582e12bf 12988 fcmge. */
b83b4b13 12989 return 1391;
c0890d26
RS
12990 }
12991 else
12992 {
12993 /* 33222222222211111111110000000000
12994 10987654321098765432109876543210
7684e580 12995 011001x1xx0xxxxx010xxxxxxxx1xxxx
582e12bf 12996 fcmgt. */
b83b4b13 12997 return 1393;
c0890d26
RS
12998 }
12999 }
582e12bf
RS
13000 else
13001 {
13002 /* 33222222222211111111110000000000
13003 10987654321098765432109876543210
7684e580 13004 011001x1xx1xxxxx010xxxxxxxxxxxxx
582e12bf 13005 fnmla. */
b83b4b13 13006 return 1455;
582e12bf 13007 }
c0890d26 13008 }
582e12bf 13009 else
c0890d26 13010 {
582e12bf
RS
13011 if (((word >> 22) & 0x1) == 0)
13012 {
13013 /* 33222222222211111111110000000000
13014 10987654321098765432109876543210
7684e580 13015 111001x1x0xxxxxx010xxxxxxxxxxxxx
582e12bf 13016 str. */
b83b4b13 13017 return 1941;
582e12bf
RS
13018 }
13019 else
c0890d26
RS
13020 {
13021 if (((word >> 21) & 0x1) == 0)
13022 {
582e12bf
RS
13023 /* 33222222222211111111110000000000
13024 10987654321098765432109876543210
7684e580 13025 111001x1x10xxxxx010xxxxxxxxxxxxx
582e12bf 13026 st1w. */
b83b4b13 13027 return 1900;
582e12bf
RS
13028 }
13029 else
13030 {
13031 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
13032 {
13033 /* 33222222222211111111110000000000
13034 10987654321098765432109876543210
7684e580 13035 111001x1011xxxxx010xxxxxxxxxxxxx
582e12bf 13036 st1w. */
b83b4b13 13037 return 1902;
c0890d26
RS
13038 }
13039 else
13040 {
13041 /* 33222222222211111111110000000000
13042 10987654321098765432109876543210
7684e580 13043 111001x1111xxxxx010xxxxxxxxxxxxx
582e12bf 13044 st1d. */
b83b4b13 13045 return 1879;
c0890d26
RS
13046 }
13047 }
c0890d26 13048 }
582e12bf
RS
13049 }
13050 }
13051 else
13052 {
13053 if (((word >> 21) & 0x1) == 0)
13054 {
13055 if (((word >> 31) & 0x1) == 0)
c0890d26 13056 {
582e12bf 13057 if (((word >> 4) & 0x1) == 0)
c0890d26
RS
13058 {
13059 /* 33222222222211111111110000000000
13060 10987654321098765432109876543210
7684e580 13061 011001x1xx0xxxxx011xxxxxxxx0xxxx
582e12bf 13062 fcmeq. */
b83b4b13 13063 return 1389;
c0890d26
RS
13064 }
13065 else
13066 {
13067 /* 33222222222211111111110000000000
13068 10987654321098765432109876543210
7684e580 13069 011001x1xx0xxxxx011xxxxxxxx1xxxx
582e12bf 13070 fcmne. */
b83b4b13 13071 return 1397;
c0890d26
RS
13072 }
13073 }
582e12bf 13074 else
c0890d26 13075 {
582e12bf 13076 if (((word >> 22) & 0x1) == 0)
c0890d26 13077 {
582e12bf 13078 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
13079 {
13080 /* 33222222222211111111110000000000
13081 10987654321098765432109876543210
7684e580 13082 111001x1000xxxxx011xxxxxxxxxxxxx
582e12bf 13083 stnt1w. */
b83b4b13 13084 return 1938;
c0890d26
RS
13085 }
13086 else
13087 {
13088 /* 33222222222211111111110000000000
13089 10987654321098765432109876543210
7684e580 13090 111001x1100xxxxx011xxxxxxxxxxxxx
582e12bf 13091 stnt1d. */
b83b4b13 13092 return 1934;
c0890d26
RS
13093 }
13094 }
13095 else
13096 {
582e12bf 13097 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
13098 {
13099 /* 33222222222211111111110000000000
13100 10987654321098765432109876543210
7684e580 13101 111001x1010xxxxx011xxxxxxxxxxxxx
582e12bf 13102 st3w. */
b83b4b13 13103 return 1922;
c0890d26
RS
13104 }
13105 else
13106 {
13107 /* 33222222222211111111110000000000
13108 10987654321098765432109876543210
7684e580 13109 111001x1110xxxxx011xxxxxxxxxxxxx
c0890d26 13110 st3d. */
b83b4b13 13111 return 1918;
c0890d26
RS
13112 }
13113 }
13114 }
582e12bf
RS
13115 }
13116 else
13117 {
13118 if (((word >> 31) & 0x1) == 0)
13119 {
13120 /* 33222222222211111111110000000000
13121 10987654321098765432109876543210
7684e580 13122 011001x1xx1xxxxx011xxxxxxxxxxxxx
582e12bf 13123 fnmls. */
b83b4b13 13124 return 1456;
582e12bf 13125 }
c0890d26
RS
13126 else
13127 {
582e12bf 13128 if (((word >> 22) & 0x1) == 0)
c0890d26 13129 {
582e12bf
RS
13130 if (((word >> 23) & 0x1) == 0)
13131 {
13132 /* 33222222222211111111110000000000
13133 10987654321098765432109876543210
7684e580 13134 111001x1001xxxxx011xxxxxxxxxxxxx
582e12bf 13135 st2w. */
b83b4b13 13136 return 1914;
582e12bf
RS
13137 }
13138 else
13139 {
13140 /* 33222222222211111111110000000000
13141 10987654321098765432109876543210
7684e580 13142 111001x1101xxxxx011xxxxxxxxxxxxx
582e12bf 13143 st2d. */
b83b4b13 13144 return 1910;
582e12bf 13145 }
c0890d26
RS
13146 }
13147 else
13148 {
582e12bf 13149 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
13150 {
13151 /* 33222222222211111111110000000000
13152 10987654321098765432109876543210
7684e580 13153 111001x1011xxxxx011xxxxxxxxxxxxx
582e12bf 13154 st4w. */
b83b4b13 13155 return 1930;
c0890d26
RS
13156 }
13157 else
13158 {
13159 /* 33222222222211111111110000000000
13160 10987654321098765432109876543210
7684e580 13161 111001x1111xxxxx011xxxxxxxxxxxxx
c0890d26 13162 st4d. */
b83b4b13 13163 return 1926;
c0890d26
RS
13164 }
13165 }
13166 }
13167 }
13168 }
13169 }
13170 }
13171 }
13172 else
13173 {
13174 if (((word >> 21) & 0x1) == 0)
13175 {
13176 if (((word >> 30) & 0x1) == 0)
13177 {
13178 if (((word >> 14) & 0x1) == 0)
13179 {
13180 if (((word >> 13) & 0x1) == 0)
13181 {
13182 if (((word >> 4) & 0x1) == 0)
13183 {
13184 /* 33222222222211111111110000000000
13185 10987654321098765432109876543210
7684e580 13186 x01001x1xx0xxxxx100xxxxxxxx0xxxx
c0890d26 13187 cmpeq. */
b83b4b13 13188 return 1318;
c0890d26
RS
13189 }
13190 else
13191 {
13192 /* 33222222222211111111110000000000
13193 10987654321098765432109876543210
7684e580 13194 x01001x1xx0xxxxx100xxxxxxxx1xxxx
c0890d26 13195 cmpne. */
b83b4b13 13196 return 1341;
c0890d26
RS
13197 }
13198 }
13199 else
13200 {
13201 if (((word >> 20) & 0x1) == 0)
13202 {
13203 if (((word >> 22) & 0x1) == 0)
13204 {
13205 if (((word >> 23) & 0x1) == 0)
13206 {
13207 /* 33222222222211111111110000000000
13208 10987654321098765432109876543210
7684e580 13209 x01001x10000xxxx101xxxxxxxxxxxxx
c0890d26 13210 ld1sh. */
b83b4b13 13211 return 1577;
c0890d26
RS
13212 }
13213 else
13214 {
13215 /* 33222222222211111111110000000000
13216 10987654321098765432109876543210
7684e580 13217 x01001x11000xxxx101xxxxxxxxxxxxx
c0890d26 13218 ld1sb. */
b83b4b13 13219 return 1564;
c0890d26
RS
13220 }
13221 }
13222 else
13223 {
13224 if (((word >> 23) & 0x1) == 0)
13225 {
13226 /* 33222222222211111111110000000000
13227 10987654321098765432109876543210
7684e580 13228 x01001x10100xxxx101xxxxxxxxxxxxx
c0890d26 13229 ld1w. */
b83b4b13 13230 return 1596;
c0890d26
RS
13231 }
13232 else
13233 {
13234 /* 33222222222211111111110000000000
13235 10987654321098765432109876543210
7684e580 13236 x01001x11100xxxx101xxxxxxxxxxxxx
c0890d26 13237 ld1sb. */
b83b4b13 13238 return 1566;
c0890d26
RS
13239 }
13240 }
13241 }
13242 else
13243 {
13244 if (((word >> 22) & 0x1) == 0)
13245 {
13246 if (((word >> 23) & 0x1) == 0)
13247 {
13248 /* 33222222222211111111110000000000
13249 10987654321098765432109876543210
7684e580 13250 x01001x10001xxxx101xxxxxxxxxxxxx
c0890d26 13251 ldnf1sh. */
b83b4b13 13252 return 1710;
c0890d26
RS
13253 }
13254 else
13255 {
13256 /* 33222222222211111111110000000000
13257 10987654321098765432109876543210
7684e580 13258 x01001x11001xxxx101xxxxxxxxxxxxx
c0890d26 13259 ldnf1sb. */
b83b4b13 13260 return 1707;
c0890d26
RS
13261 }
13262 }
13263 else
13264 {
13265 if (((word >> 23) & 0x1) == 0)
13266 {
13267 /* 33222222222211111111110000000000
13268 10987654321098765432109876543210
7684e580 13269 x01001x10101xxxx101xxxxxxxxxxxxx
c0890d26 13270 ldnf1w. */
b83b4b13 13271 return 1713;
c0890d26
RS
13272 }
13273 else
13274 {
13275 /* 33222222222211111111110000000000
13276 10987654321098765432109876543210
7684e580 13277 x01001x11101xxxx101xxxxxxxxxxxxx
c0890d26 13278 ldnf1sb. */
b83b4b13 13279 return 1709;
c0890d26
RS
13280 }
13281 }
13282 }
13283 }
13284 }
13285 else
13286 {
13287 if (((word >> 31) & 0x1) == 0)
13288 {
13289 if (((word >> 4) & 0x1) == 0)
13290 {
13291 if (((word >> 20) & 0x1) == 0)
13292 {
13293 if (((word >> 22) & 0x1) == 0)
13294 {
13295 /* 33222222222211111111110000000000
13296 10987654321098765432109876543210
7684e580 13297 001001x1x000xxxx11xxxxxxxxx0xxxx
c0890d26 13298 brkpa. */
b83b4b13 13299 return 1304;
c0890d26
RS
13300 }
13301 else
13302 {
13303 /* 33222222222211111111110000000000
13304 10987654321098765432109876543210
7684e580 13305 001001x1x100xxxx11xxxxxxxxx0xxxx
c0890d26 13306 brkpas. */
b83b4b13 13307 return 1305;
c0890d26
RS
13308 }
13309 }
13310 else
13311 {
13312 if (((word >> 16) & 0x1) == 0)
13313 {
13314 if (((word >> 19) & 0x1) == 0)
13315 {
13316 /* 33222222222211111111110000000000
13317 10987654321098765432109876543210
7684e580 13318 001001x1xx010xx011xxxxxxxxx0xxxx
c0890d26 13319 ptest. */
b83b4b13 13320 return 1790;
c0890d26
RS
13321 }
13322 else
13323 {
13324 if (((word >> 10) & 0x1) == 0)
13325 {
13326 if (((word >> 12) & 0x1) == 0)
13327 {
13328 if (((word >> 13) & 0x1) == 0)
13329 {
13330 /* 33222222222211111111110000000000
13331 10987654321098765432109876543210
7684e580 13332 001001x1xx011xx01100x0xxxxx0xxxx
c0890d26 13333 pfirst. */
b83b4b13 13334 return 1760;
c0890d26
RS
13335 }
13336 else
13337 {
13338 /* 33222222222211111111110000000000
13339 10987654321098765432109876543210
7684e580 13340 001001x1xx011xx01110x0xxxxx0xxxx
c0890d26 13341 ptrue. */
b83b4b13 13342 return 1791;
c0890d26
RS
13343 }
13344 }
13345 else
13346 {
13347 if (((word >> 22) & 0x1) == 0)
13348 {
13349 /* 33222222222211111111110000000000
13350 10987654321098765432109876543210
7684e580 13351 001001x1x0011xx011x1x0xxxxx0xxxx
c0890d26 13352 rdffr. */
b83b4b13 13353 return 1797;
c0890d26
RS
13354 }
13355 else
13356 {
13357 /* 33222222222211111111110000000000
13358 10987654321098765432109876543210
7684e580 13359 001001x1x1011xx011x1x0xxxxx0xxxx
c0890d26 13360 rdffrs. */
b83b4b13 13361 return 1798;
c0890d26
RS
13362 }
13363 }
13364 }
13365 else
13366 {
13367 /* 33222222222211111111110000000000
13368 10987654321098765432109876543210
7684e580 13369 001001x1xx011xx011xxx1xxxxx0xxxx
c0890d26 13370 pfalse. */
b83b4b13 13371 return 1759;
c0890d26
RS
13372 }
13373 }
13374 }
13375 else
13376 {
13377 if (((word >> 10) & 0x1) == 0)
13378 {
13379 if (((word >> 12) & 0x1) == 0)
13380 {
13381 /* 33222222222211111111110000000000
13382 10987654321098765432109876543210
7684e580 13383 001001x1xx01xxx111x0x0xxxxx0xxxx
c0890d26 13384 ptrues. */
b83b4b13 13385 return 1792;
c0890d26
RS
13386 }
13387 else
13388 {
13389 /* 33222222222211111111110000000000
13390 10987654321098765432109876543210
7684e580 13391 001001x1xx01xxx111x1x0xxxxx0xxxx
c0890d26 13392 rdffr. */
b83b4b13 13393 return 1796;
c0890d26
RS
13394 }
13395 }
13396 else
13397 {
13398 /* 33222222222211111111110000000000
13399 10987654321098765432109876543210
7684e580 13400 001001x1xx01xxx111xxx1xxxxx0xxxx
c0890d26 13401 pnext. */
b83b4b13 13402 return 1761;
c0890d26
RS
13403 }
13404 }
13405 }
13406 }
13407 else
13408 {
13409 if (((word >> 22) & 0x1) == 0)
13410 {
13411 /* 33222222222211111111110000000000
13412 10987654321098765432109876543210
7684e580 13413 001001x1x00xxxxx11xxxxxxxxx1xxxx
c0890d26 13414 brkpb. */
b83b4b13 13415 return 1306;
c0890d26
RS
13416 }
13417 else
13418 {
13419 /* 33222222222211111111110000000000
13420 10987654321098765432109876543210
7684e580 13421 001001x1x10xxxxx11xxxxxxxxx1xxxx
c0890d26 13422 brkpbs. */
b83b4b13 13423 return 1307;
c0890d26
RS
13424 }
13425 }
13426 }
13427 else
13428 {
13429 if (((word >> 13) & 0x1) == 0)
13430 {
13431 if (((word >> 22) & 0x1) == 0)
13432 {
13433 if (((word >> 23) & 0x1) == 0)
13434 {
13435 /* 33222222222211111111110000000000
13436 10987654321098765432109876543210
7684e580 13437 101001x1000xxxxx110xxxxxxxxxxxxx
c0890d26 13438 ldnt1w. */
b83b4b13 13439 return 1721;
c0890d26
RS
13440 }
13441 else
13442 {
13443 /* 33222222222211111111110000000000
13444 10987654321098765432109876543210
7684e580 13445 101001x1100xxxxx110xxxxxxxxxxxxx
c0890d26 13446 ldnt1d. */
b83b4b13 13447 return 1717;
c0890d26
RS
13448 }
13449 }
13450 else
13451 {
13452 if (((word >> 23) & 0x1) == 0)
13453 {
13454 /* 33222222222211111111110000000000
13455 10987654321098765432109876543210
7684e580 13456 101001x1010xxxxx110xxxxxxxxxxxxx
c0890d26 13457 ld3w. */
b83b4b13 13458 return 1613;
c0890d26
RS
13459 }
13460 else
13461 {
13462 /* 33222222222211111111110000000000
13463 10987654321098765432109876543210
7684e580 13464 101001x1110xxxxx110xxxxxxxxxxxxx
c0890d26 13465 ld3d. */
b83b4b13 13466 return 1609;
c0890d26
RS
13467 }
13468 }
13469 }
13470 else
13471 {
13472 if (((word >> 22) & 0x1) == 0)
582e12bf
RS
13473 {
13474 if (((word >> 23) & 0x1) == 0)
13475 {
13476 /* 33222222222211111111110000000000
13477 10987654321098765432109876543210
7684e580 13478 101001x1000xxxxx111xxxxxxxxxxxxx
582e12bf 13479 ldnt1w. */
b83b4b13 13480 return 1722;
582e12bf
RS
13481 }
13482 else
13483 {
13484 /* 33222222222211111111110000000000
13485 10987654321098765432109876543210
7684e580 13486 101001x1100xxxxx111xxxxxxxxxxxxx
582e12bf 13487 ldnt1d. */
b83b4b13 13488 return 1718;
582e12bf
RS
13489 }
13490 }
13491 else
13492 {
13493 if (((word >> 23) & 0x1) == 0)
13494 {
13495 /* 33222222222211111111110000000000
13496 10987654321098765432109876543210
7684e580 13497 101001x1010xxxxx111xxxxxxxxxxxxx
582e12bf 13498 ld3w. */
b83b4b13 13499 return 1614;
c0890d26
RS
13500 }
13501 else
582e12bf
RS
13502 {
13503 /* 33222222222211111111110000000000
13504 10987654321098765432109876543210
7684e580 13505 101001x1110xxxxx111xxxxxxxxxxxxx
582e12bf 13506 ld3d. */
b83b4b13 13507 return 1610;
582e12bf
RS
13508 }
13509 }
13510 }
13511 }
13512 }
13513 }
13514 else
13515 {
13516 if (((word >> 13) & 0x1) == 0)
13517 {
13518 if (((word >> 31) & 0x1) == 0)
13519 {
13520 if (((word >> 14) & 0x1) == 0)
13521 {
13522 if (((word >> 19) & 0x1) == 0)
13523 {
13524 if (((word >> 20) & 0x1) == 0)
c0890d26
RS
13525 {
13526 if (((word >> 16) & 0x1) == 0)
13527 {
13528 if (((word >> 17) & 0x1) == 0)
13529 {
13530 if (((word >> 18) & 0x1) == 0)
13531 {
582e12bf
RS
13532 /* 33222222222211111111110000000000
13533 10987654321098765432109876543210
7684e580 13534 011001x1xx000000100xxxxxxxxxxxxx
582e12bf 13535 fadd. */
b83b4b13 13536 return 1380;
c0890d26
RS
13537 }
13538 else
13539 {
582e12bf
RS
13540 /* 33222222222211111111110000000000
13541 10987654321098765432109876543210
7684e580 13542 011001x1xx000100100xxxxxxxxxxxxx
582e12bf 13543 fmaxnm. */
b83b4b13 13544 return 1427;
c0890d26
RS
13545 }
13546 }
13547 else
13548 {
13549 if (((word >> 18) & 0x1) == 0)
13550 {
582e12bf
RS
13551 /* 33222222222211111111110000000000
13552 10987654321098765432109876543210
7684e580 13553 011001x1xx000010100xxxxxxxxxxxxx
582e12bf 13554 fmul. */
b83b4b13 13555 return 1447;
c0890d26
RS
13556 }
13557 else
13558 {
13559 /* 33222222222211111111110000000000
13560 10987654321098765432109876543210
7684e580 13561 011001x1xx000110100xxxxxxxxxxxxx
c0890d26 13562 fmax. */
b83b4b13 13563 return 1425;
c0890d26
RS
13564 }
13565 }
13566 }
13567 else
13568 {
13569 if (((word >> 17) & 0x1) == 0)
13570 {
13571 if (((word >> 18) & 0x1) == 0)
13572 {
582e12bf
RS
13573 /* 33222222222211111111110000000000
13574 10987654321098765432109876543210
7684e580 13575 011001x1xx000001100xxxxxxxxxxxxx
582e12bf 13576 fsub. */
b83b4b13 13577 return 1473;
c0890d26
RS
13578 }
13579 else
13580 {
582e12bf
RS
13581 /* 33222222222211111111110000000000
13582 10987654321098765432109876543210
7684e580 13583 011001x1xx000101100xxxxxxxxxxxxx
582e12bf 13584 fminnm. */
b83b4b13 13585 return 1433;
c0890d26
RS
13586 }
13587 }
13588 else
13589 {
13590 if (((word >> 18) & 0x1) == 0)
13591 {
13592 /* 33222222222211111111110000000000
13593 10987654321098765432109876543210
7684e580 13594 011001x1xx000011100xxxxxxxxxxxxx
c0890d26 13595 fsubr. */
b83b4b13 13596 return 1475;
c0890d26
RS
13597 }
13598 else
13599 {
13600 /* 33222222222211111111110000000000
13601 10987654321098765432109876543210
7684e580 13602 011001x1xx000111100xxxxxxxxxxxxx
c0890d26 13603 fmin. */
b83b4b13 13604 return 1431;
c0890d26
RS
13605 }
13606 }
13607 }
13608 }
582e12bf
RS
13609 else
13610 {
13611 /* 33222222222211111111110000000000
13612 10987654321098765432109876543210
7684e580 13613 011001x1xx010xxx100xxxxxxxxxxxxx
582e12bf 13614 ftmad. */
b83b4b13 13615 return 1477;
582e12bf 13616 }
c0890d26
RS
13617 }
13618 else
13619 {
582e12bf 13620 if (((word >> 16) & 0x1) == 0)
c0890d26 13621 {
582e12bf
RS
13622 if (((word >> 17) & 0x1) == 0)
13623 {
13624 if (((word >> 18) & 0x1) == 0)
13625 {
13626 if (((word >> 20) & 0x1) == 0)
13627 {
13628 /* 33222222222211111111110000000000
13629 10987654321098765432109876543210
7684e580 13630 011001x1xx001000100xxxxxxxxxxxxx
582e12bf 13631 fabd. */
b83b4b13 13632 return 1375;
582e12bf
RS
13633 }
13634 else
13635 {
13636 /* 33222222222211111111110000000000
13637 10987654321098765432109876543210
7684e580 13638 011001x1xx011000100xxxxxxxxxxxxx
582e12bf 13639 fadd. */
b83b4b13 13640 return 1381;
582e12bf
RS
13641 }
13642 }
13643 else
13644 {
13645 if (((word >> 20) & 0x1) == 0)
13646 {
13647 /* 33222222222211111111110000000000
13648 10987654321098765432109876543210
7684e580 13649 011001x1xx001100100xxxxxxxxxxxxx
582e12bf 13650 fdivr. */
b83b4b13 13651 return 1421;
582e12bf
RS
13652 }
13653 else
13654 {
13655 /* 33222222222211111111110000000000
13656 10987654321098765432109876543210
7684e580 13657 011001x1xx011100100xxxxxxxxxxxxx
582e12bf 13658 fmaxnm. */
b83b4b13 13659 return 1428;
582e12bf
RS
13660 }
13661 }
13662 }
13663 else
13664 {
13665 if (((word >> 18) & 0x1) == 0)
13666 {
13667 if (((word >> 20) & 0x1) == 0)
13668 {
13669 /* 33222222222211111111110000000000
13670 10987654321098765432109876543210
7684e580 13671 011001x1xx001010100xxxxxxxxxxxxx
582e12bf 13672 fmulx. */
b83b4b13 13673 return 1452;
582e12bf
RS
13674 }
13675 else
13676 {
13677 /* 33222222222211111111110000000000
13678 10987654321098765432109876543210
7684e580 13679 011001x1xx011010100xxxxxxxxxxxxx
582e12bf 13680 fmul. */
b83b4b13 13681 return 1448;
582e12bf
RS
13682 }
13683 }
13684 else
13685 {
13686 /* 33222222222211111111110000000000
13687 10987654321098765432109876543210
7684e580 13688 011001x1xx0x1110100xxxxxxxxxxxxx
582e12bf 13689 fmax. */
b83b4b13 13690 return 1426;
582e12bf
RS
13691 }
13692 }
c0890d26
RS
13693 }
13694 else
13695 {
582e12bf
RS
13696 if (((word >> 17) & 0x1) == 0)
13697 {
13698 if (((word >> 18) & 0x1) == 0)
13699 {
13700 if (((word >> 20) & 0x1) == 0)
13701 {
13702 /* 33222222222211111111110000000000
13703 10987654321098765432109876543210
7684e580 13704 011001x1xx001001100xxxxxxxxxxxxx
582e12bf 13705 fscale. */
b83b4b13 13706 return 1470;
582e12bf
RS
13707 }
13708 else
13709 {
13710 /* 33222222222211111111110000000000
13711 10987654321098765432109876543210
7684e580 13712 011001x1xx011001100xxxxxxxxxxxxx
582e12bf 13713 fsub. */
b83b4b13 13714 return 1474;
582e12bf
RS
13715 }
13716 }
13717 else
13718 {
13719 if (((word >> 20) & 0x1) == 0)
13720 {
13721 /* 33222222222211111111110000000000
13722 10987654321098765432109876543210
7684e580 13723 011001x1xx001101100xxxxxxxxxxxxx
582e12bf 13724 fdiv. */
b83b4b13 13725 return 1420;
582e12bf
RS
13726 }
13727 else
13728 {
13729 /* 33222222222211111111110000000000
13730 10987654321098765432109876543210
7684e580 13731 011001x1xx011101100xxxxxxxxxxxxx
582e12bf 13732 fminnm. */
b83b4b13 13733 return 1434;
582e12bf
RS
13734 }
13735 }
13736 }
13737 else
13738 {
13739 if (((word >> 18) & 0x1) == 0)
13740 {
13741 /* 33222222222211111111110000000000
13742 10987654321098765432109876543210
7684e580 13743 011001x1xx0x1011100xxxxxxxxxxxxx
582e12bf 13744 fsubr. */
b83b4b13 13745 return 1476;
582e12bf
RS
13746 }
13747 else
13748 {
13749 /* 33222222222211111111110000000000
13750 10987654321098765432109876543210
7684e580 13751 011001x1xx0x1111100xxxxxxxxxxxxx
582e12bf 13752 fmin. */
b83b4b13 13753 return 1432;
582e12bf
RS
13754 }
13755 }
c0890d26
RS
13756 }
13757 }
13758 }
13759 else
13760 {
582e12bf
RS
13761 if (((word >> 4) & 0x1) == 0)
13762 {
13763 /* 33222222222211111111110000000000
13764 10987654321098765432109876543210
7684e580 13765 011001x1xx0xxxxx110xxxxxxxx0xxxx
582e12bf 13766 fcmuo. */
b83b4b13 13767 return 1398;
582e12bf
RS
13768 }
13769 else
13770 {
13771 /* 33222222222211111111110000000000
13772 10987654321098765432109876543210
7684e580 13773 011001x1xx0xxxxx110xxxxxxxx1xxxx
582e12bf 13774 facge. */
b83b4b13 13775 return 1377;
582e12bf 13776 }
c0890d26
RS
13777 }
13778 }
582e12bf 13779 else
c0890d26 13780 {
582e12bf 13781 if (((word >> 22) & 0x1) == 0)
c0890d26 13782 {
582e12bf 13783 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
13784 {
13785 /* 33222222222211111111110000000000
13786 10987654321098765432109876543210
7684e580 13787 111001x1000xxxxx1x0xxxxxxxxxxxxx
c0890d26 13788 st1w. */
b83b4b13 13789 return 1896;
c0890d26
RS
13790 }
13791 else
13792 {
13793 /* 33222222222211111111110000000000
13794 10987654321098765432109876543210
7684e580 13795 111001x1100xxxxx1x0xxxxxxxxxxxxx
582e12bf 13796 st1d. */
b83b4b13 13797 return 1875;
c0890d26
RS
13798 }
13799 }
13800 else
13801 {
582e12bf
RS
13802 /* 33222222222211111111110000000000
13803 10987654321098765432109876543210
7684e580 13804 111001x1x10xxxxx1x0xxxxxxxxxxxxx
582e12bf 13805 st1w. */
b83b4b13 13806 return 1901;
582e12bf
RS
13807 }
13808 }
13809 }
13810 else
13811 {
13812 if (((word >> 14) & 0x1) == 0)
13813 {
13814 if (((word >> 31) & 0x1) == 0)
13815 {
13816 if (((word >> 16) & 0x1) == 0)
c0890d26 13817 {
42e6288f 13818 if (((word >> 19) & 0x1) == 0)
c0890d26 13819 {
42e6288f 13820 if (((word >> 17) & 0x1) == 0)
c0890d26 13821 {
42e6288f 13822 if (((word >> 18) & 0x1) == 0)
c0890d26 13823 {
582e12bf 13824 if (((word >> 20) & 0x1) == 0)
c0890d26 13825 {
582e12bf
RS
13826 /* 33222222222211111111110000000000
13827 10987654321098765432109876543210
7684e580 13828 011001x1xx000000101xxxxxxxxxxxxx
582e12bf 13829 frintn. */
b83b4b13 13830 return 1464;
582e12bf
RS
13831 }
13832 else
13833 {
13834 /* 33222222222211111111110000000000
13835 10987654321098765432109876543210
7684e580 13836 011001x1xx010000101xxxxxxxxxxxxx
582e12bf 13837 scvtf. */
b83b4b13 13838 return 1810;
582e12bf
RS
13839 }
13840 }
13841 else
c0890d26 13842 {
582e12bf 13843 if (((word >> 20) & 0x1) == 0)
c0890d26 13844 {
582e12bf
RS
13845 /* 33222222222211111111110000000000
13846 10987654321098765432109876543210
7684e580 13847 011001x1xx000100101xxxxxxxxxxxxx
582e12bf 13848 frinta. */
b83b4b13 13849 return 1461;
582e12bf
RS
13850 }
13851 else
13852 {
13853 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
13854 {
13855 /* 33222222222211111111110000000000
13856 10987654321098765432109876543210
7684e580 13857 011001x1x0010100101xxxxxxxxxxxxx
582e12bf 13858 scvtf. */
b83b4b13 13859 return 1809;
c0890d26
RS
13860 }
13861 else
13862 {
582e12bf 13863 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
13864 {
13865 /* 33222222222211111111110000000000
13866 10987654321098765432109876543210
7684e580 13867 011001x101010100101xxxxxxxxxxxxx
c0890d26 13868 scvtf. */
b83b4b13 13869 return 1808;
c0890d26
RS
13870 }
13871 else
13872 {
13873 /* 33222222222211111111110000000000
13874 10987654321098765432109876543210
7684e580 13875 011001x111010100101xxxxxxxxxxxxx
c0890d26 13876 scvtf. */
b83b4b13 13877 return 1812;
c0890d26
RS
13878 }
13879 }
13880 }
582e12bf 13881 }
42e6288f
MM
13882 }
13883 else
13884 {
13885 if (((word >> 18) & 0x1) == 0)
13886 {
13887 if (((word >> 20) & 0x1) == 0)
13888 {
13889 /* 33222222222211111111110000000000
13890 10987654321098765432109876543210
13891 011001x1xx000010101xxxxxxxxxxxxx
13892 frintm. */
13893 return 1463;
13894 }
13895 else
13896 {
13897 /* 33222222222211111111110000000000
13898 10987654321098765432109876543210
13899 011001x1xx010010101xxxxxxxxxxxxx
13900 scvtf. */
13901 return 1807;
13902 }
13903 }
582e12bf
RS
13904 else
13905 {
13906 if (((word >> 20) & 0x1) == 0)
13907 {
13908 /* 33222222222211111111110000000000
13909 10987654321098765432109876543210
42e6288f
MM
13910 011001x1xx000110101xxxxxxxxxxxxx
13911 frintx. */
13912 return 1466;
582e12bf 13913 }
c0890d26
RS
13914 else
13915 {
42e6288f 13916 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
13917 {
13918 /* 33222222222211111111110000000000
13919 10987654321098765432109876543210
42e6288f
MM
13920 011001x10x010110101xxxxxxxxxxxxx
13921 scvtf. */
13922 return 1811;
c0890d26
RS
13923 }
13924 else
13925 {
42e6288f
MM
13926 /* 33222222222211111111110000000000
13927 10987654321098765432109876543210
13928 011001x11x010110101xxxxxxxxxxxxx
13929 scvtf. */
13930 return 1813;
c0890d26
RS
13931 }
13932 }
13933 }
13934 }
582e12bf
RS
13935 }
13936 else
13937 {
42e6288f 13938 if (((word >> 20) & 0x1) == 0)
c0890d26 13939 {
42e6288f 13940 if (((word >> 17) & 0x1) == 0)
c0890d26 13941 {
42e6288f 13942 if (((word >> 18) & 0x1) == 0)
c0890d26 13943 {
42e6288f
MM
13944 if (((word >> 22) & 0x1) == 0)
13945 {
13946 /* 33222222222211111111110000000000
13947 10987654321098765432109876543210
13948 011001x1x0001000101xxxxxxxxxxxxx
13949 fcvt. */
13950 return 1400;
13951 }
13952 else
13953 {
13954 /* 33222222222211111111110000000000
13955 10987654321098765432109876543210
13956 011001x1x1001000101xxxxxxxxxxxxx
13957 fcvt. */
13958 return 1402;
13959 }
c0890d26
RS
13960 }
13961 else
13962 {
13963 /* 33222222222211111111110000000000
13964 10987654321098765432109876543210
42e6288f
MM
13965 011001x1xx001100101xxxxxxxxxxxxx
13966 frecpx. */
13967 return 1460;
c0890d26
RS
13968 }
13969 }
13970 else
13971 {
42e6288f 13972 if (((word >> 22) & 0x1) == 0)
c0890d26 13973 {
582e12bf
RS
13974 /* 33222222222211111111110000000000
13975 10987654321098765432109876543210
42e6288f
MM
13976 011001x1x0001x10101xxxxxxxxxxxxx
13977 fcvtx. */
13978 return 2070;
582e12bf
RS
13979 }
13980 else
13981 {
13982 /* 33222222222211111111110000000000
13983 10987654321098765432109876543210
42e6288f
MM
13984 011001x1x1001x10101xxxxxxxxxxxxx
13985 fcvt. */
13986 return 1404;
582e12bf
RS
13987 }
13988 }
13989 }
13990 else
13991 {
42e6288f 13992 if (((word >> 22) & 0x1) == 0)
582e12bf 13993 {
42e6288f 13994 if (((word >> 23) & 0x1) == 0)
582e12bf
RS
13995 {
13996 /* 33222222222211111111110000000000
13997 10987654321098765432109876543210
42e6288f
MM
13998 011001x100011xx0101xxxxxxxxxxxxx
13999 flogb. */
14000 return 2072;
582e12bf
RS
14001 }
14002 else
14003 {
42e6288f
MM
14004 /* 33222222222211111111110000000000
14005 10987654321098765432109876543210
14006 011001x110011xx0101xxxxxxxxxxxxx
14007 fcvtzs. */
14008 return 1409;
14009 }
14010 }
14011 else
14012 {
14013 if (((word >> 17) & 0x1) == 0)
14014 {
14015 if (((word >> 18) & 0x1) == 0)
c0890d26
RS
14016 {
14017 /* 33222222222211111111110000000000
14018 10987654321098765432109876543210
42e6288f
MM
14019 011001x1x1011000101xxxxxxxxxxxxx
14020 fcvtzs. */
14021 return 1410;
c0890d26
RS
14022 }
14023 else
14024 {
42e6288f
MM
14025 if (((word >> 23) & 0x1) == 0)
14026 {
14027 /* 33222222222211111111110000000000
14028 10987654321098765432109876543210
14029 011001x101011100101xxxxxxxxxxxxx
14030 fcvtzs. */
14031 return 1407;
14032 }
14033 else
14034 {
14035 /* 33222222222211111111110000000000
14036 10987654321098765432109876543210
14037 011001x111011100101xxxxxxxxxxxxx
14038 fcvtzs. */
14039 return 1411;
14040 }
c0890d26
RS
14041 }
14042 }
14043 else
14044 {
42e6288f
MM
14045 if (((word >> 18) & 0x1) == 0)
14046 {
14047 /* 33222222222211111111110000000000
14048 10987654321098765432109876543210
14049 011001x1x1011010101xxxxxxxxxxxxx
14050 fcvtzs. */
14051 return 1406;
14052 }
14053 else
14054 {
14055 if (((word >> 23) & 0x1) == 0)
14056 {
14057 /* 33222222222211111111110000000000
14058 10987654321098765432109876543210
14059 011001x101011110101xxxxxxxxxxxxx
14060 fcvtzs. */
14061 return 1408;
14062 }
14063 else
14064 {
14065 /* 33222222222211111111110000000000
14066 10987654321098765432109876543210
14067 011001x111011110101xxxxxxxxxxxxx
14068 fcvtzs. */
14069 return 1412;
14070 }
14071 }
c0890d26
RS
14072 }
14073 }
14074 }
14075 }
582e12bf
RS
14076 }
14077 else
14078 {
14079 if (((word >> 17) & 0x1) == 0)
c0890d26 14080 {
582e12bf 14081 if (((word >> 18) & 0x1) == 0)
c0890d26 14082 {
582e12bf 14083 if (((word >> 19) & 0x1) == 0)
c0890d26 14084 {
582e12bf 14085 if (((word >> 20) & 0x1) == 0)
c0890d26 14086 {
582e12bf
RS
14087 /* 33222222222211111111110000000000
14088 10987654321098765432109876543210
7684e580 14089 011001x1xx000001101xxxxxxxxxxxxx
582e12bf 14090 frintp. */
b83b4b13 14091 return 1465;
582e12bf
RS
14092 }
14093 else
14094 {
14095 /* 33222222222211111111110000000000
14096 10987654321098765432109876543210
7684e580 14097 011001x1xx010001101xxxxxxxxxxxxx
582e12bf 14098 ucvtf. */
b83b4b13 14099 return 1962;
582e12bf
RS
14100 }
14101 }
14102 else
14103 {
14104 if (((word >> 20) & 0x1) == 0)
14105 {
14106 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
14107 {
14108 /* 33222222222211111111110000000000
14109 10987654321098765432109876543210
7684e580 14110 011001x1x0001001101xxxxxxxxxxxxx
582e12bf 14111 fcvt. */
b83b4b13 14112 return 1401;
c0890d26
RS
14113 }
14114 else
14115 {
14116 /* 33222222222211111111110000000000
14117 10987654321098765432109876543210
7684e580 14118 011001x1x1001001101xxxxxxxxxxxxx
582e12bf 14119 fcvt. */
b83b4b13 14120 return 1403;
c0890d26
RS
14121 }
14122 }
14123 else
14124 {
582e12bf
RS
14125 /* 33222222222211111111110000000000
14126 10987654321098765432109876543210
7684e580 14127 011001x1xx011001101xxxxxxxxxxxxx
582e12bf 14128 fcvtzu. */
b83b4b13 14129 return 1417;
c0890d26
RS
14130 }
14131 }
582e12bf
RS
14132 }
14133 else
14134 {
14135 if (((word >> 19) & 0x1) == 0)
c0890d26 14136 {
582e12bf 14137 if (((word >> 22) & 0x1) == 0)
c0890d26 14138 {
582e12bf
RS
14139 /* 33222222222211111111110000000000
14140 10987654321098765432109876543210
7684e580 14141 011001x1x00x0101101xxxxxxxxxxxxx
582e12bf 14142 ucvtf. */
b83b4b13 14143 return 1961;
582e12bf
RS
14144 }
14145 else
14146 {
14147 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
14148 {
14149 /* 33222222222211111111110000000000
14150 10987654321098765432109876543210
7684e580 14151 011001x1010x0101101xxxxxxxxxxxxx
c0890d26 14152 ucvtf. */
b83b4b13 14153 return 1960;
c0890d26
RS
14154 }
14155 else
14156 {
14157 /* 33222222222211111111110000000000
14158 10987654321098765432109876543210
7684e580 14159 011001x1110x0101101xxxxxxxxxxxxx
c0890d26 14160 ucvtf. */
b83b4b13 14161 return 1964;
c0890d26
RS
14162 }
14163 }
582e12bf
RS
14164 }
14165 else
14166 {
14167 if (((word >> 20) & 0x1) == 0)
14168 {
14169 /* 33222222222211111111110000000000
14170 10987654321098765432109876543210
7684e580 14171 011001x1xx001101101xxxxxxxxxxxxx
582e12bf 14172 fsqrt. */
b83b4b13 14173 return 1471;
582e12bf 14174 }
c0890d26
RS
14175 else
14176 {
582e12bf 14177 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
14178 {
14179 /* 33222222222211111111110000000000
14180 10987654321098765432109876543210
7684e580 14181 011001x1x0011101101xxxxxxxxxxxxx
582e12bf 14182 fcvtzu. */
b83b4b13 14183 return 1416;
c0890d26
RS
14184 }
14185 else
14186 {
582e12bf 14187 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
14188 {
14189 /* 33222222222211111111110000000000
14190 10987654321098765432109876543210
7684e580 14191 011001x101011101101xxxxxxxxxxxxx
c0890d26 14192 fcvtzu. */
b83b4b13 14193 return 1414;
c0890d26
RS
14194 }
14195 else
14196 {
14197 /* 33222222222211111111110000000000
14198 10987654321098765432109876543210
7684e580 14199 011001x111011101101xxxxxxxxxxxxx
c0890d26 14200 fcvtzu. */
b83b4b13 14201 return 1418;
c0890d26
RS
14202 }
14203 }
14204 }
14205 }
14206 }
582e12bf
RS
14207 }
14208 else
14209 {
14210 if (((word >> 18) & 0x1) == 0)
c0890d26 14211 {
582e12bf 14212 if (((word >> 19) & 0x1) == 0)
c0890d26 14213 {
582e12bf 14214 if (((word >> 20) & 0x1) == 0)
c0890d26
RS
14215 {
14216 /* 33222222222211111111110000000000
14217 10987654321098765432109876543210
7684e580 14218 011001x1xx000011101xxxxxxxxxxxxx
c0890d26 14219 frintz. */
b83b4b13 14220 return 1467;
c0890d26
RS
14221 }
14222 else
14223 {
14224 /* 33222222222211111111110000000000
14225 10987654321098765432109876543210
7684e580 14226 011001x1xx010011101xxxxxxxxxxxxx
582e12bf 14227 ucvtf. */
b83b4b13 14228 return 1959;
c0890d26
RS
14229 }
14230 }
14231 else
14232 {
582e12bf 14233 if (((word >> 20) & 0x1) == 0)
c0890d26 14234 {
582e12bf
RS
14235 /* 33222222222211111111110000000000
14236 10987654321098765432109876543210
7684e580 14237 011001x1xx001011101xxxxxxxxxxxxx
582e12bf 14238 fcvt. */
b83b4b13 14239 return 1405;
582e12bf
RS
14240 }
14241 else
14242 {
14243 /* 33222222222211111111110000000000
14244 10987654321098765432109876543210
7684e580 14245 011001x1xx011011101xxxxxxxxxxxxx
582e12bf 14246 fcvtzu. */
b83b4b13 14247 return 1413;
582e12bf
RS
14248 }
14249 }
14250 }
14251 else
14252 {
14253 if (((word >> 19) & 0x1) == 0)
14254 {
14255 if (((word >> 20) & 0x1) == 0)
14256 {
14257 /* 33222222222211111111110000000000
14258 10987654321098765432109876543210
7684e580 14259 011001x1xx000111101xxxxxxxxxxxxx
582e12bf 14260 frinti. */
b83b4b13 14261 return 1462;
582e12bf
RS
14262 }
14263 else
14264 {
14265 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
14266 {
14267 /* 33222222222211111111110000000000
14268 10987654321098765432109876543210
7684e580 14269 011001x10x010111101xxxxxxxxxxxxx
582e12bf 14270 ucvtf. */
b83b4b13 14271 return 1963;
c0890d26
RS
14272 }
14273 else
14274 {
14275 /* 33222222222211111111110000000000
14276 10987654321098765432109876543210
7684e580 14277 011001x11x010111101xxxxxxxxxxxxx
c0890d26 14278 ucvtf. */
b83b4b13 14279 return 1965;
c0890d26
RS
14280 }
14281 }
582e12bf
RS
14282 }
14283 else
14284 {
14285 if (((word >> 23) & 0x1) == 0)
14286 {
14287 /* 33222222222211111111110000000000
14288 10987654321098765432109876543210
7684e580 14289 011001x10x0x1111101xxxxxxxxxxxxx
582e12bf 14290 fcvtzu. */
b83b4b13 14291 return 1415;
582e12bf 14292 }
c0890d26
RS
14293 else
14294 {
14295 /* 33222222222211111111110000000000
14296 10987654321098765432109876543210
7684e580 14297 011001x11x0x1111101xxxxxxxxxxxxx
c0890d26 14298 fcvtzu. */
b83b4b13 14299 return 1419;
c0890d26
RS
14300 }
14301 }
14302 }
14303 }
14304 }
582e12bf
RS
14305 }
14306 else
14307 {
14308 if (((word >> 22) & 0x1) == 0)
c0890d26 14309 {
582e12bf 14310 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
14311 {
14312 /* 33222222222211111111110000000000
14313 10987654321098765432109876543210
7684e580 14314 111001x1000xxxxx101xxxxxxxxxxxxx
582e12bf 14315 st1w. */
b83b4b13 14316 return 1897;
c0890d26
RS
14317 }
14318 else
14319 {
14320 /* 33222222222211111111110000000000
14321 10987654321098765432109876543210
7684e580 14322 111001x1100xxxxx101xxxxxxxxxxxxx
c0890d26 14323 st1d. */
b83b4b13 14324 return 1876;
c0890d26
RS
14325 }
14326 }
c0890d26
RS
14327 else
14328 {
582e12bf 14329 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
14330 {
14331 /* 33222222222211111111110000000000
14332 10987654321098765432109876543210
7684e580 14333 111001x1010xxxxx101xxxxxxxxxxxxx
582e12bf 14334 st1w. */
b83b4b13 14335 return 1904;
c0890d26
RS
14336 }
14337 else
14338 {
14339 /* 33222222222211111111110000000000
14340 10987654321098765432109876543210
7684e580 14341 111001x1110xxxxx101xxxxxxxxxxxxx
582e12bf 14342 st1d. */
b83b4b13 14343 return 1880;
c0890d26
RS
14344 }
14345 }
14346 }
582e12bf
RS
14347 }
14348 else
14349 {
14350 if (((word >> 31) & 0x1) == 0)
14351 {
14352 /* 33222222222211111111110000000000
14353 10987654321098765432109876543210
7684e580 14354 011001x1xx0xxxxx111xxxxxxxxxxxxx
582e12bf 14355 facgt. */
b83b4b13 14356 return 1378;
582e12bf 14357 }
c0890d26
RS
14358 else
14359 {
582e12bf 14360 if (((word >> 20) & 0x1) == 0)
c0890d26
RS
14361 {
14362 /* 33222222222211111111110000000000
14363 10987654321098765432109876543210
7684e580 14364 111001x1xx00xxxx111xxxxxxxxxxxxx
582e12bf 14365 st1w. */
b83b4b13 14366 return 1905;
c0890d26
RS
14367 }
14368 else
14369 {
14370 if (((word >> 22) & 0x1) == 0)
14371 {
582e12bf
RS
14372 if (((word >> 23) & 0x1) == 0)
14373 {
14374 /* 33222222222211111111110000000000
14375 10987654321098765432109876543210
7684e580 14376 111001x10001xxxx111xxxxxxxxxxxxx
582e12bf 14377 stnt1w. */
b83b4b13 14378 return 1939;
582e12bf
RS
14379 }
14380 else
14381 {
14382 /* 33222222222211111111110000000000
14383 10987654321098765432109876543210
7684e580 14384 111001x11001xxxx111xxxxxxxxxxxxx
582e12bf 14385 stnt1d. */
b83b4b13 14386 return 1935;
582e12bf 14387 }
c0890d26
RS
14388 }
14389 else
14390 {
582e12bf
RS
14391 if (((word >> 23) & 0x1) == 0)
14392 {
14393 /* 33222222222211111111110000000000
14394 10987654321098765432109876543210
7684e580 14395 111001x10101xxxx111xxxxxxxxxxxxx
582e12bf 14396 st3w. */
b83b4b13 14397 return 1923;
582e12bf
RS
14398 }
14399 else
14400 {
14401 /* 33222222222211111111110000000000
14402 10987654321098765432109876543210
7684e580 14403 111001x11101xxxx111xxxxxxxxxxxxx
582e12bf 14404 st3d. */
b83b4b13 14405 return 1919;
582e12bf 14406 }
c0890d26
RS
14407 }
14408 }
14409 }
14410 }
14411 }
14412 }
14413 }
14414 else
14415 {
14416 if (((word >> 30) & 0x1) == 0)
14417 {
14418 if (((word >> 14) & 0x1) == 0)
14419 {
14420 if (((word >> 20) & 0x1) == 0)
14421 {
14422 if (((word >> 31) & 0x1) == 0)
14423 {
14424 if (((word >> 16) & 0x1) == 0)
14425 {
14426 if (((word >> 17) & 0x1) == 0)
14427 {
14428 if (((word >> 18) & 0x1) == 0)
14429 {
14430 if (((word >> 19) & 0x1) == 0)
14431 {
14432 /* 33222222222211111111110000000000
14433 10987654321098765432109876543210
7684e580 14434 001001x1xx10000010xxxxxxxxxxxxxx
c0890d26 14435 cntp. */
b83b4b13 14436 return 1347;
c0890d26
RS
14437 }
14438 else
14439 {
14440 if (((word >> 10) & 0x1) == 0)
14441 {
14442 if (((word >> 11) & 0x1) == 0)
14443 {
14444 if (((word >> 12) & 0x1) == 0)
14445 {
14446 /* 33222222222211111111110000000000
14447 10987654321098765432109876543210
7684e580 14448 001001x1xx10100010x000xxxxxxxxxx
c0890d26 14449 sqincp. */
b83b4b13 14450 return 1854;
c0890d26
RS
14451 }
14452 else
14453 {
14454 /* 33222222222211111111110000000000
14455 10987654321098765432109876543210
7684e580 14456 001001x1xx10100010x100xxxxxxxxxx
c0890d26 14457 wrffr. */
b83b4b13 14458 return 2027;
c0890d26
RS
14459 }
14460 }
14461 else
14462 {
14463 /* 33222222222211111111110000000000
14464 10987654321098765432109876543210
7684e580 14465 001001x1xx10100010xx10xxxxxxxxxx
c0890d26 14466 sqincp. */
b83b4b13 14467 return 1856;
c0890d26
RS
14468 }
14469 }
14470 else
14471 {
14472 /* 33222222222211111111110000000000
14473 10987654321098765432109876543210
7684e580 14474 001001x1xx10100010xxx1xxxxxxxxxx
c0890d26 14475 sqincp. */
b83b4b13 14476 return 1855;
c0890d26
RS
14477 }
14478 }
14479 }
14480 else
14481 {
14482 if (((word >> 11) & 0x1) == 0)
14483 {
14484 if (((word >> 12) & 0x1) == 0)
14485 {
14486 /* 33222222222211111111110000000000
14487 10987654321098765432109876543210
7684e580 14488 001001x1xx10x10010x00xxxxxxxxxxx
c0890d26 14489 incp. */
b83b4b13 14490 return 1485;
c0890d26
RS
14491 }
14492 else
14493 {
14494 /* 33222222222211111111110000000000
14495 10987654321098765432109876543210
7684e580 14496 001001x1xx10x10010x10xxxxxxxxxxx
c0890d26 14497 setffr. */
b83b4b13 14498 return 1821;
c0890d26
RS
14499 }
14500 }
14501 else
14502 {
14503 /* 33222222222211111111110000000000
14504 10987654321098765432109876543210
7684e580 14505 001001x1xx10x10010xx1xxxxxxxxxxx
c0890d26 14506 incp. */
b83b4b13 14507 return 1486;
c0890d26
RS
14508 }
14509 }
14510 }
14511 else
14512 {
14513 if (((word >> 10) & 0x1) == 0)
14514 {
14515 if (((word >> 11) & 0x1) == 0)
14516 {
14517 /* 33222222222211111111110000000000
14518 10987654321098765432109876543210
7684e580 14519 001001x1xx10xx1010xx00xxxxxxxxxx
c0890d26 14520 sqdecp. */
b83b4b13 14521 return 1840;
c0890d26
RS
14522 }
14523 else
14524 {
14525 /* 33222222222211111111110000000000
14526 10987654321098765432109876543210
7684e580 14527 001001x1xx10xx1010xx10xxxxxxxxxx
c0890d26 14528 sqdecp. */
b83b4b13 14529 return 1842;
c0890d26
RS
14530 }
14531 }
14532 else
14533 {
14534 /* 33222222222211111111110000000000
14535 10987654321098765432109876543210
7684e580 14536 001001x1xx10xx1010xxx1xxxxxxxxxx
c0890d26 14537 sqdecp. */
b83b4b13 14538 return 1841;
c0890d26
RS
14539 }
14540 }
14541 }
14542 else
14543 {
14544 if (((word >> 10) & 0x1) == 0)
14545 {
14546 if (((word >> 11) & 0x1) == 0)
14547 {
14548 if (((word >> 17) & 0x1) == 0)
14549 {
14550 if (((word >> 18) & 0x1) == 0)
14551 {
14552 /* 33222222222211111111110000000000
14553 10987654321098765432109876543210
7684e580 14554 001001x1xx10x00110xx00xxxxxxxxxx
c0890d26 14555 uqincp. */
b83b4b13 14556 return 2002;
c0890d26
RS
14557 }
14558 else
14559 {
14560 /* 33222222222211111111110000000000
14561 10987654321098765432109876543210
7684e580 14562 001001x1xx10x10110xx00xxxxxxxxxx
c0890d26 14563 decp. */
b83b4b13 14564 return 1360;
c0890d26
RS
14565 }
14566 }
14567 else
14568 {
14569 /* 33222222222211111111110000000000
14570 10987654321098765432109876543210
7684e580 14571 001001x1xx10xx1110xx00xxxxxxxxxx
c0890d26 14572 uqdecp. */
b83b4b13 14573 return 1988;
c0890d26
RS
14574 }
14575 }
14576 else
14577 {
14578 if (((word >> 17) & 0x1) == 0)
14579 {
14580 if (((word >> 18) & 0x1) == 0)
14581 {
14582 /* 33222222222211111111110000000000
14583 10987654321098765432109876543210
7684e580 14584 001001x1xx10x00110xx10xxxxxxxxxx
c0890d26 14585 uqincp. */
b83b4b13 14586 return 2003;
c0890d26
RS
14587 }
14588 else
14589 {
14590 /* 33222222222211111111110000000000
14591 10987654321098765432109876543210
7684e580 14592 001001x1xx10x10110xx10xxxxxxxxxx
c0890d26 14593 decp. */
b83b4b13 14594 return 1361;
c0890d26
RS
14595 }
14596 }
14597 else
14598 {
14599 /* 33222222222211111111110000000000
14600 10987654321098765432109876543210
7684e580 14601 001001x1xx10xx1110xx10xxxxxxxxxx
c0890d26 14602 uqdecp. */
b83b4b13 14603 return 1989;
c0890d26
RS
14604 }
14605 }
14606 }
14607 else
14608 {
14609 if (((word >> 17) & 0x1) == 0)
14610 {
14611 /* 33222222222211111111110000000000
14612 10987654321098765432109876543210
7684e580 14613 001001x1xx10xx0110xxx1xxxxxxxxxx
c0890d26 14614 uqincp. */
b83b4b13 14615 return 2004;
c0890d26
RS
14616 }
14617 else
14618 {
14619 /* 33222222222211111111110000000000
14620 10987654321098765432109876543210
7684e580 14621 001001x1xx10xx1110xxx1xxxxxxxxxx
c0890d26 14622 uqdecp. */
b83b4b13 14623 return 1990;
c0890d26
RS
14624 }
14625 }
14626 }
14627 }
14628 else
14629 {
14630 if (((word >> 22) & 0x1) == 0)
14631 {
14632 if (((word >> 23) & 0x1) == 0)
14633 {
14634 /* 33222222222211111111110000000000
14635 10987654321098765432109876543210
7684e580 14636 101001x10010xxxx10xxxxxxxxxxxxxx
c0890d26 14637 ld1sh. */
b83b4b13 14638 return 1578;
c0890d26
RS
14639 }
14640 else
14641 {
14642 /* 33222222222211111111110000000000
14643 10987654321098765432109876543210
7684e580 14644 101001x11010xxxx10xxxxxxxxxxxxxx
c0890d26 14645 ld1sb. */
b83b4b13 14646 return 1565;
c0890d26
RS
14647 }
14648 }
14649 else
14650 {
14651 if (((word >> 23) & 0x1) == 0)
14652 {
14653 /* 33222222222211111111110000000000
14654 10987654321098765432109876543210
7684e580 14655 101001x10110xxxx10xxxxxxxxxxxxxx
c0890d26 14656 ld1w. */
b83b4b13 14657 return 1597;
c0890d26
RS
14658 }
14659 else
14660 {
14661 /* 33222222222211111111110000000000
14662 10987654321098765432109876543210
7684e580 14663 101001x11110xxxx10xxxxxxxxxxxxxx
c0890d26 14664 ld1d. */
b83b4b13 14665 return 1517;
c0890d26
RS
14666 }
14667 }
14668 }
14669 }
14670 else
14671 {
14672 if (((word >> 22) & 0x1) == 0)
14673 {
14674 if (((word >> 23) & 0x1) == 0)
14675 {
14676 /* 33222222222211111111110000000000
14677 10987654321098765432109876543210
7684e580 14678 x01001x10011xxxx10xxxxxxxxxxxxxx
c0890d26 14679 ldnf1sh. */
b83b4b13 14680 return 1711;
c0890d26
RS
14681 }
14682 else
14683 {
14684 /* 33222222222211111111110000000000
14685 10987654321098765432109876543210
7684e580 14686 x01001x11011xxxx10xxxxxxxxxxxxxx
c0890d26 14687 ldnf1sb. */
b83b4b13 14688 return 1708;
c0890d26
RS
14689 }
14690 }
14691 else
14692 {
14693 if (((word >> 23) & 0x1) == 0)
14694 {
14695 /* 33222222222211111111110000000000
14696 10987654321098765432109876543210
7684e580 14697 x01001x10111xxxx10xxxxxxxxxxxxxx
c0890d26 14698 ldnf1w. */
b83b4b13 14699 return 1714;
c0890d26
RS
14700 }
14701 else
14702 {
14703 /* 33222222222211111111110000000000
14704 10987654321098765432109876543210
7684e580 14705 x01001x11111xxxx10xxxxxxxxxxxxxx
c0890d26 14706 ldnf1d. */
b83b4b13 14707 return 1703;
c0890d26
RS
14708 }
14709 }
14710 }
14711 }
14712 else
14713 {
14714 if (((word >> 31) & 0x1) == 0)
14715 {
14716 if (((word >> 16) & 0x1) == 0)
14717 {
14718 if (((word >> 17) & 0x1) == 0)
14719 {
14720 if (((word >> 18) & 0x1) == 0)
14721 {
14722 if (((word >> 19) & 0x1) == 0)
14723 {
14724 if (((word >> 20) & 0x1) == 0)
14725 {
14726 /* 33222222222211111111110000000000
14727 10987654321098765432109876543210
7684e580 14728 001001x1xx10000011xxxxxxxxxxxxxx
c0890d26 14729 add. */
b83b4b13 14730 return 1274;
c0890d26
RS
14731 }
14732 else
14733 {
14734 /* 33222222222211111111110000000000
14735 10987654321098765432109876543210
7684e580 14736 001001x1xx11000011xxxxxxxxxxxxxx
c0890d26 14737 mul. */
b83b4b13 14738 return 1743;
c0890d26
RS
14739 }
14740 }
14741 else
14742 {
14743 if (((word >> 20) & 0x1) == 0)
14744 {
14745 /* 33222222222211111111110000000000
14746 10987654321098765432109876543210
7684e580 14747 001001x1xx10100011xxxxxxxxxxxxxx
c0890d26 14748 smax. */
b83b4b13 14749 return 1822;
c0890d26
RS
14750 }
14751 else
14752 {
14753 /* 33222222222211111111110000000000
14754 10987654321098765432109876543210
7684e580 14755 001001x1xx11100011xxxxxxxxxxxxxx
c0890d26 14756 dup. */
b83b4b13 14757 return 1366;
c0890d26
RS
14758 }
14759 }
14760 }
14761 else
14762 {
14763 /* 33222222222211111111110000000000
14764 10987654321098765432109876543210
7684e580 14765 001001x1xx1xx10011xxxxxxxxxxxxxx
c0890d26 14766 sqadd. */
b83b4b13 14767 return 1831;
c0890d26
RS
14768 }
14769 }
14770 else
14771 {
14772 if (((word >> 18) & 0x1) == 0)
14773 {
14774 /* 33222222222211111111110000000000
14775 10987654321098765432109876543210
7684e580 14776 001001x1xx1xx01011xxxxxxxxxxxxxx
c0890d26 14777 smin. */
b83b4b13 14778 return 1825;
c0890d26
RS
14779 }
14780 else
14781 {
14782 /* 33222222222211111111110000000000
14783 10987654321098765432109876543210
7684e580 14784 001001x1xx1xx11011xxxxxxxxxxxxxx
c0890d26 14785 sqsub. */
b83b4b13 14786 return 1861;
c0890d26
RS
14787 }
14788 }
14789 }
14790 else
14791 {
14792 if (((word >> 17) & 0x1) == 0)
14793 {
14794 if (((word >> 18) & 0x1) == 0)
14795 {
14796 if (((word >> 19) & 0x1) == 0)
14797 {
14798 /* 33222222222211111111110000000000
14799 10987654321098765432109876543210
7684e580 14800 001001x1xx1x000111xxxxxxxxxxxxxx
c0890d26 14801 sub. */
b83b4b13 14802 return 1943;
c0890d26
RS
14803 }
14804 else
14805 {
14806 if (((word >> 20) & 0x1) == 0)
14807 {
14808 /* 33222222222211111111110000000000
14809 10987654321098765432109876543210
7684e580 14810 001001x1xx10100111xxxxxxxxxxxxxx
c0890d26 14811 umax. */
b83b4b13 14812 return 1971;
c0890d26
RS
14813 }
14814 else
14815 {
14816 /* 33222222222211111111110000000000
14817 10987654321098765432109876543210
7684e580 14818 001001x1xx11100111xxxxxxxxxxxxxx
c0890d26 14819 fdup. */
b83b4b13 14820 return 1422;
c0890d26
RS
14821 }
14822 }
14823 }
14824 else
14825 {
14826 /* 33222222222211111111110000000000
14827 10987654321098765432109876543210
7684e580 14828 001001x1xx1xx10111xxxxxxxxxxxxxx
c0890d26 14829 uqadd. */
b83b4b13 14830 return 1979;
c0890d26
RS
14831 }
14832 }
14833 else
14834 {
14835 if (((word >> 18) & 0x1) == 0)
14836 {
14837 if (((word >> 19) & 0x1) == 0)
14838 {
14839 /* 33222222222211111111110000000000
14840 10987654321098765432109876543210
7684e580 14841 001001x1xx1x001111xxxxxxxxxxxxxx
c0890d26 14842 subr. */
b83b4b13 14843 return 1945;
c0890d26
RS
14844 }
14845 else
14846 {
14847 /* 33222222222211111111110000000000
14848 10987654321098765432109876543210
7684e580 14849 001001x1xx1x101111xxxxxxxxxxxxxx
c0890d26 14850 umin. */
b83b4b13 14851 return 1974;
c0890d26
RS
14852 }
14853 }
14854 else
14855 {
14856 /* 33222222222211111111110000000000
14857 10987654321098765432109876543210
7684e580 14858 001001x1xx1xx11111xxxxxxxxxxxxxx
c0890d26 14859 uqsub. */
b83b4b13 14860 return 2009;
c0890d26
RS
14861 }
14862 }
14863 }
14864 }
14865 else
14866 {
14867 if (((word >> 13) & 0x1) == 0)
14868 {
14869 if (((word >> 22) & 0x1) == 0)
14870 {
14871 if (((word >> 23) & 0x1) == 0)
14872 {
14873 /* 33222222222211111111110000000000
14874 10987654321098765432109876543210
7684e580 14875 101001x1001xxxxx110xxxxxxxxxxxxx
c0890d26 14876 ld2w. */
b83b4b13 14877 return 1605;
c0890d26
RS
14878 }
14879 else
14880 {
14881 /* 33222222222211111111110000000000
14882 10987654321098765432109876543210
7684e580 14883 101001x1101xxxxx110xxxxxxxxxxxxx
c0890d26 14884 ld2d. */
b83b4b13 14885 return 1601;
c0890d26
RS
14886 }
14887 }
14888 else
14889 {
14890 if (((word >> 23) & 0x1) == 0)
14891 {
14892 /* 33222222222211111111110000000000
14893 10987654321098765432109876543210
7684e580 14894 101001x1011xxxxx110xxxxxxxxxxxxx
c0890d26 14895 ld4w. */
b83b4b13 14896 return 1621;
c0890d26
RS
14897 }
14898 else
14899 {
14900 /* 33222222222211111111110000000000
14901 10987654321098765432109876543210
7684e580 14902 101001x1111xxxxx110xxxxxxxxxxxxx
c0890d26 14903 ld4d. */
b83b4b13 14904 return 1617;
c0890d26
RS
14905 }
14906 }
14907 }
14908 else
14909 {
14910 if (((word >> 22) & 0x1) == 0)
14911 {
14912 if (((word >> 23) & 0x1) == 0)
14913 {
14914 /* 33222222222211111111110000000000
14915 10987654321098765432109876543210
7684e580 14916 101001x1001xxxxx111xxxxxxxxxxxxx
c0890d26 14917 ld2w. */
b83b4b13 14918 return 1606;
c0890d26
RS
14919 }
14920 else
14921 {
14922 /* 33222222222211111111110000000000
14923 10987654321098765432109876543210
7684e580 14924 101001x1101xxxxx111xxxxxxxxxxxxx
c0890d26 14925 ld2d. */
b83b4b13 14926 return 1602;
c0890d26
RS
14927 }
14928 }
14929 else
14930 {
14931 if (((word >> 23) & 0x1) == 0)
14932 {
14933 /* 33222222222211111111110000000000
14934 10987654321098765432109876543210
7684e580 14935 101001x1011xxxxx111xxxxxxxxxxxxx
c0890d26 14936 ld4w. */
b83b4b13 14937 return 1622;
c0890d26
RS
14938 }
14939 else
14940 {
14941 /* 33222222222211111111110000000000
14942 10987654321098765432109876543210
7684e580 14943 101001x1111xxxxx111xxxxxxxxxxxxx
c0890d26 14944 ld4d. */
b83b4b13 14945 return 1618;
c0890d26
RS
14946 }
14947 }
14948 }
14949 }
14950 }
14951 }
14952 else
14953 {
14954 if (((word >> 13) & 0x1) == 0)
14955 {
582e12bf 14956 if (((word >> 31) & 0x1) == 0)
c0890d26 14957 {
582e12bf 14958 if (((word >> 14) & 0x1) == 0)
c0890d26
RS
14959 {
14960 /* 33222222222211111111110000000000
14961 10987654321098765432109876543210
7684e580 14962 011001x1xx1xxxxx100xxxxxxxxxxxxx
582e12bf 14963 fmad. */
b83b4b13 14964 return 1424;
c0890d26
RS
14965 }
14966 else
14967 {
14968 /* 33222222222211111111110000000000
14969 10987654321098765432109876543210
7684e580 14970 011001x1xx1xxxxx110xxxxxxxxxxxxx
582e12bf 14971 fnmad. */
b83b4b13 14972 return 1454;
c0890d26
RS
14973 }
14974 }
14975 else
14976 {
582e12bf 14977 if (((word >> 22) & 0x1) == 0)
c0890d26 14978 {
582e12bf 14979 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
14980 {
14981 /* 33222222222211111111110000000000
14982 10987654321098765432109876543210
7684e580 14983 111001x1001xxxxx1x0xxxxxxxxxxxxx
582e12bf 14984 st1w. */
b83b4b13 14985 return 1898;
c0890d26
RS
14986 }
14987 else
14988 {
14989 /* 33222222222211111111110000000000
14990 10987654321098765432109876543210
7684e580 14991 111001x1101xxxxx1x0xxxxxxxxxxxxx
582e12bf 14992 st1d. */
b83b4b13 14993 return 1877;
c0890d26
RS
14994 }
14995 }
14996 else
14997 {
14998 /* 33222222222211111111110000000000
14999 10987654321098765432109876543210
7684e580 15000 111001x1x11xxxxx1x0xxxxxxxxxxxxx
582e12bf 15001 st1w. */
b83b4b13 15002 return 1903;
c0890d26
RS
15003 }
15004 }
15005 }
15006 else
15007 {
15008 if (((word >> 14) & 0x1) == 0)
15009 {
582e12bf 15010 if (((word >> 31) & 0x1) == 0)
c0890d26 15011 {
582e12bf
RS
15012 /* 33222222222211111111110000000000
15013 10987654321098765432109876543210
7684e580 15014 011001x1xx1xxxxx101xxxxxxxxxxxxx
582e12bf 15015 fmsb. */
b83b4b13 15016 return 1445;
c0890d26
RS
15017 }
15018 else
15019 {
582e12bf 15020 if (((word >> 22) & 0x1) == 0)
c0890d26 15021 {
582e12bf
RS
15022 if (((word >> 23) & 0x1) == 0)
15023 {
15024 /* 33222222222211111111110000000000
15025 10987654321098765432109876543210
7684e580 15026 111001x1001xxxxx101xxxxxxxxxxxxx
582e12bf 15027 st1w. */
b83b4b13 15028 return 1899;
582e12bf
RS
15029 }
15030 else
15031 {
15032 /* 33222222222211111111110000000000
15033 10987654321098765432109876543210
7684e580 15034 111001x1101xxxxx101xxxxxxxxxxxxx
582e12bf 15035 st1d. */
b83b4b13 15036 return 1878;
582e12bf 15037 }
c0890d26
RS
15038 }
15039 else
15040 {
15041 /* 33222222222211111111110000000000
15042 10987654321098765432109876543210
7684e580 15043 111001x1x11xxxxx101xxxxxxxxxxxxx
582e12bf 15044 st1w. */
b83b4b13 15045 return 1906;
c0890d26
RS
15046 }
15047 }
15048 }
15049 else
15050 {
582e12bf
RS
15051 if (((word >> 31) & 0x1) == 0)
15052 {
15053 /* 33222222222211111111110000000000
15054 10987654321098765432109876543210
7684e580 15055 011001x1xx1xxxxx111xxxxxxxxxxxxx
582e12bf 15056 fnmsb. */
b83b4b13 15057 return 1457;
582e12bf
RS
15058 }
15059 else
c0890d26
RS
15060 {
15061 if (((word >> 20) & 0x1) == 0)
15062 {
582e12bf 15063 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
15064 {
15065 /* 33222222222211111111110000000000
15066 10987654321098765432109876543210
7684e580 15067 111001x10x10xxxx111xxxxxxxxxxxxx
582e12bf 15068 st1w. */
b83b4b13 15069 return 1907;
c0890d26
RS
15070 }
15071 else
15072 {
15073 /* 33222222222211111111110000000000
15074 10987654321098765432109876543210
7684e580 15075 111001x11x10xxxx111xxxxxxxxxxxxx
582e12bf 15076 st1d. */
b83b4b13 15077 return 1881;
c0890d26
RS
15078 }
15079 }
c0890d26
RS
15080 else
15081 {
582e12bf 15082 if (((word >> 22) & 0x1) == 0)
c0890d26 15083 {
582e12bf
RS
15084 if (((word >> 23) & 0x1) == 0)
15085 {
15086 /* 33222222222211111111110000000000
15087 10987654321098765432109876543210
7684e580 15088 111001x10011xxxx111xxxxxxxxxxxxx
582e12bf 15089 st2w. */
b83b4b13 15090 return 1915;
582e12bf
RS
15091 }
15092 else
15093 {
15094 /* 33222222222211111111110000000000
15095 10987654321098765432109876543210
7684e580 15096 111001x11011xxxx111xxxxxxxxxxxxx
582e12bf 15097 st2d. */
b83b4b13 15098 return 1911;
582e12bf 15099 }
c0890d26
RS
15100 }
15101 else
15102 {
582e12bf 15103 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
15104 {
15105 /* 33222222222211111111110000000000
15106 10987654321098765432109876543210
7684e580 15107 111001x10111xxxx111xxxxxxxxxxxxx
582e12bf 15108 st4w. */
b83b4b13 15109 return 1931;
c0890d26
RS
15110 }
15111 else
15112 {
15113 /* 33222222222211111111110000000000
15114 10987654321098765432109876543210
7684e580 15115 111001x11111xxxx111xxxxxxxxxxxxx
c0890d26 15116 st4d. */
b83b4b13 15117 return 1927;
c0890d26
RS
15118 }
15119 }
15120 }
15121 }
15122 }
15123 }
15124 }
15125 }
15126 }
15127 }
15128 }
15129 }
15130 else
15131 {
15132 if (((word >> 29) & 0x1) == 0)
a06ea964 15133 {
c0890d26 15134 if (((word >> 30) & 0x1) == 0)
a06ea964 15135 {
c0890d26
RS
15136 if (((word >> 31) & 0x1) == 0)
15137 {
15138 /* 33222222222211111111110000000000
15139 10987654321098765432109876543210
7684e580 15140 000101xxxxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 15141 b. */
193614f2 15142 return 636;
c0890d26
RS
15143 }
15144 else
15145 {
15146 /* 33222222222211111111110000000000
15147 10987654321098765432109876543210
7684e580 15148 100101xxxxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 15149 bl. */
193614f2 15150 return 637;
c0890d26 15151 }
a06ea964
NC
15152 }
15153 else
15154 {
c0890d26 15155 if (((word >> 24) & 0x1) == 0)
a06ea964 15156 {
74f5402d 15157 if (((word >> 4) & 0x1) == 0)
a06ea964 15158 {
74f5402d 15159 if (((word >> 25) & 0x1) == 0)
c0890d26 15160 {
74f5402d
SN
15161 if (((word >> 31) & 0x1) == 0)
15162 {
15163 /* 33222222222211111111110000000000
15164 10987654321098765432109876543210
7684e580 15165 01010100xxxxxxxxxxxxxxxxxxx0xxxx
74f5402d 15166 b.c. */
193614f2 15167 return 657;
74f5402d
SN
15168 }
15169 else
a06ea964 15170 {
74f5402d 15171 if (((word >> 0) & 0x1) == 0)
a06ea964 15172 {
74f5402d 15173 if (((word >> 1) & 0x1) == 0)
c0890d26 15174 {
74f5402d
SN
15175 if (((word >> 21) & 0x1) == 0)
15176 {
15177 /* 33222222222211111111110000000000
15178 10987654321098765432109876543210
7684e580 15179 11010100xx0xxxxxxxxxxxxxxxx0xx00
74f5402d 15180 hlt. */
b731bc3b 15181 return 753;
74f5402d
SN
15182 }
15183 else
15184 {
b83b4b13
SD
15185 if (((word >> 22) & 0x1) == 0)
15186 {
15187 /* 33222222222211111111110000000000
15188 10987654321098765432109876543210
15189 11010100x01xxxxxxxxxxxxxxxx0xx00
15190 brk. */
15191 return 752;
15192 }
15193 else
15194 {
15195 /* 33222222222211111111110000000000
15196 10987654321098765432109876543210
15197 11010100x11xxxxxxxxxxxxxxxx0xx00
15198 tcancel. */
15199 return 1191;
15200 }
74f5402d 15201 }
c0890d26
RS
15202 }
15203 else
15204 {
74f5402d
SN
15205 if (((word >> 21) & 0x1) == 0)
15206 {
15207 /* 33222222222211111111110000000000
15208 10987654321098765432109876543210
7684e580 15209 11010100xx0xxxxxxxxxxxxxxxx0xx10
74f5402d 15210 hvc. */
b731bc3b 15211 return 750;
74f5402d
SN
15212 }
15213 else
15214 {
15215 /* 33222222222211111111110000000000
15216 10987654321098765432109876543210
7684e580 15217 11010100xx1xxxxxxxxxxxxxxxx0xx10
74f5402d 15218 dcps2. */
b731bc3b 15219 return 755;
74f5402d 15220 }
c0890d26 15221 }
a06ea964
NC
15222 }
15223 else
15224 {
74f5402d 15225 if (((word >> 1) & 0x1) == 0)
c0890d26 15226 {
74f5402d
SN
15227 if (((word >> 21) & 0x1) == 0)
15228 {
15229 /* 33222222222211111111110000000000
15230 10987654321098765432109876543210
7684e580 15231 11010100xx0xxxxxxxxxxxxxxxx0xx01
74f5402d 15232 svc. */
b731bc3b 15233 return 749;
74f5402d
SN
15234 }
15235 else
15236 {
15237 /* 33222222222211111111110000000000
15238 10987654321098765432109876543210
7684e580 15239 11010100xx1xxxxxxxxxxxxxxxx0xx01
74f5402d 15240 dcps1. */
b731bc3b 15241 return 754;
74f5402d 15242 }
c0890d26
RS
15243 }
15244 else
15245 {
74f5402d
SN
15246 if (((word >> 21) & 0x1) == 0)
15247 {
15248 /* 33222222222211111111110000000000
15249 10987654321098765432109876543210
7684e580 15250 11010100xx0xxxxxxxxxxxxxxxx0xx11
74f5402d 15251 smc. */
b731bc3b 15252 return 751;
74f5402d
SN
15253 }
15254 else
15255 {
15256 /* 33222222222211111111110000000000
15257 10987654321098765432109876543210
7684e580 15258 11010100xx1xxxxxxxxxxxxxxxx0xx11
74f5402d 15259 dcps3. */
b731bc3b 15260 return 756;
74f5402d 15261 }
c0890d26 15262 }
a06ea964
NC
15263 }
15264 }
74f5402d
SN
15265 }
15266 else
15267 {
15268 if (((word >> 21) & 0x1) == 0)
a06ea964 15269 {
74f5402d 15270 if (((word >> 22) & 0x1) == 0)
a06ea964 15271 {
74f5402d 15272 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
15273 {
15274 /* 33222222222211111111110000000000
15275 10987654321098765432109876543210
7684e580 15276 x1010110000xxxxxxxxxxxxxxxx0xxxx
74f5402d 15277 br. */
193614f2 15278 return 638;
c0890d26
RS
15279 }
15280 else
15281 {
15282 /* 33222222222211111111110000000000
15283 10987654321098765432109876543210
7684e580 15284 x1010110100xxxxxxxxxxxxxxxx0xxxx
74f5402d 15285 eret. */
193614f2 15286 return 641;
c0890d26 15287 }
a06ea964
NC
15288 }
15289 else
15290 {
74f5402d
SN
15291 /* 33222222222211111111110000000000
15292 10987654321098765432109876543210
7684e580 15293 x1010110x10xxxxxxxxxxxxxxxx0xxxx
74f5402d 15294 ret. */
193614f2 15295 return 640;
74f5402d
SN
15296 }
15297 }
15298 else
15299 {
15300 if (((word >> 23) & 0x1) == 0)
15301 {
15302 /* 33222222222211111111110000000000
15303 10987654321098765432109876543210
7684e580 15304 x10101100x1xxxxxxxxxxxxxxxx0xxxx
74f5402d 15305 blr. */
193614f2 15306 return 639;
74f5402d
SN
15307 }
15308 else
15309 {
15310 /* 33222222222211111111110000000000
15311 10987654321098765432109876543210
7684e580 15312 x10101101x1xxxxxxxxxxxxxxxx0xxxx
74f5402d 15313 drps. */
193614f2 15314 return 642;
74f5402d
SN
15315 }
15316 }
15317 }
15318 }
15319 else
15320 {
15321 if (((word >> 10) & 0x1) == 0)
15322 {
15323 if (((word >> 21) & 0x1) == 0)
15324 {
15325 if (((word >> 22) & 0x1) == 0)
15326 {
15327 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
15328 {
15329 /* 33222222222211111111110000000000
15330 10987654321098765432109876543210
7684e580 15331 x10101x0000xxxxxxxxxx0xxxxx1xxxx
74f5402d 15332 braaz. */
193614f2 15333 return 647;
c0890d26
RS
15334 }
15335 else
15336 {
15337 /* 33222222222211111111110000000000
15338 10987654321098765432109876543210
7684e580 15339 x10101x0100xxxxxxxxxx0xxxxx1xxxx
74f5402d 15340 eretaa. */
193614f2 15341 return 653;
c0890d26 15342 }
a06ea964 15343 }
74f5402d
SN
15344 else
15345 {
15346 /* 33222222222211111111110000000000
15347 10987654321098765432109876543210
7684e580 15348 x10101x0x10xxxxxxxxxx0xxxxx1xxxx
74f5402d 15349 retaa. */
193614f2 15350 return 651;
74f5402d
SN
15351 }
15352 }
15353 else
15354 {
15355 /* 33222222222211111111110000000000
15356 10987654321098765432109876543210
7684e580 15357 x10101x0xx1xxxxxxxxxx0xxxxx1xxxx
74f5402d 15358 blraaz. */
193614f2 15359 return 649;
a06ea964
NC
15360 }
15361 }
74f5402d 15362 else
a06ea964 15363 {
74f5402d 15364 if (((word >> 21) & 0x1) == 0)
a06ea964 15365 {
74f5402d 15366 if (((word >> 22) & 0x1) == 0)
a06ea964 15367 {
74f5402d
SN
15368 if (((word >> 23) & 0x1) == 0)
15369 {
15370 /* 33222222222211111111110000000000
15371 10987654321098765432109876543210
7684e580 15372 x10101x0000xxxxxxxxxx1xxxxx1xxxx
74f5402d 15373 brabz. */
193614f2 15374 return 648;
74f5402d
SN
15375 }
15376 else
15377 {
15378 /* 33222222222211111111110000000000
15379 10987654321098765432109876543210
7684e580 15380 x10101x0100xxxxxxxxxx1xxxxx1xxxx
74f5402d 15381 eretab. */
193614f2 15382 return 654;
74f5402d 15383 }
a06ea964
NC
15384 }
15385 else
15386 {
15387 /* 33222222222211111111110000000000
15388 10987654321098765432109876543210
7684e580 15389 x10101x0x10xxxxxxxxxx1xxxxx1xxxx
74f5402d 15390 retab. */
193614f2 15391 return 652;
a06ea964
NC
15392 }
15393 }
15394 else
15395 {
c0890d26
RS
15396 /* 33222222222211111111110000000000
15397 10987654321098765432109876543210
7684e580 15398 x10101x0xx1xxxxxxxxxx1xxxxx1xxxx
74f5402d 15399 blrabz. */
193614f2 15400 return 650;
a06ea964
NC
15401 }
15402 }
74f5402d
SN
15403 }
15404 }
15405 else
15406 {
15407 if (((word >> 21) & 0x1) == 0)
15408 {
15409 if (((word >> 25) & 0x1) == 0)
15410 {
15411 /* 33222222222211111111110000000000
15412 10987654321098765432109876543210
7684e580 15413 x1010101xx0xxxxxxxxxxxxxxxxxxxxx
13c60ad7 15414 xaflag. */
b731bc3b 15415 return 810;
74f5402d 15416 }
c0890d26 15417 else
a06ea964 15418 {
74f5402d 15419 if (((word >> 10) & 0x1) == 0)
a06ea964
NC
15420 {
15421 /* 33222222222211111111110000000000
15422 10987654321098765432109876543210
7684e580 15423 x1010111xx0xxxxxxxxxx0xxxxxxxxxx
74f5402d 15424 braa. */
193614f2 15425 return 643;
a06ea964
NC
15426 }
15427 else
15428 {
15429 /* 33222222222211111111110000000000
15430 10987654321098765432109876543210
7684e580 15431 x1010111xx0xxxxxxxxxx1xxxxxxxxxx
74f5402d 15432 brab. */
193614f2 15433 return 644;
a06ea964
NC
15434 }
15435 }
c0890d26 15436 }
a06ea964
NC
15437 else
15438 {
74f5402d
SN
15439 if (((word >> 25) & 0x1) == 0)
15440 {
15441 /* 33222222222211111111110000000000
15442 10987654321098765432109876543210
7684e580 15443 x1010101xx1xxxxxxxxxxxxxxxxxxxxx
b83b4b13
SD
15444 tstart. */
15445 return 1188;
74f5402d
SN
15446 }
15447 else
15448 {
15449 if (((word >> 10) & 0x1) == 0)
15450 {
15451 /* 33222222222211111111110000000000
15452 10987654321098765432109876543210
7684e580 15453 x1010111xx1xxxxxxxxxx0xxxxxxxxxx
74f5402d 15454 blraa. */
193614f2 15455 return 645;
74f5402d
SN
15456 }
15457 else
15458 {
15459 /* 33222222222211111111110000000000
15460 10987654321098765432109876543210
7684e580 15461 x1010111xx1xxxxxxxxxx1xxxxxxxxxx
74f5402d 15462 blrab. */
193614f2 15463 return 646;
74f5402d
SN
15464 }
15465 }
a06ea964
NC
15466 }
15467 }
15468 }
c0890d26
RS
15469 }
15470 else
15471 {
15472 if (((word >> 24) & 0x1) == 0)
a06ea964 15473 {
c0890d26 15474 if (((word >> 25) & 0x1) == 0)
a06ea964 15475 {
df7b4545
JW
15476 /* 33222222222211111111110000000000
15477 10987654321098765432109876543210
7684e580 15478 xx110100xxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 15479 cbz. */
193614f2 15480 return 655;
a06ea964
NC
15481 }
15482 else
15483 {
df7b4545
JW
15484 /* 33222222222211111111110000000000
15485 10987654321098765432109876543210
7684e580 15486 xx110110xxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 15487 tbz. */
b83b4b13 15488 return 1235;
a06ea964
NC
15489 }
15490 }
a06ea964
NC
15491 else
15492 {
c0890d26
RS
15493 if (((word >> 25) & 0x1) == 0)
15494 {
15495 /* 33222222222211111111110000000000
15496 10987654321098765432109876543210
7684e580 15497 xx110101xxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 15498 cbnz. */
193614f2 15499 return 656;
c0890d26
RS
15500 }
15501 else
15502 {
15503 /* 33222222222211111111110000000000
15504 10987654321098765432109876543210
7684e580 15505 xx110111xxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 15506 tbnz. */
b83b4b13 15507 return 1236;
c0890d26 15508 }
a06ea964
NC
15509 }
15510 }
15511 }
15512 }
15513 else
15514 {
15515 if (((word >> 25) & 0x1) == 0)
15516 {
15517 if (((word >> 28) & 0x1) == 0)
15518 {
15519 if (((word >> 22) & 0x1) == 0)
15520 {
15521 if (((word >> 23) & 0x1) == 0)
15522 {
15523 if (((word >> 24) & 0x1) == 0)
15524 {
15525 if (((word >> 29) & 0x1) == 0)
15526 {
15527 /* 33222222222211111111110000000000
15528 10987654321098765432109876543210
7684e580 15529 xx00110000xxxxxxxxxxxxxxxxxxxxxx
a06ea964 15530 st4. */
193614f2 15531 return 440;
a06ea964
NC
15532 }
15533 else
15534 {
15535 /* 33222222222211111111110000000000
15536 10987654321098765432109876543210
7684e580 15537 xx10110000xxxxxxxxxxxxxxxxxxxxxx
a06ea964 15538 stnp. */
e54010f1 15539 return 972;
a06ea964
NC
15540 }
15541 }
15542 else
15543 {
15544 if (((word >> 29) & 0x1) == 0)
15545 {
15546 if (((word >> 13) & 0x1) == 0)
15547 {
15548 if (((word >> 21) & 0x1) == 0)
15549 {
15550 /* 33222222222211111111110000000000
15551 10987654321098765432109876543210
7684e580 15552 xx001101000xxxxxxx0xxxxxxxxxxxxx
a06ea964 15553 st1. */
193614f2 15554 return 456;
a06ea964
NC
15555 }
15556 else
15557 {
15558 /* 33222222222211111111110000000000
15559 10987654321098765432109876543210
7684e580 15560 xx001101001xxxxxxx0xxxxxxxxxxxxx
a06ea964 15561 st2. */
193614f2 15562 return 458;
a06ea964
NC
15563 }
15564 }
15565 else
15566 {
15567 if (((word >> 21) & 0x1) == 0)
15568 {
15569 /* 33222222222211111111110000000000
15570 10987654321098765432109876543210
7684e580 15571 xx001101000xxxxxxx1xxxxxxxxxxxxx
a06ea964 15572 st3. */
193614f2 15573 return 457;
a06ea964
NC
15574 }
15575 else
15576 {
15577 /* 33222222222211111111110000000000
15578 10987654321098765432109876543210
7684e580 15579 xx001101001xxxxxxx1xxxxxxxxxxxxx
a06ea964 15580 st4. */
193614f2 15581 return 459;
a06ea964
NC
15582 }
15583 }
15584 }
15585 else
15586 {
15587 /* 33222222222211111111110000000000
15588 10987654321098765432109876543210
7684e580 15589 xx10110100xxxxxxxxxxxxxxxxxxxxxx
a06ea964 15590 stp. */
e54010f1 15591 return 976;
a06ea964
NC
15592 }
15593 }
15594 }
15595 else
15596 {
15597 if (((word >> 29) & 0x1) == 0)
15598 {
15599 if (((word >> 21) & 0x1) == 0)
15600 {
15601 if (((word >> 24) & 0x1) == 0)
15602 {
15603 /* 33222222222211111111110000000000
15604 10987654321098765432109876543210
7684e580 15605 xx001100100xxxxxxxxxxxxxxxxxxxxx
a06ea964 15606 st4. */
193614f2 15607 return 448;
a06ea964
NC
15608 }
15609 else
15610 {
15611 if (((word >> 13) & 0x1) == 0)
15612 {
15613 /* 33222222222211111111110000000000
15614 10987654321098765432109876543210
7684e580 15615 xx001101100xxxxxxx0xxxxxxxxxxxxx
a06ea964 15616 st1. */
193614f2 15617 return 468;
a06ea964
NC
15618 }
15619 else
15620 {
15621 /* 33222222222211111111110000000000
15622 10987654321098765432109876543210
7684e580 15623 xx001101100xxxxxxx1xxxxxxxxxxxxx
a06ea964 15624 st3. */
193614f2 15625 return 469;
a06ea964
NC
15626 }
15627 }
15628 }
15629 else
15630 {
15631 if (((word >> 13) & 0x1) == 0)
15632 {
15633 /* 33222222222211111111110000000000
15634 10987654321098765432109876543210
7684e580 15635 xx00110x101xxxxxxx0xxxxxxxxxxxxx
a06ea964 15636 st2. */
193614f2 15637 return 470;
a06ea964
NC
15638 }
15639 else
15640 {
15641 /* 33222222222211111111110000000000
15642 10987654321098765432109876543210
7684e580 15643 xx00110x101xxxxxxx1xxxxxxxxxxxxx
a06ea964 15644 st4. */
193614f2 15645 return 471;
a06ea964
NC
15646 }
15647 }
15648 }
15649 else
15650 {
15651 /* 33222222222211111111110000000000
15652 10987654321098765432109876543210
7684e580 15653 xx10110x10xxxxxxxxxxxxxxxxxxxxxx
a06ea964 15654 stp. */
e54010f1 15655 return 982;
a06ea964
NC
15656 }
15657 }
15658 }
15659 else
15660 {
15661 if (((word >> 23) & 0x1) == 0)
15662 {
15663 if (((word >> 24) & 0x1) == 0)
15664 {
15665 if (((word >> 29) & 0x1) == 0)
15666 {
15667 /* 33222222222211111111110000000000
15668 10987654321098765432109876543210
7684e580 15669 xx00110001xxxxxxxxxxxxxxxxxxxxxx
a06ea964 15670 ld4. */
193614f2 15671 return 444;
a06ea964
NC
15672 }
15673 else
15674 {
15675 /* 33222222222211111111110000000000
15676 10987654321098765432109876543210
7684e580 15677 xx10110001xxxxxxxxxxxxxxxxxxxxxx
a06ea964 15678 ldnp. */
e54010f1 15679 return 973;
a06ea964
NC
15680 }
15681 }
15682 else
15683 {
15684 if (((word >> 29) & 0x1) == 0)
15685 {
15686 if (((word >> 13) & 0x1) == 0)
15687 {
15688 if (((word >> 21) & 0x1) == 0)
15689 {
15690 /* 33222222222211111111110000000000
15691 10987654321098765432109876543210
7684e580 15692 xx001101010xxxxxxx0xxxxxxxxxxxxx
a06ea964 15693 ld1. */
193614f2 15694 return 460;
a06ea964
NC
15695 }
15696 else
15697 {
15698 /* 33222222222211111111110000000000
15699 10987654321098765432109876543210
7684e580 15700 xx001101011xxxxxxx0xxxxxxxxxxxxx
a06ea964 15701 ld2. */
193614f2 15702 return 464;
a06ea964
NC
15703 }
15704 }
15705 else
15706 {
15707 if (((word >> 21) & 0x1) == 0)
15708 {
15709 /* 33222222222211111111110000000000
15710 10987654321098765432109876543210
7684e580 15711 xx001101010xxxxxxx1xxxxxxxxxxxxx
a06ea964 15712 ld3. */
193614f2 15713 return 461;
a06ea964
NC
15714 }
15715 else
15716 {
15717 /* 33222222222211111111110000000000
15718 10987654321098765432109876543210
7684e580 15719 xx001101011xxxxxxx1xxxxxxxxxxxxx
a06ea964 15720 ld4. */
193614f2 15721 return 465;
a06ea964
NC
15722 }
15723 }
15724 }
15725 else
15726 {
15727 /* 33222222222211111111110000000000
15728 10987654321098765432109876543210
7684e580 15729 xx10110101xxxxxxxxxxxxxxxxxxxxxx
a06ea964 15730 ldp. */
e54010f1 15731 return 977;
a06ea964
NC
15732 }
15733 }
15734 }
15735 else
15736 {
15737 if (((word >> 29) & 0x1) == 0)
15738 {
15739 if (((word >> 21) & 0x1) == 0)
15740 {
15741 if (((word >> 24) & 0x1) == 0)
15742 {
15743 /* 33222222222211111111110000000000
15744 10987654321098765432109876543210
7684e580 15745 xx001100110xxxxxxxxxxxxxxxxxxxxx
a06ea964 15746 ld4. */
193614f2 15747 return 452;
a06ea964
NC
15748 }
15749 else
15750 {
15751 if (((word >> 13) & 0x1) == 0)
15752 {
15753 /* 33222222222211111111110000000000
15754 10987654321098765432109876543210
7684e580 15755 xx001101110xxxxxxx0xxxxxxxxxxxxx
a06ea964 15756 ld1. */
193614f2 15757 return 472;
a06ea964
NC
15758 }
15759 else
15760 {
15761 /* 33222222222211111111110000000000
15762 10987654321098765432109876543210
7684e580 15763 xx001101110xxxxxxx1xxxxxxxxxxxxx
a06ea964 15764 ld3. */
193614f2 15765 return 473;
a06ea964
NC
15766 }
15767 }
15768 }
15769 else
15770 {
15771 if (((word >> 13) & 0x1) == 0)
15772 {
15773 /* 33222222222211111111110000000000
15774 10987654321098765432109876543210
7684e580 15775 xx00110x111xxxxxxx0xxxxxxxxxxxxx
a06ea964 15776 ld2. */
193614f2 15777 return 476;
a06ea964
NC
15778 }
15779 else
15780 {
15781 /* 33222222222211111111110000000000
15782 10987654321098765432109876543210
7684e580 15783 xx00110x111xxxxxxx1xxxxxxxxxxxxx
a06ea964 15784 ld4. */
193614f2 15785 return 477;
a06ea964
NC
15786 }
15787 }
15788 }
15789 else
15790 {
15791 /* 33222222222211111111110000000000
15792 10987654321098765432109876543210
7684e580 15793 xx10110x11xxxxxxxxxxxxxxxxxxxxxx
a06ea964 15794 ldp. */
e54010f1 15795 return 983;
a06ea964
NC
15796 }
15797 }
15798 }
15799 }
15800 else
15801 {
15802 if (((word >> 24) & 0x1) == 0)
15803 {
15804 if (((word >> 29) & 0x1) == 0)
15805 {
15806 /* 33222222222211111111110000000000
15807 10987654321098765432109876543210
7684e580 15808 xx011100xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 15809 ldr. */
e54010f1 15810 return 987;
a06ea964
NC
15811 }
15812 else
15813 {
15814 if (((word >> 10) & 0x1) == 0)
15815 {
15816 if (((word >> 11) & 0x1) == 0)
15817 {
15818 if (((word >> 22) & 0x1) == 0)
15819 {
15820 /* 33222222222211111111110000000000
15821 10987654321098765432109876543210
7684e580 15822 xx111100x0xxxxxxxxxx00xxxxxxxxxx
a06ea964 15823 stur. */
fb3265b3 15824 return 924;
a06ea964
NC
15825 }
15826 else
15827 {
15828 /* 33222222222211111111110000000000
15829 10987654321098765432109876543210
7684e580 15830 xx111100x1xxxxxxxxxx00xxxxxxxxxx
a06ea964 15831 ldur. */
fb3265b3 15832 return 925;
a06ea964
NC
15833 }
15834 }
15835 else
15836 {
15837 if (((word >> 22) & 0x1) == 0)
15838 {
15839 /* 33222222222211111111110000000000
15840 10987654321098765432109876543210
7684e580 15841 xx111100x0xxxxxxxxxx10xxxxxxxxxx
a06ea964 15842 str. */
fb3265b3 15843 return 903;
a06ea964
NC
15844 }
15845 else
15846 {
15847 /* 33222222222211111111110000000000
15848 10987654321098765432109876543210
7684e580 15849 xx111100x1xxxxxxxxxx10xxxxxxxxxx
a06ea964 15850 ldr. */
fb3265b3 15851 return 904;
a06ea964
NC
15852 }
15853 }
15854 }
15855 else
15856 {
15857 if (((word >> 22) & 0x1) == 0)
15858 {
15859 /* 33222222222211111111110000000000
15860 10987654321098765432109876543210
7684e580 15861 xx111100x0xxxxxxxxxxx1xxxxxxxxxx
a06ea964 15862 str. */
b731bc3b 15863 return 872;
a06ea964
NC
15864 }
15865 else
15866 {
15867 /* 33222222222211111111110000000000
15868 10987654321098765432109876543210
7684e580 15869 xx111100x1xxxxxxxxxxx1xxxxxxxxxx
a06ea964 15870 ldr. */
b731bc3b 15871 return 873;
a06ea964
NC
15872 }
15873 }
15874 }
15875 }
15876 else
15877 {
15878 if (((word >> 22) & 0x1) == 0)
15879 {
15880 /* 33222222222211111111110000000000
15881 10987654321098765432109876543210
7684e580 15882 xxx11101x0xxxxxxxxxxxxxxxxxxxxxx
a06ea964 15883 str. */
fb3265b3 15884 return 891;
a06ea964
NC
15885 }
15886 else
15887 {
15888 /* 33222222222211111111110000000000
15889 10987654321098765432109876543210
7684e580 15890 xxx11101x1xxxxxxxxxxxxxxxxxxxxxx
a06ea964 15891 ldr. */
fb3265b3 15892 return 892;
a06ea964
NC
15893 }
15894 }
15895 }
15896 }
15897 else
15898 {
15899 if (((word >> 24) & 0x1) == 0)
15900 {
15901 if (((word >> 21) & 0x1) == 0)
15902 {
15903 if (((word >> 28) & 0x1) == 0)
15904 {
e9dbdd80 15905 if (((word >> 29) & 0x1) == 0)
a06ea964 15906 {
e9dbdd80 15907 if (((word >> 31) & 0x1) == 0)
a06ea964 15908 {
e9dbdd80 15909 if (((word >> 10) & 0x1) == 0)
a06ea964 15910 {
e9dbdd80 15911 if (((word >> 11) & 0x1) == 0)
a06ea964 15912 {
e9dbdd80 15913 if (((word >> 12) & 0x1) == 0)
a06ea964
NC
15914 {
15915 /* 33222222222211111111110000000000
15916 10987654321098765432109876543210
e9dbdd80
TC
15917 0x001110xx0xxxxxxxx000xxxxxxxxxx
15918 tbl. */
193614f2 15919 return 420;
a06ea964
NC
15920 }
15921 else
15922 {
15923 /* 33222222222211111111110000000000
15924 10987654321098765432109876543210
e9dbdd80
TC
15925 0x001110xx0xxxxxxxx100xxxxxxxxxx
15926 tbx. */
193614f2 15927 return 421;
a06ea964
NC
15928 }
15929 }
15930 else
15931 {
e9dbdd80 15932 if (((word >> 12) & 0x1) == 0)
a06ea964
NC
15933 {
15934 if (((word >> 14) & 0x1) == 0)
15935 {
15936 /* 33222222222211111111110000000000
15937 10987654321098765432109876543210
e9dbdd80
TC
15938 0x001110xx0xxxxxx0x010xxxxxxxxxx
15939 trn1. */
193614f2 15940 return 263;
a06ea964
NC
15941 }
15942 else
15943 {
15944 /* 33222222222211111111110000000000
15945 10987654321098765432109876543210
e9dbdd80
TC
15946 0x001110xx0xxxxxx1x010xxxxxxxxxx
15947 trn2. */
193614f2 15948 return 266;
a06ea964
NC
15949 }
15950 }
51d543ed
MW
15951 else
15952 {
e9dbdd80 15953 if (((word >> 13) & 0x1) == 0)
51d543ed 15954 {
e9dbdd80 15955 if (((word >> 14) & 0x1) == 0)
51d543ed
MW
15956 {
15957 /* 33222222222211111111110000000000
15958 10987654321098765432109876543210
e9dbdd80
TC
15959 0x001110xx0xxxxxx00110xxxxxxxxxx
15960 uzp1. */
193614f2 15961 return 262;
51d543ed
MW
15962 }
15963 else
15964 {
15965 /* 33222222222211111111110000000000
15966 10987654321098765432109876543210
e9dbdd80
TC
15967 0x001110xx0xxxxxx10110xxxxxxxxxx
15968 uzp2. */
193614f2 15969 return 265;
51d543ed
MW
15970 }
15971 }
15972 else
15973 {
e9dbdd80 15974 if (((word >> 14) & 0x1) == 0)
51d543ed
MW
15975 {
15976 /* 33222222222211111111110000000000
15977 10987654321098765432109876543210
e9dbdd80
TC
15978 0x001110xx0xxxxxx01110xxxxxxxxxx
15979 zip1. */
193614f2 15980 return 264;
51d543ed
MW
15981 }
15982 else
15983 {
15984 /* 33222222222211111111110000000000
15985 10987654321098765432109876543210
e9dbdd80
TC
15986 0x001110xx0xxxxxx11110xxxxxxxxxx
15987 zip2. */
193614f2 15988 return 267;
51d543ed
MW
15989 }
15990 }
15991 }
15992 }
51d543ed
MW
15993 }
15994 else
15995 {
15996 if (((word >> 11) & 0x1) == 0)
15997 {
15998 if (((word >> 12) & 0x1) == 0)
15999 {
16000 if (((word >> 13) & 0x1) == 0)
16001 {
e9dbdd80 16002 if (((word >> 22) & 0x1) == 0)
51d543ed 16003 {
e9dbdd80
TC
16004 /* 33222222222211111111110000000000
16005 10987654321098765432109876543210
16006 0x001110x00xxxxxxx0001xxxxxxxxxx
16007 dup. */
193614f2 16008 return 149;
e9dbdd80
TC
16009 }
16010 else
16011 {
16012 if (((word >> 23) & 0x1) == 0)
51d543ed
MW
16013 {
16014 /* 33222222222211111111110000000000
16015 10987654321098765432109876543210
e9dbdd80 16016 0x001110010xxxxxxx0001xxxxxxxxxx
51d543ed 16017 fmaxnm. */
193614f2 16018 return 292;
51d543ed
MW
16019 }
16020 else
16021 {
16022 /* 33222222222211111111110000000000
16023 10987654321098765432109876543210
e9dbdd80 16024 0x001110110xxxxxxx0001xxxxxxxxxx
51d543ed 16025 fminnm. */
193614f2 16026 return 308;
51d543ed 16027 }
51d543ed
MW
16028 }
16029 }
16030 else
16031 {
e9dbdd80
TC
16032 /* 33222222222211111111110000000000
16033 10987654321098765432109876543210
16034 0x001110xx0xxxxxxx1001xxxxxxxxxx
16035 fcmeq. */
193614f2 16036 return 300;
51d543ed
MW
16037 }
16038 }
16039 else
16040 {
16041 if (((word >> 13) & 0x1) == 0)
16042 {
e9dbdd80 16043 if (((word >> 15) & 0x1) == 0)
51d543ed 16044 {
e9dbdd80 16045 if (((word >> 23) & 0x1) == 0)
51d543ed
MW
16046 {
16047 /* 33222222222211111111110000000000
16048 10987654321098765432109876543210
e9dbdd80 16049 0x0011100x0xxxxx0x0101xxxxxxxxxx
51d543ed 16050 fadd. */
193614f2 16051 return 296;
51d543ed
MW
16052 }
16053 else
16054 {
16055 /* 33222222222211111111110000000000
16056 10987654321098765432109876543210
e9dbdd80
TC
16057 0x0011101x0xxxxx0x0101xxxxxxxxxx
16058 fsub. */
193614f2 16059 return 312;
51d543ed
MW
16060 }
16061 }
16062 else
16063 {
e9dbdd80
TC
16064 /* 33222222222211111111110000000000
16065 10987654321098765432109876543210
16066 0x001110xx0xxxxx1x0101xxxxxxxxxx
16067 sdot. */
42e6288f 16068 return 2335;
51d543ed
MW
16069 }
16070 }
16071 else
16072 {
16073 if (((word >> 23) & 0x1) == 0)
16074 {
e9dbdd80
TC
16075 /* 33222222222211111111110000000000
16076 10987654321098765432109876543210
16077 0x0011100x0xxxxxxx1101xxxxxxxxxx
16078 fmax. */
193614f2 16079 return 302;
51d543ed
MW
16080 }
16081 else
16082 {
e9dbdd80
TC
16083 /* 33222222222211111111110000000000
16084 10987654321098765432109876543210
16085 0x0011101x0xxxxxxx1101xxxxxxxxxx
16086 fmin. */
193614f2 16087 return 314;
51d543ed
MW
16088 }
16089 }
16090 }
a06ea964
NC
16091 }
16092 else
16093 {
9e1f0fa7 16094 if (((word >> 12) & 0x1) == 0)
a06ea964 16095 {
9e1f0fa7
MW
16096 if (((word >> 13) & 0x1) == 0)
16097 {
e9dbdd80 16098 if (((word >> 22) & 0x1) == 0)
51d543ed
MW
16099 {
16100 /* 33222222222211111111110000000000
16101 10987654321098765432109876543210
e9dbdd80
TC
16102 0x001110x00xxxxxxx0011xxxxxxxxxx
16103 dup. */
193614f2 16104 return 150;
51d543ed
MW
16105 }
16106 else
16107 {
e9dbdd80
TC
16108 if (((word >> 23) & 0x1) == 0)
16109 {
16110 /* 33222222222211111111110000000000
16111 10987654321098765432109876543210
16112 0x001110010xxxxxxx0011xxxxxxxxxx
16113 fmla. */
193614f2 16114 return 294;
e9dbdd80
TC
16115 }
16116 else
16117 {
16118 /* 33222222222211111111110000000000
16119 10987654321098765432109876543210
16120 0x001110110xxxxxxx0011xxxxxxxxxx
16121 fmls. */
193614f2 16122 return 310;
e9dbdd80 16123 }
51d543ed 16124 }
9e1f0fa7
MW
16125 }
16126 else
16127 {
e9dbdd80
TC
16128 /* 33222222222211111111110000000000
16129 10987654321098765432109876543210
16130 0x001110xx0xxxxxxx1011xxxxxxxxxx
16131 smov. */
193614f2 16132 return 151;
9e1f0fa7 16133 }
a06ea964
NC
16134 }
16135 else
16136 {
9e1f0fa7
MW
16137 if (((word >> 13) & 0x1) == 0)
16138 {
e9dbdd80 16139 if (((word >> 22) & 0x1) == 0)
51d543ed
MW
16140 {
16141 /* 33222222222211111111110000000000
16142 10987654321098765432109876543210
e9dbdd80
TC
16143 0x001110x00xxxxxxx0111xxxxxxxxxx
16144 ins. */
193614f2 16145 return 154;
51d543ed
MW
16146 }
16147 else
16148 {
16149 /* 33222222222211111111110000000000
16150 10987654321098765432109876543210
e9dbdd80
TC
16151 0x001110x10xxxxxxx0111xxxxxxxxxx
16152 fmulx. */
193614f2 16153 return 298;
51d543ed 16154 }
9e1f0fa7
MW
16155 }
16156 else
16157 {
e9dbdd80 16158 if (((word >> 22) & 0x1) == 0)
51d543ed 16159 {
e9dbdd80
TC
16160 /* 33222222222211111111110000000000
16161 10987654321098765432109876543210
16162 0x001110x00xxxxxxx1111xxxxxxxxxx
16163 umov. */
193614f2 16164 return 152;
e9dbdd80
TC
16165 }
16166 else
16167 {
16168 if (((word >> 23) & 0x1) == 0)
51d543ed
MW
16169 {
16170 /* 33222222222211111111110000000000
16171 10987654321098765432109876543210
e9dbdd80 16172 0x001110010xxxxxxx1111xxxxxxxxxx
51d543ed 16173 frecps. */
193614f2 16174 return 304;
51d543ed
MW
16175 }
16176 else
16177 {
16178 /* 33222222222211111111110000000000
16179 10987654321098765432109876543210
e9dbdd80
TC
16180 0x001110110xxxxxxx1111xxxxxxxxxx
16181 frsqrts. */
193614f2 16182 return 316;
51d543ed
MW
16183 }
16184 }
9e1f0fa7 16185 }
a06ea964
NC
16186 }
16187 }
16188 }
a06ea964
NC
16189 }
16190 else
16191 {
e9dbdd80 16192 if (((word >> 22) & 0x1) == 0)
a06ea964 16193 {
e9dbdd80 16194 if (((word >> 23) & 0x1) == 0)
a06ea964 16195 {
9e1f0fa7
MW
16196 /* 33222222222211111111110000000000
16197 10987654321098765432109876543210
e9dbdd80
TC
16198 1x001110000xxxxxxxxxxxxxxxxxxxxx
16199 eor3. */
42e6288f 16200 return 2342;
a06ea964
NC
16201 }
16202 else
16203 {
9e1f0fa7
MW
16204 /* 33222222222211111111110000000000
16205 10987654321098765432109876543210
e9dbdd80
TC
16206 1x001110100xxxxxxxxxxxxxxxxxxxxx
16207 xar. */
42e6288f 16208 return 2344;
9e1f0fa7
MW
16209 }
16210 }
16211 else
16212 {
e9dbdd80 16213 if (((word >> 15) & 0x1) == 0)
9e1f0fa7
MW
16214 {
16215 /* 33222222222211111111110000000000
16216 10987654321098765432109876543210
e9dbdd80
TC
16217 1x001110x10xxxxx0xxxxxxxxxxxxxxx
16218 sm3ss1. */
42e6288f 16219 return 2346;
9e1f0fa7
MW
16220 }
16221 else
16222 {
e9dbdd80 16223 if (((word >> 10) & 0x1) == 0)
a06ea964 16224 {
e9dbdd80 16225 if (((word >> 11) & 0x1) == 0)
9e1f0fa7 16226 {
e9dbdd80
TC
16227 if (((word >> 23) & 0x1) == 0)
16228 {
16229 /* 33222222222211111111110000000000
16230 10987654321098765432109876543210
16231 1x001110010xxxxx1xxx00xxxxxxxxxx
16232 sm3tt1a. */
42e6288f 16233 return 2347;
e9dbdd80
TC
16234 }
16235 else
16236 {
16237 /* 33222222222211111111110000000000
16238 10987654321098765432109876543210
16239 1x001110110xxxxx1xxx00xxxxxxxxxx
16240 sha512su0. */
42e6288f 16241 return 2340;
e9dbdd80 16242 }
9e1f0fa7
MW
16243 }
16244 else
16245 {
16246 /* 33222222222211111111110000000000
16247 10987654321098765432109876543210
e9dbdd80
TC
16248 1x001110x10xxxxx1xxx10xxxxxxxxxx
16249 sm3tt2a. */
42e6288f 16250 return 2349;
9e1f0fa7 16251 }
a06ea964
NC
16252 }
16253 else
16254 {
e9dbdd80 16255 if (((word >> 11) & 0x1) == 0)
9e1f0fa7 16256 {
e9dbdd80
TC
16257 if (((word >> 23) & 0x1) == 0)
16258 {
16259 /* 33222222222211111111110000000000
16260 10987654321098765432109876543210
16261 1x001110010xxxxx1xxx01xxxxxxxxxx
16262 sm3tt1b. */
42e6288f 16263 return 2348;
e9dbdd80
TC
16264 }
16265 else
16266 {
16267 /* 33222222222211111111110000000000
16268 10987654321098765432109876543210
16269 1x001110110xxxxx1xxx01xxxxxxxxxx
16270 sm4e. */
42e6288f 16271 return 2353;
e9dbdd80 16272 }
9e1f0fa7
MW
16273 }
16274 else
16275 {
16276 /* 33222222222211111111110000000000
16277 10987654321098765432109876543210
e9dbdd80
TC
16278 1x001110x10xxxxx1xxx11xxxxxxxxxx
16279 sm3tt2b. */
42e6288f 16280 return 2350;
9e1f0fa7 16281 }
a06ea964 16282 }
a06ea964 16283 }
9e1f0fa7 16284 }
e9dbdd80
TC
16285 }
16286 }
16287 else
16288 {
16289 if (((word >> 10) & 0x1) == 0)
16290 {
16291 /* 33222222222211111111110000000000
16292 10987654321098765432109876543210
16293 xx101110xx0xxxxxxxxxx0xxxxxxxxxx
16294 ext. */
193614f2 16295 return 132;
e9dbdd80
TC
16296 }
16297 else
16298 {
16299 if (((word >> 15) & 0x1) == 0)
9e1f0fa7 16300 {
e9dbdd80 16301 if (((word >> 22) & 0x1) == 0)
6b4680fb 16302 {
e9dbdd80
TC
16303 /* 33222222222211111111110000000000
16304 10987654321098765432109876543210
16305 xx101110x00xxxxx0xxxx1xxxxxxxxxx
16306 ins. */
193614f2 16307 return 156;
6b4680fb
MW
16308 }
16309 else
16310 {
e9dbdd80 16311 if (((word >> 11) & 0x1) == 0)
6b4680fb 16312 {
e9dbdd80 16313 if (((word >> 12) & 0x1) == 0)
6b4680fb 16314 {
e9dbdd80 16315 if (((word >> 13) & 0x1) == 0)
a06ea964 16316 {
e9dbdd80 16317 if (((word >> 23) & 0x1) == 0)
a06ea964
NC
16318 {
16319 /* 33222222222211111111110000000000
16320 10987654321098765432109876543210
e9dbdd80
TC
16321 xx101110010xxxxx0x0001xxxxxxxxxx
16322 fmaxnmp. */
193614f2 16323 return 343;
a06ea964
NC
16324 }
16325 else
16326 {
16327 /* 33222222222211111111110000000000
16328 10987654321098765432109876543210
e9dbdd80
TC
16329 xx101110110xxxxx0x0001xxxxxxxxxx
16330 fminnmp. */
193614f2 16331 return 359;
a06ea964
NC
16332 }
16333 }
16334 else
16335 {
e9dbdd80 16336 if (((word >> 23) & 0x1) == 0)
a06ea964
NC
16337 {
16338 /* 33222222222211111111110000000000
16339 10987654321098765432109876543210
e9dbdd80
TC
16340 xx101110010xxxxx0x1001xxxxxxxxxx
16341 fcmge. */
193614f2 16342 return 349;
a06ea964
NC
16343 }
16344 else
16345 {
16346 /* 33222222222211111111110000000000
16347 10987654321098765432109876543210
e9dbdd80
TC
16348 xx101110110xxxxx0x1001xxxxxxxxxx
16349 fcmgt. */
193614f2 16350 return 363;
a06ea964
NC
16351 }
16352 }
16353 }
16354 else
16355 {
e9dbdd80 16356 if (((word >> 13) & 0x1) == 0)
a06ea964 16357 {
e9dbdd80 16358 if (((word >> 23) & 0x1) == 0)
a06ea964 16359 {
e9dbdd80
TC
16360 /* 33222222222211111111110000000000
16361 10987654321098765432109876543210
16362 xx101110010xxxxx0x0101xxxxxxxxxx
16363 faddp. */
193614f2 16364 return 345;
a06ea964
NC
16365 }
16366 else
16367 {
e9dbdd80
TC
16368 /* 33222222222211111111110000000000
16369 10987654321098765432109876543210
16370 xx101110110xxxxx0x0101xxxxxxxxxx
16371 fabd. */
193614f2 16372 return 361;
a06ea964
NC
16373 }
16374 }
16375 else
16376 {
e9dbdd80 16377 if (((word >> 23) & 0x1) == 0)
a06ea964 16378 {
e9dbdd80
TC
16379 /* 33222222222211111111110000000000
16380 10987654321098765432109876543210
16381 xx101110010xxxxx0x1101xxxxxxxxxx
16382 fmaxp. */
193614f2 16383 return 353;
a06ea964
NC
16384 }
16385 else
16386 {
e9dbdd80
TC
16387 /* 33222222222211111111110000000000
16388 10987654321098765432109876543210
16389 xx101110110xxxxx0x1101xxxxxxxxxx
16390 fminp. */
193614f2 16391 return 367;
a06ea964
NC
16392 }
16393 }
16394 }
16395 }
16396 else
16397 {
e9dbdd80 16398 if (((word >> 12) & 0x1) == 0)
a06ea964 16399 {
e9dbdd80 16400 if (((word >> 23) & 0x1) == 0)
a06ea964
NC
16401 {
16402 /* 33222222222211111111110000000000
16403 10987654321098765432109876543210
e9dbdd80
TC
16404 xx101110010xxxxx0xx011xxxxxxxxxx
16405 facge. */
193614f2 16406 return 351;
a06ea964
NC
16407 }
16408 else
16409 {
16410 /* 33222222222211111111110000000000
16411 10987654321098765432109876543210
e9dbdd80
TC
16412 xx101110110xxxxx0xx011xxxxxxxxxx
16413 facgt. */
193614f2 16414 return 365;
a06ea964
NC
16415 }
16416 }
16417 else
16418 {
e9dbdd80 16419 if (((word >> 13) & 0x1) == 0)
a06ea964
NC
16420 {
16421 /* 33222222222211111111110000000000
16422 10987654321098765432109876543210
e9dbdd80
TC
16423 xx101110x10xxxxx0x0111xxxxxxxxxx
16424 fmul. */
193614f2 16425 return 347;
a06ea964
NC
16426 }
16427 else
16428 {
16429 /* 33222222222211111111110000000000
16430 10987654321098765432109876543210
e9dbdd80
TC
16431 xx101110x10xxxxx0x1111xxxxxxxxxx
16432 fdiv. */
193614f2 16433 return 355;
a06ea964
NC
16434 }
16435 }
16436 }
16437 }
e9dbdd80
TC
16438 }
16439 else
16440 {
16441 if (((word >> 13) & 0x1) == 0)
a06ea964 16442 {
e9dbdd80 16443 if (((word >> 14) & 0x1) == 0)
a06ea964 16444 {
e9dbdd80 16445 if (((word >> 11) & 0x1) == 0)
a06ea964 16446 {
e9dbdd80 16447 if (((word >> 12) & 0x1) == 0)
a06ea964 16448 {
e9dbdd80
TC
16449 /* 33222222222211111111110000000000
16450 10987654321098765432109876543210
16451 xx101110xx0xxxxx100001xxxxxxxxxx
16452 sqrdmlah. */
193614f2 16453 return 370;
a06ea964
NC
16454 }
16455 else
16456 {
e9dbdd80
TC
16457 /* 33222222222211111111110000000000
16458 10987654321098765432109876543210
16459 xx101110xx0xxxxx100101xxxxxxxxxx
16460 udot. */
42e6288f 16461 return 2334;
a06ea964
NC
16462 }
16463 }
16464 else
16465 {
e9dbdd80
TC
16466 /* 33222222222211111111110000000000
16467 10987654321098765432109876543210
16468 xx101110xx0xxxxx100x11xxxxxxxxxx
16469 sqrdmlsh. */
193614f2 16470 return 371;
a06ea964
NC
16471 }
16472 }
16473 else
16474 {
e9dbdd80
TC
16475 /* 33222222222211111111110000000000
16476 10987654321098765432109876543210
16477 xx101110xx0xxxxx110xx1xxxxxxxxxx
16478 fcmla. */
193614f2 16479 return 372;
a06ea964
NC
16480 }
16481 }
e9dbdd80
TC
16482 else
16483 {
16484 /* 33222222222211111111110000000000
16485 10987654321098765432109876543210
16486 xx101110xx0xxxxx1x1xx1xxxxxxxxxx
16487 fcadd. */
193614f2 16488 return 373;
e9dbdd80 16489 }
a06ea964 16490 }
e9dbdd80
TC
16491 }
16492 }
16493 }
16494 else
16495 {
16496 if (((word >> 29) & 0x1) == 0)
16497 {
16498 if (((word >> 30) & 0x1) == 0)
16499 {
16500 if (((word >> 16) & 0x1) == 0)
a06ea964 16501 {
e9dbdd80 16502 if (((word >> 17) & 0x1) == 0)
a06ea964 16503 {
e9dbdd80
TC
16504 /* 33222222222211111111110000000000
16505 10987654321098765432109876543210
16506 x0011110xx0xxx00xxxxxxxxxxxxxxxx
16507 fcvtzs. */
b731bc3b 16508 return 763;
e9dbdd80
TC
16509 }
16510 else
16511 {
16512 /* 33222222222211111111110000000000
16513 10987654321098765432109876543210
16514 x0011110xx0xxx10xxxxxxxxxxxxxxxx
16515 scvtf. */
b731bc3b 16516 return 759;
e9dbdd80
TC
16517 }
16518 }
16519 else
16520 {
16521 if (((word >> 17) & 0x1) == 0)
16522 {
16523 /* 33222222222211111111110000000000
16524 10987654321098765432109876543210
16525 x0011110xx0xxx01xxxxxxxxxxxxxxxx
16526 fcvtzu. */
b731bc3b 16527 return 765;
e9dbdd80
TC
16528 }
16529 else
16530 {
16531 /* 33222222222211111111110000000000
16532 10987654321098765432109876543210
16533 x0011110xx0xxx11xxxxxxxxxxxxxxxx
16534 ucvtf. */
b731bc3b 16535 return 761;
e9dbdd80
TC
16536 }
16537 }
16538 }
16539 else
16540 {
16541 if (((word >> 10) & 0x1) == 0)
16542 {
16543 if (((word >> 12) & 0x1) == 0)
16544 {
16545 if (((word >> 13) & 0x1) == 0)
a06ea964 16546 {
e9dbdd80 16547 if (((word >> 14) & 0x1) == 0)
a06ea964 16548 {
e9dbdd80
TC
16549 /* 33222222222211111111110000000000
16550 10987654321098765432109876543210
16551 x1011110xx0xxxxxx000x0xxxxxxxxxx
16552 sha1c. */
193614f2 16553 return 678;
a06ea964
NC
16554 }
16555 else
16556 {
e9dbdd80
TC
16557 /* 33222222222211111111110000000000
16558 10987654321098765432109876543210
16559 x1011110xx0xxxxxx100x0xxxxxxxxxx
16560 sha256h. */
193614f2 16561 return 682;
a06ea964
NC
16562 }
16563 }
16564 else
16565 {
e9dbdd80 16566 if (((word >> 14) & 0x1) == 0)
a06ea964 16567 {
e9dbdd80
TC
16568 /* 33222222222211111111110000000000
16569 10987654321098765432109876543210
16570 x1011110xx0xxxxxx010x0xxxxxxxxxx
16571 sha1m. */
193614f2 16572 return 680;
a06ea964
NC
16573 }
16574 else
16575 {
e9dbdd80
TC
16576 /* 33222222222211111111110000000000
16577 10987654321098765432109876543210
16578 x1011110xx0xxxxxx110x0xxxxxxxxxx
16579 sha256su1. */
193614f2 16580 return 684;
a06ea964
NC
16581 }
16582 }
16583 }
16584 else
16585 {
e9dbdd80 16586 if (((word >> 13) & 0x1) == 0)
a06ea964 16587 {
e9dbdd80 16588 if (((word >> 14) & 0x1) == 0)
a06ea964 16589 {
e9dbdd80
TC
16590 /* 33222222222211111111110000000000
16591 10987654321098765432109876543210
16592 x1011110xx0xxxxxx001x0xxxxxxxxxx
16593 sha1p. */
193614f2 16594 return 679;
a06ea964
NC
16595 }
16596 else
16597 {
e9dbdd80
TC
16598 /* 33222222222211111111110000000000
16599 10987654321098765432109876543210
16600 x1011110xx0xxxxxx101x0xxxxxxxxxx
16601 sha256h2. */
193614f2 16602 return 683;
a06ea964
NC
16603 }
16604 }
16605 else
16606 {
e9dbdd80
TC
16607 /* 33222222222211111111110000000000
16608 10987654321098765432109876543210
16609 x1011110xx0xxxxxxx11x0xxxxxxxxxx
16610 sha1su0. */
193614f2 16611 return 681;
e9dbdd80
TC
16612 }
16613 }
16614 }
16615 else
16616 {
16617 if (((word >> 11) & 0x1) == 0)
16618 {
16619 if (((word >> 13) & 0x1) == 0)
16620 {
16621 /* 33222222222211111111110000000000
16622 10987654321098765432109876543210
16623 x1011110xx0xxxxxxx0x01xxxxxxxxxx
16624 dup. */
193614f2 16625 return 535;
e9dbdd80
TC
16626 }
16627 else
16628 {
16629 /* 33222222222211111111110000000000
16630 10987654321098765432109876543210
16631 x1011110xx0xxxxxxx1x01xxxxxxxxxx
16632 fcmeq. */
193614f2 16633 return 556;
e9dbdd80
TC
16634 }
16635 }
16636 else
16637 {
16638 if (((word >> 13) & 0x1) == 0)
16639 {
16640 /* 33222222222211111111110000000000
16641 10987654321098765432109876543210
16642 x1011110xx0xxxxxxx0x11xxxxxxxxxx
16643 fmulx. */
193614f2 16644 return 554;
e9dbdd80
TC
16645 }
16646 else
16647 {
16648 if (((word >> 23) & 0x1) == 0)
a06ea964 16649 {
e9dbdd80
TC
16650 /* 33222222222211111111110000000000
16651 10987654321098765432109876543210
16652 x10111100x0xxxxxxx1x11xxxxxxxxxx
16653 frecps. */
193614f2 16654 return 558;
a06ea964
NC
16655 }
16656 else
16657 {
e9dbdd80
TC
16658 /* 33222222222211111111110000000000
16659 10987654321098765432109876543210
16660 x10111101x0xxxxxxx1x11xxxxxxxxxx
16661 frsqrts. */
193614f2 16662 return 560;
a06ea964
NC
16663 }
16664 }
16665 }
16666 }
16667 }
e9dbdd80
TC
16668 }
16669 else
16670 {
16671 if (((word >> 11) & 0x1) == 0)
a06ea964 16672 {
e9dbdd80 16673 if (((word >> 12) & 0x1) == 0)
a06ea964
NC
16674 {
16675 if (((word >> 13) & 0x1) == 0)
16676 {
e9dbdd80
TC
16677 /* 33222222222211111111110000000000
16678 10987654321098765432109876543210
16679 xx111110xx0xxxxxxx000xxxxxxxxxxx
16680 sqrdmlah. */
193614f2 16681 return 588;
e9dbdd80
TC
16682 }
16683 else
16684 {
16685 if (((word >> 23) & 0x1) == 0)
a06ea964 16686 {
e9dbdd80
TC
16687 /* 33222222222211111111110000000000
16688 10987654321098765432109876543210
16689 xx1111100x0xxxxxxx100xxxxxxxxxxx
16690 fcmge. */
193614f2 16691 return 573;
e9dbdd80
TC
16692 }
16693 else
16694 {
16695 /* 33222222222211111111110000000000
16696 10987654321098765432109876543210
16697 xx1111101x0xxxxxxx100xxxxxxxxxxx
16698 fcmgt. */
193614f2 16699 return 579;
e9dbdd80
TC
16700 }
16701 }
16702 }
16703 else
16704 {
16705 /* 33222222222211111111110000000000
16706 10987654321098765432109876543210
16707 xx111110xx0xxxxxxxx10xxxxxxxxxxx
16708 fabd. */
193614f2 16709 return 577;
e9dbdd80
TC
16710 }
16711 }
16712 else
16713 {
16714 if (((word >> 13) & 0x1) == 0)
16715 {
16716 /* 33222222222211111111110000000000
16717 10987654321098765432109876543210
16718 xx111110xx0xxxxxxx0x1xxxxxxxxxxx
16719 sqrdmlsh. */
193614f2 16720 return 589;
e9dbdd80
TC
16721 }
16722 else
16723 {
16724 if (((word >> 23) & 0x1) == 0)
16725 {
16726 /* 33222222222211111111110000000000
16727 10987654321098765432109876543210
16728 xx1111100x0xxxxxxx1x1xxxxxxxxxxx
16729 facge. */
193614f2 16730 return 575;
e9dbdd80
TC
16731 }
16732 else
16733 {
16734 /* 33222222222211111111110000000000
16735 10987654321098765432109876543210
16736 xx1111101x0xxxxxxx1x1xxxxxxxxxxx
16737 facgt. */
193614f2 16738 return 581;
e9dbdd80
TC
16739 }
16740 }
16741 }
16742 }
16743 }
16744 }
16745 else
16746 {
16747 if (((word >> 28) & 0x1) == 0)
16748 {
16749 if (((word >> 15) & 0x1) == 0)
16750 {
16751 if (((word >> 29) & 0x1) == 0)
16752 {
16753 if (((word >> 31) & 0x1) == 0)
16754 {
16755 if (((word >> 10) & 0x1) == 0)
16756 {
16757 if (((word >> 11) & 0x1) == 0)
16758 {
16759 if (((word >> 12) & 0x1) == 0)
a06ea964 16760 {
e9dbdd80 16761 if (((word >> 13) & 0x1) == 0)
a06ea964 16762 {
e9dbdd80 16763 if (((word >> 14) & 0x1) == 0)
a06ea964 16764 {
e9dbdd80
TC
16765 if (((word >> 30) & 0x1) == 0)
16766 {
16767 /* 33222222222211111111110000000000
16768 10987654321098765432109876543210
16769 00001110xx1xxxxx000000xxxxxxxxxx
16770 saddl. */
193614f2 16771 return 44;
e9dbdd80
TC
16772 }
16773 else
16774 {
16775 /* 33222222222211111111110000000000
16776 10987654321098765432109876543210
16777 01001110xx1xxxxx000000xxxxxxxxxx
16778 saddl2. */
193614f2 16779 return 45;
e9dbdd80 16780 }
a06ea964
NC
16781 }
16782 else
16783 {
e9dbdd80
TC
16784 if (((word >> 30) & 0x1) == 0)
16785 {
16786 /* 33222222222211111111110000000000
16787 10987654321098765432109876543210
16788 00001110xx1xxxxx010000xxxxxxxxxx
16789 addhn. */
193614f2 16790 return 52;
e9dbdd80
TC
16791 }
16792 else
16793 {
16794 /* 33222222222211111111110000000000
16795 10987654321098765432109876543210
16796 01001110xx1xxxxx010000xxxxxxxxxx
16797 addhn2. */
193614f2 16798 return 53;
e9dbdd80 16799 }
a06ea964
NC
16800 }
16801 }
16802 else
16803 {
e9dbdd80 16804 if (((word >> 14) & 0x1) == 0)
a06ea964 16805 {
e9dbdd80
TC
16806 if (((word >> 30) & 0x1) == 0)
16807 {
16808 /* 33222222222211111111110000000000
16809 10987654321098765432109876543210
16810 00001110xx1xxxxx001000xxxxxxxxxx
16811 ssubl. */
193614f2 16812 return 48;
e9dbdd80
TC
16813 }
16814 else
16815 {
16816 /* 33222222222211111111110000000000
16817 10987654321098765432109876543210
16818 01001110xx1xxxxx001000xxxxxxxxxx
16819 ssubl2. */
193614f2 16820 return 49;
e9dbdd80 16821 }
a06ea964
NC
16822 }
16823 else
16824 {
e9dbdd80
TC
16825 if (((word >> 30) & 0x1) == 0)
16826 {
16827 /* 33222222222211111111110000000000
16828 10987654321098765432109876543210
16829 00001110xx1xxxxx011000xxxxxxxxxx
16830 subhn. */
193614f2 16831 return 56;
e9dbdd80
TC
16832 }
16833 else
16834 {
16835 /* 33222222222211111111110000000000
16836 10987654321098765432109876543210
16837 01001110xx1xxxxx011000xxxxxxxxxx
16838 subhn2. */
193614f2 16839 return 57;
e9dbdd80 16840 }
a06ea964
NC
16841 }
16842 }
16843 }
16844 else
16845 {
e9dbdd80 16846 if (((word >> 13) & 0x1) == 0)
a06ea964 16847 {
e9dbdd80 16848 if (((word >> 14) & 0x1) == 0)
a06ea964 16849 {
e9dbdd80
TC
16850 if (((word >> 30) & 0x1) == 0)
16851 {
16852 /* 33222222222211111111110000000000
16853 10987654321098765432109876543210
16854 00001110xx1xxxxx000100xxxxxxxxxx
16855 saddw. */
193614f2 16856 return 46;
e9dbdd80
TC
16857 }
16858 else
16859 {
16860 /* 33222222222211111111110000000000
16861 10987654321098765432109876543210
16862 01001110xx1xxxxx000100xxxxxxxxxx
16863 saddw2. */
193614f2 16864 return 47;
e9dbdd80 16865 }
a06ea964
NC
16866 }
16867 else
16868 {
e9dbdd80
TC
16869 if (((word >> 30) & 0x1) == 0)
16870 {
16871 /* 33222222222211111111110000000000
16872 10987654321098765432109876543210
16873 00001110xx1xxxxx010100xxxxxxxxxx
16874 sabal. */
193614f2 16875 return 54;
e9dbdd80
TC
16876 }
16877 else
16878 {
16879 /* 33222222222211111111110000000000
16880 10987654321098765432109876543210
16881 01001110xx1xxxxx010100xxxxxxxxxx
16882 sabal2. */
193614f2 16883 return 55;
e9dbdd80 16884 }
a06ea964
NC
16885 }
16886 }
16887 else
16888 {
e9dbdd80 16889 if (((word >> 14) & 0x1) == 0)
a06ea964 16890 {
e9dbdd80
TC
16891 if (((word >> 30) & 0x1) == 0)
16892 {
16893 /* 33222222222211111111110000000000
16894 10987654321098765432109876543210
16895 00001110xx1xxxxx001100xxxxxxxxxx
16896 ssubw. */
193614f2 16897 return 50;
e9dbdd80
TC
16898 }
16899 else
16900 {
16901 /* 33222222222211111111110000000000
16902 10987654321098765432109876543210
16903 01001110xx1xxxxx001100xxxxxxxxxx
16904 ssubw2. */
193614f2 16905 return 51;
e9dbdd80 16906 }
a06ea964
NC
16907 }
16908 else
16909 {
e9dbdd80
TC
16910 if (((word >> 30) & 0x1) == 0)
16911 {
16912 /* 33222222222211111111110000000000
16913 10987654321098765432109876543210
16914 00001110xx1xxxxx011100xxxxxxxxxx
16915 sabdl. */
193614f2 16916 return 58;
e9dbdd80
TC
16917 }
16918 else
16919 {
16920 /* 33222222222211111111110000000000
16921 10987654321098765432109876543210
16922 01001110xx1xxxxx011100xxxxxxxxxx
16923 sabdl2. */
193614f2 16924 return 59;
e9dbdd80 16925 }
a06ea964
NC
16926 }
16927 }
16928 }
a06ea964 16929 }
e9dbdd80 16930 else
a06ea964 16931 {
e9dbdd80 16932 if (((word >> 12) & 0x1) == 0)
a06ea964 16933 {
e9dbdd80 16934 if (((word >> 13) & 0x1) == 0)
a06ea964 16935 {
e9dbdd80 16936 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
16937 {
16938 /* 33222222222211111111110000000000
16939 10987654321098765432109876543210
e9dbdd80
TC
16940 0x001110xx1xxxxx000010xxxxxxxxxx
16941 rev64. */
193614f2 16942 return 162;
a06ea964
NC
16943 }
16944 else
16945 {
e9dbdd80 16946 if (((word >> 16) & 0x1) == 0)
a06ea964 16947 {
e9dbdd80 16948 if (((word >> 19) & 0x1) == 0)
f3aa142b
MW
16949 {
16950 /* 33222222222211111111110000000000
16951 10987654321098765432109876543210
e9dbdd80
TC
16952 0x001110xx1x0xx0010010xxxxxxxxxx
16953 cls. */
193614f2 16954 return 166;
f3aa142b
MW
16955 }
16956 else
16957 {
16958 /* 33222222222211111111110000000000
16959 10987654321098765432109876543210
e9dbdd80
TC
16960 0x001110xx1x1xx0010010xxxxxxxxxx
16961 aese. */
193614f2 16962 return 671;
f3aa142b 16963 }
a06ea964
NC
16964 }
16965 else
e9dbdd80
TC
16966 {
16967 if (((word >> 30) & 0x1) == 0)
16968 {
16969 /* 33222222222211111111110000000000
16970 10987654321098765432109876543210
16971 00001110xx1xxxx1010010xxxxxxxxxx
16972 sqxtn. */
193614f2 16973 return 176;
e9dbdd80
TC
16974 }
16975 else
16976 {
16977 /* 33222222222211111111110000000000
16978 10987654321098765432109876543210
16979 01001110xx1xxxx1010010xxxxxxxxxx
16980 sqxtn2. */
193614f2 16981 return 177;
e9dbdd80
TC
16982 }
16983 }
16984 }
16985 }
16986 else
16987 {
16988 if (((word >> 14) & 0x1) == 0)
16989 {
16990 if (((word >> 16) & 0x1) == 0)
a06ea964
NC
16991 {
16992 /* 33222222222211111111110000000000
16993 10987654321098765432109876543210
e9dbdd80
TC
16994 0x001110xx1xxxx0001010xxxxxxxxxx
16995 saddlp. */
193614f2 16996 return 164;
e9dbdd80
TC
16997 }
16998 else
16999 {
17000 if (((word >> 30) & 0x1) == 0)
17001 {
17002 /* 33222222222211111111110000000000
17003 10987654321098765432109876543210
17004 00001110xx1xxxx1001010xxxxxxxxxx
17005 xtn. */
193614f2 17006 return 174;
e9dbdd80
TC
17007 }
17008 else
17009 {
17010 /* 33222222222211111111110000000000
17011 10987654321098765432109876543210
17012 01001110xx1xxxx1001010xxxxxxxxxx
17013 xtn2. */
193614f2 17014 return 175;
e9dbdd80 17015 }
a06ea964
NC
17016 }
17017 }
17018 else
17019 {
e9dbdd80 17020 if (((word >> 16) & 0x1) == 0)
f3aa142b 17021 {
e9dbdd80 17022 if (((word >> 19) & 0x1) == 0)
f3aa142b
MW
17023 {
17024 /* 33222222222211111111110000000000
17025 10987654321098765432109876543210
e9dbdd80
TC
17026 0x001110xx1x0xx0011010xxxxxxxxxx
17027 sadalp. */
193614f2 17028 return 168;
f3aa142b
MW
17029 }
17030 else
17031 {
17032 /* 33222222222211111111110000000000
17033 10987654321098765432109876543210
e9dbdd80
TC
17034 0x001110xx1x1xx0011010xxxxxxxxxx
17035 aesmc. */
193614f2 17036 return 673;
f3aa142b
MW
17037 }
17038 }
17039 else
17040 {
e9dbdd80
TC
17041 if (((word >> 30) & 0x1) == 0)
17042 {
17043 /* 33222222222211111111110000000000
17044 10987654321098765432109876543210
17045 00001110xx1xxxx1011010xxxxxxxxxx
17046 fcvtn. */
193614f2 17047 return 178;
e9dbdd80
TC
17048 }
17049 else
17050 {
17051 /* 33222222222211111111110000000000
17052 10987654321098765432109876543210
17053 01001110xx1xxxx1011010xxxxxxxxxx
17054 fcvtn2. */
193614f2 17055 return 179;
e9dbdd80 17056 }
f3aa142b 17057 }
a06ea964
NC
17058 }
17059 }
17060 }
17061 else
17062 {
e9dbdd80 17063 if (((word >> 13) & 0x1) == 0)
a06ea964 17064 {
e9dbdd80 17065 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
17066 {
17067 /* 33222222222211111111110000000000
17068 10987654321098765432109876543210
e9dbdd80
TC
17069 0x001110xx1xxxxx000110xxxxxxxxxx
17070 rev16. */
193614f2 17071 return 163;
a06ea964
NC
17072 }
17073 else
17074 {
e9dbdd80
TC
17075 if (((word >> 19) & 0x1) == 0)
17076 {
17077 /* 33222222222211111111110000000000
17078 10987654321098765432109876543210
17079 0x001110xx1x0xxx010110xxxxxxxxxx
17080 cnt. */
193614f2 17081 return 167;
e9dbdd80
TC
17082 }
17083 else
17084 {
17085 /* 33222222222211111111110000000000
17086 10987654321098765432109876543210
17087 0x001110xx1x1xxx010110xxxxxxxxxx
17088 aesd. */
193614f2 17089 return 672;
e9dbdd80 17090 }
a06ea964
NC
17091 }
17092 }
17093 else
17094 {
e9dbdd80 17095 if (((word >> 14) & 0x1) == 0)
a06ea964 17096 {
e9dbdd80 17097 if (((word >> 20) & 0x1) == 0)
a06ea964
NC
17098 {
17099 /* 33222222222211111111110000000000
17100 10987654321098765432109876543210
e9dbdd80
TC
17101 0x001110xx10xxxx001110xxxxxxxxxx
17102 suqadd. */
193614f2 17103 return 165;
a06ea964
NC
17104 }
17105 else
17106 {
17107 /* 33222222222211111111110000000000
17108 10987654321098765432109876543210
e9dbdd80
TC
17109 0x001110xx11xxxx001110xxxxxxxxxx
17110 saddlv. */
193614f2 17111 return 29;
e9dbdd80
TC
17112 }
17113 }
17114 else
17115 {
17116 if (((word >> 16) & 0x1) == 0)
17117 {
17118 if (((word >> 19) & 0x1) == 0)
17119 {
17120 /* 33222222222211111111110000000000
17121 10987654321098765432109876543210
17122 0x001110xx1x0xx0011110xxxxxxxxxx
17123 sqabs. */
193614f2 17124 return 169;
e9dbdd80
TC
17125 }
17126 else
17127 {
17128 /* 33222222222211111111110000000000
17129 10987654321098765432109876543210
17130 0x001110xx1x1xx0011110xxxxxxxxxx
17131 aesimc. */
193614f2 17132 return 674;
e9dbdd80
TC
17133 }
17134 }
17135 else
17136 {
17137 if (((word >> 30) & 0x1) == 0)
17138 {
17139 /* 33222222222211111111110000000000
17140 10987654321098765432109876543210
17141 00001110xx1xxxx1011110xxxxxxxxxx
17142 fcvtl. */
193614f2 17143 return 180;
e9dbdd80
TC
17144 }
17145 else
17146 {
17147 /* 33222222222211111111110000000000
17148 10987654321098765432109876543210
17149 01001110xx1xxxx1011110xxxxxxxxxx
17150 fcvtl2. */
193614f2 17151 return 181;
e9dbdd80 17152 }
a06ea964
NC
17153 }
17154 }
e9dbdd80
TC
17155 }
17156 }
17157 }
17158 }
17159 else
17160 {
17161 if (((word >> 11) & 0x1) == 0)
17162 {
17163 if (((word >> 12) & 0x1) == 0)
17164 {
17165 if (((word >> 13) & 0x1) == 0)
17166 {
17167 if (((word >> 14) & 0x1) == 0)
17168 {
17169 /* 33222222222211111111110000000000
17170 10987654321098765432109876543210
17171 0x001110xx1xxxxx000001xxxxxxxxxx
17172 shadd. */
193614f2 17173 return 268;
e9dbdd80 17174 }
a06ea964
NC
17175 else
17176 {
17177 /* 33222222222211111111110000000000
17178 10987654321098765432109876543210
e9dbdd80
TC
17179 0x001110xx1xxxxx010001xxxxxxxxxx
17180 sshl. */
193614f2 17181 return 275;
a06ea964
NC
17182 }
17183 }
17184 else
17185 {
e9dbdd80 17186 if (((word >> 14) & 0x1) == 0)
a06ea964 17187 {
e9dbdd80
TC
17188 /* 33222222222211111111110000000000
17189 10987654321098765432109876543210
17190 0x001110xx1xxxxx001001xxxxxxxxxx
17191 shsub. */
193614f2 17192 return 271;
a06ea964
NC
17193 }
17194 else
17195 {
e9dbdd80
TC
17196 /* 33222222222211111111110000000000
17197 10987654321098765432109876543210
17198 0x001110xx1xxxxx011001xxxxxxxxxx
17199 smax. */
193614f2 17200 return 279;
a06ea964
NC
17201 }
17202 }
17203 }
17204 else
17205 {
e9dbdd80 17206 if (((word >> 13) & 0x1) == 0)
a06ea964 17207 {
e9dbdd80 17208 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
17209 {
17210 /* 33222222222211111111110000000000
17211 10987654321098765432109876543210
e9dbdd80
TC
17212 0x001110xx1xxxxx000101xxxxxxxxxx
17213 srhadd. */
193614f2 17214 return 270;
a06ea964
NC
17215 }
17216 else
17217 {
17218 /* 33222222222211111111110000000000
17219 10987654321098765432109876543210
e9dbdd80
TC
17220 0x001110xx1xxxxx010101xxxxxxxxxx
17221 srshl. */
193614f2 17222 return 277;
a06ea964
NC
17223 }
17224 }
17225 else
17226 {
e9dbdd80
TC
17227 if (((word >> 14) & 0x1) == 0)
17228 {
17229 /* 33222222222211111111110000000000
17230 10987654321098765432109876543210
17231 0x001110xx1xxxxx001101xxxxxxxxxx
17232 cmgt. */
193614f2 17233 return 273;
e9dbdd80
TC
17234 }
17235 else
17236 {
17237 /* 33222222222211111111110000000000
17238 10987654321098765432109876543210
17239 0x001110xx1xxxxx011101xxxxxxxxxx
17240 sabd. */
193614f2 17241 return 281;
e9dbdd80 17242 }
a06ea964
NC
17243 }
17244 }
17245 }
17246 else
17247 {
e9dbdd80 17248 if (((word >> 12) & 0x1) == 0)
a06ea964 17249 {
e9dbdd80 17250 if (((word >> 13) & 0x1) == 0)
a06ea964 17251 {
e9dbdd80
TC
17252 if (((word >> 14) & 0x1) == 0)
17253 {
17254 /* 33222222222211111111110000000000
17255 10987654321098765432109876543210
17256 0x001110xx1xxxxx000011xxxxxxxxxx
17257 sqadd. */
193614f2 17258 return 269;
e9dbdd80
TC
17259 }
17260 else
17261 {
17262 /* 33222222222211111111110000000000
17263 10987654321098765432109876543210
17264 0x001110xx1xxxxx010011xxxxxxxxxx
17265 sqshl. */
193614f2 17266 return 276;
e9dbdd80
TC
17267 }
17268 }
17269 else
17270 {
17271 if (((word >> 14) & 0x1) == 0)
17272 {
17273 /* 33222222222211111111110000000000
17274 10987654321098765432109876543210
17275 0x001110xx1xxxxx001011xxxxxxxxxx
17276 sqsub. */
193614f2 17277 return 272;
e9dbdd80
TC
17278 }
17279 else
17280 {
17281 /* 33222222222211111111110000000000
17282 10987654321098765432109876543210
17283 0x001110xx1xxxxx011011xxxxxxxxxx
17284 smin. */
193614f2 17285 return 280;
e9dbdd80
TC
17286 }
17287 }
17288 }
17289 else
17290 {
17291 if (((word >> 13) & 0x1) == 0)
17292 {
17293 if (((word >> 14) & 0x1) == 0)
a06ea964 17294 {
e9dbdd80 17295 if (((word >> 22) & 0x1) == 0)
a06ea964 17296 {
e9dbdd80 17297 if (((word >> 23) & 0x1) == 0)
f3aa142b
MW
17298 {
17299 /* 33222222222211111111110000000000
17300 10987654321098765432109876543210
e9dbdd80
TC
17301 0x001110001xxxxx000111xxxxxxxxxx
17302 and. */
193614f2 17303 return 305;
f3aa142b
MW
17304 }
17305 else
17306 {
17307 /* 33222222222211111111110000000000
17308 10987654321098765432109876543210
e9dbdd80
TC
17309 0x001110101xxxxx000111xxxxxxxxxx
17310 orr. */
193614f2 17311 return 317;
f3aa142b 17312 }
a06ea964
NC
17313 }
17314 else
17315 {
e9dbdd80 17316 if (((word >> 23) & 0x1) == 0)
f3aa142b
MW
17317 {
17318 /* 33222222222211111111110000000000
17319 10987654321098765432109876543210
e9dbdd80
TC
17320 0x001110011xxxxx000111xxxxxxxxxx
17321 bic. */
193614f2 17322 return 306;
f3aa142b
MW
17323 }
17324 else
17325 {
17326 /* 33222222222211111111110000000000
17327 10987654321098765432109876543210
e9dbdd80
TC
17328 0x001110111xxxxx000111xxxxxxxxxx
17329 orn. */
193614f2 17330 return 319;
f3aa142b 17331 }
a06ea964
NC
17332 }
17333 }
17334 else
17335 {
e9dbdd80
TC
17336 /* 33222222222211111111110000000000
17337 10987654321098765432109876543210
17338 0x001110xx1xxxxx010111xxxxxxxxxx
17339 sqrshl. */
193614f2 17340 return 278;
a06ea964
NC
17341 }
17342 }
17343 else
f3aa142b 17344 {
e9dbdd80 17345 if (((word >> 14) & 0x1) == 0)
f3aa142b 17346 {
e9dbdd80
TC
17347 /* 33222222222211111111110000000000
17348 10987654321098765432109876543210
17349 0x001110xx1xxxxx001111xxxxxxxxxx
17350 cmge. */
193614f2 17351 return 274;
a06ea964
NC
17352 }
17353 else
17354 {
e9dbdd80
TC
17355 /* 33222222222211111111110000000000
17356 10987654321098765432109876543210
17357 0x001110xx1xxxxx011111xxxxxxxxxx
17358 saba. */
193614f2 17359 return 282;
a06ea964
NC
17360 }
17361 }
17362 }
17363 }
17364 }
17365 }
17366 else
17367 {
e9dbdd80
TC
17368 /* 33222222222211111111110000000000
17369 10987654321098765432109876543210
17370 1x001110xx1xxxxx0xxxxxxxxxxxxxxx
17371 bcax. */
42e6288f 17372 return 2345;
e9dbdd80
TC
17373 }
17374 }
17375 else
17376 {
17377 if (((word >> 10) & 0x1) == 0)
17378 {
17379 if (((word >> 11) & 0x1) == 0)
a06ea964 17380 {
e9dbdd80 17381 if (((word >> 12) & 0x1) == 0)
a06ea964 17382 {
e9dbdd80 17383 if (((word >> 13) & 0x1) == 0)
a06ea964 17384 {
e9dbdd80 17385 if (((word >> 14) & 0x1) == 0)
a06ea964 17386 {
e9dbdd80 17387 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
17388 {
17389 /* 33222222222211111111110000000000
17390 10987654321098765432109876543210
e9dbdd80
TC
17391 x0101110xx1xxxxx000000xxxxxxxxxx
17392 uaddl. */
193614f2 17393 return 76;
a06ea964
NC
17394 }
17395 else
17396 {
17397 /* 33222222222211111111110000000000
17398 10987654321098765432109876543210
e9dbdd80
TC
17399 x1101110xx1xxxxx000000xxxxxxxxxx
17400 uaddl2. */
193614f2 17401 return 77;
a06ea964
NC
17402 }
17403 }
17404 else
17405 {
e9dbdd80 17406 if (((word >> 30) & 0x1) == 0)
a06ea964 17407 {
e9dbdd80
TC
17408 /* 33222222222211111111110000000000
17409 10987654321098765432109876543210
17410 x0101110xx1xxxxx010000xxxxxxxxxx
17411 raddhn. */
193614f2 17412 return 84;
a06ea964
NC
17413 }
17414 else
17415 {
e9dbdd80
TC
17416 /* 33222222222211111111110000000000
17417 10987654321098765432109876543210
17418 x1101110xx1xxxxx010000xxxxxxxxxx
17419 raddhn2. */
193614f2 17420 return 85;
a06ea964
NC
17421 }
17422 }
17423 }
17424 else
17425 {
e9dbdd80 17426 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
17427 {
17428 if (((word >> 30) & 0x1) == 0)
17429 {
17430 /* 33222222222211111111110000000000
17431 10987654321098765432109876543210
e9dbdd80
TC
17432 x0101110xx1xxxxx001000xxxxxxxxxx
17433 usubl. */
193614f2 17434 return 80;
a06ea964
NC
17435 }
17436 else
17437 {
17438 /* 33222222222211111111110000000000
17439 10987654321098765432109876543210
e9dbdd80
TC
17440 x1101110xx1xxxxx001000xxxxxxxxxx
17441 usubl2. */
193614f2 17442 return 81;
a06ea964
NC
17443 }
17444 }
17445 else
17446 {
e9dbdd80
TC
17447 if (((word >> 30) & 0x1) == 0)
17448 {
17449 /* 33222222222211111111110000000000
17450 10987654321098765432109876543210
17451 x0101110xx1xxxxx011000xxxxxxxxxx
17452 rsubhn. */
193614f2 17453 return 88;
e9dbdd80
TC
17454 }
17455 else
17456 {
17457 /* 33222222222211111111110000000000
17458 10987654321098765432109876543210
17459 x1101110xx1xxxxx011000xxxxxxxxxx
17460 rsubhn2. */
193614f2 17461 return 89;
e9dbdd80 17462 }
a06ea964
NC
17463 }
17464 }
17465 }
17466 else
17467 {
e9dbdd80 17468 if (((word >> 13) & 0x1) == 0)
a06ea964 17469 {
e9dbdd80 17470 if (((word >> 14) & 0x1) == 0)
a06ea964 17471 {
e9dbdd80 17472 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
17473 {
17474 /* 33222222222211111111110000000000
17475 10987654321098765432109876543210
e9dbdd80
TC
17476 x0101110xx1xxxxx000100xxxxxxxxxx
17477 uaddw. */
193614f2 17478 return 78;
a06ea964
NC
17479 }
17480 else
17481 {
17482 /* 33222222222211111111110000000000
17483 10987654321098765432109876543210
e9dbdd80
TC
17484 x1101110xx1xxxxx000100xxxxxxxxxx
17485 uaddw2. */
193614f2 17486 return 79;
a06ea964
NC
17487 }
17488 }
17489 else
17490 {
e9dbdd80 17491 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
17492 {
17493 /* 33222222222211111111110000000000
17494 10987654321098765432109876543210
e9dbdd80
TC
17495 x0101110xx1xxxxx010100xxxxxxxxxx
17496 uabal. */
193614f2 17497 return 86;
a06ea964
NC
17498 }
17499 else
17500 {
17501 /* 33222222222211111111110000000000
17502 10987654321098765432109876543210
e9dbdd80
TC
17503 x1101110xx1xxxxx010100xxxxxxxxxx
17504 uabal2. */
193614f2 17505 return 87;
a06ea964
NC
17506 }
17507 }
17508 }
17509 else
17510 {
e9dbdd80 17511 if (((word >> 14) & 0x1) == 0)
a06ea964 17512 {
e9dbdd80 17513 if (((word >> 30) & 0x1) == 0)
a06ea964 17514 {
e9dbdd80
TC
17515 /* 33222222222211111111110000000000
17516 10987654321098765432109876543210
17517 x0101110xx1xxxxx001100xxxxxxxxxx
17518 usubw. */
193614f2 17519 return 82;
a06ea964
NC
17520 }
17521 else
17522 {
e9dbdd80
TC
17523 /* 33222222222211111111110000000000
17524 10987654321098765432109876543210
17525 x1101110xx1xxxxx001100xxxxxxxxxx
17526 usubw2. */
193614f2 17527 return 83;
a06ea964
NC
17528 }
17529 }
17530 else
17531 {
e9dbdd80 17532 if (((word >> 30) & 0x1) == 0)
a06ea964 17533 {
e9dbdd80
TC
17534 /* 33222222222211111111110000000000
17535 10987654321098765432109876543210
17536 x0101110xx1xxxxx011100xxxxxxxxxx
17537 uabdl. */
193614f2 17538 return 90;
a06ea964
NC
17539 }
17540 else
17541 {
e9dbdd80
TC
17542 /* 33222222222211111111110000000000
17543 10987654321098765432109876543210
17544 x1101110xx1xxxxx011100xxxxxxxxxx
17545 uabdl2. */
193614f2 17546 return 91;
a06ea964
NC
17547 }
17548 }
17549 }
17550 }
17551 }
17552 else
17553 {
e9dbdd80 17554 if (((word >> 12) & 0x1) == 0)
a06ea964 17555 {
e9dbdd80 17556 if (((word >> 13) & 0x1) == 0)
a06ea964 17557 {
e9dbdd80 17558 if (((word >> 14) & 0x1) == 0)
a06ea964 17559 {
e9dbdd80
TC
17560 /* 33222222222211111111110000000000
17561 10987654321098765432109876543210
17562 xx101110xx1xxxxx000010xxxxxxxxxx
17563 rev32. */
193614f2 17564 return 213;
e9dbdd80
TC
17565 }
17566 else
17567 {
17568 if (((word >> 16) & 0x1) == 0)
a06ea964 17569 {
e9dbdd80
TC
17570 /* 33222222222211111111110000000000
17571 10987654321098765432109876543210
17572 xx101110xx1xxxx0010010xxxxxxxxxx
17573 clz. */
193614f2 17574 return 216;
e9dbdd80
TC
17575 }
17576 else
17577 {
17578 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
17579 {
17580 /* 33222222222211111111110000000000
17581 10987654321098765432109876543210
e9dbdd80
TC
17582 x0101110xx1xxxx1010010xxxxxxxxxx
17583 uqxtn. */
193614f2 17584 return 226;
a06ea964
NC
17585 }
17586 else
17587 {
17588 /* 33222222222211111111110000000000
17589 10987654321098765432109876543210
e9dbdd80
TC
17590 x1101110xx1xxxx1010010xxxxxxxxxx
17591 uqxtn2. */
193614f2 17592 return 227;
a06ea964
NC
17593 }
17594 }
e9dbdd80
TC
17595 }
17596 }
17597 else
17598 {
17599 if (((word >> 14) & 0x1) == 0)
17600 {
17601 if (((word >> 16) & 0x1) == 0)
a06ea964
NC
17602 {
17603 /* 33222222222211111111110000000000
17604 10987654321098765432109876543210
e9dbdd80
TC
17605 xx101110xx1xxxx0001010xxxxxxxxxx
17606 uaddlp. */
193614f2 17607 return 214;
a06ea964 17608 }
e9dbdd80 17609 else
a06ea964
NC
17610 {
17611 if (((word >> 30) & 0x1) == 0)
17612 {
17613 /* 33222222222211111111110000000000
17614 10987654321098765432109876543210
e9dbdd80
TC
17615 x0101110xx1xxxx1001010xxxxxxxxxx
17616 sqxtun. */
193614f2 17617 return 222;
a06ea964
NC
17618 }
17619 else
17620 {
17621 /* 33222222222211111111110000000000
17622 10987654321098765432109876543210
e9dbdd80
TC
17623 x1101110xx1xxxx1001010xxxxxxxxxx
17624 sqxtun2. */
193614f2 17625 return 223;
a06ea964
NC
17626 }
17627 }
e9dbdd80
TC
17628 }
17629 else
17630 {
17631 if (((word >> 16) & 0x1) == 0)
17632 {
17633 /* 33222222222211111111110000000000
17634 10987654321098765432109876543210
17635 xx101110xx1xxxx0011010xxxxxxxxxx
17636 uadalp. */
193614f2 17637 return 217;
e9dbdd80 17638 }
a06ea964
NC
17639 else
17640 {
17641 if (((word >> 30) & 0x1) == 0)
17642 {
17643 /* 33222222222211111111110000000000
17644 10987654321098765432109876543210
7684e580 17645 x0101110xx1xxxx1011010xxxxxxxxxx
a06ea964 17646 fcvtxn. */
193614f2 17647 return 228;
a06ea964
NC
17648 }
17649 else
17650 {
17651 /* 33222222222211111111110000000000
17652 10987654321098765432109876543210
7684e580 17653 x1101110xx1xxxx1011010xxxxxxxxxx
a06ea964 17654 fcvtxn2. */
193614f2 17655 return 229;
a06ea964
NC
17656 }
17657 }
17658 }
17659 }
a06ea964
NC
17660 }
17661 else
17662 {
e9dbdd80 17663 if (((word >> 13) & 0x1) == 0)
a06ea964 17664 {
e9dbdd80 17665 if (((word >> 22) & 0x1) == 0)
f3aa142b
MW
17666 {
17667 /* 33222222222211111111110000000000
17668 10987654321098765432109876543210
e9dbdd80
TC
17669 xx101110x01xxxxx0x0110xxxxxxxxxx
17670 not. */
193614f2 17671 return 242;
f3aa142b
MW
17672 }
17673 else
17674 {
17675 /* 33222222222211111111110000000000
17676 10987654321098765432109876543210
e9dbdd80
TC
17677 xx101110x11xxxxx0x0110xxxxxxxxxx
17678 rbit. */
193614f2 17679 return 244;
f3aa142b 17680 }
a06ea964
NC
17681 }
17682 else
17683 {
e9dbdd80 17684 if (((word >> 14) & 0x1) == 0)
80776b29 17685 {
e9dbdd80
TC
17686 if (((word >> 16) & 0x1) == 0)
17687 {
17688 if (((word >> 20) & 0x1) == 0)
17689 {
17690 /* 33222222222211111111110000000000
17691 10987654321098765432109876543210
17692 xx101110xx10xxx0001110xxxxxxxxxx
17693 usqadd. */
193614f2 17694 return 215;
e9dbdd80
TC
17695 }
17696 else
17697 {
17698 /* 33222222222211111111110000000000
17699 10987654321098765432109876543210
17700 xx101110xx11xxx0001110xxxxxxxxxx
17701 uaddlv. */
193614f2 17702 return 33;
e9dbdd80
TC
17703 }
17704 }
17705 else
17706 {
17707 if (((word >> 30) & 0x1) == 0)
17708 {
17709 /* 33222222222211111111110000000000
17710 10987654321098765432109876543210
17711 x0101110xx1xxxx1001110xxxxxxxxxx
17712 shll. */
193614f2 17713 return 224;
e9dbdd80
TC
17714 }
17715 else
17716 {
17717 /* 33222222222211111111110000000000
17718 10987654321098765432109876543210
17719 x1101110xx1xxxx1001110xxxxxxxxxx
17720 shll2. */
193614f2 17721 return 225;
e9dbdd80
TC
17722 }
17723 }
17724 }
17725 else
17726 {
17727 /* 33222222222211111111110000000000
17728 10987654321098765432109876543210
17729 xx101110xx1xxxxx011110xxxxxxxxxx
17730 sqneg. */
193614f2 17731 return 218;
e9dbdd80 17732 }
a06ea964
NC
17733 }
17734 }
17735 }
17736 }
e9dbdd80 17737 else
a06ea964 17738 {
e9dbdd80 17739 if (((word >> 11) & 0x1) == 0)
a06ea964 17740 {
e9dbdd80 17741 if (((word >> 12) & 0x1) == 0)
a06ea964 17742 {
e9dbdd80 17743 if (((word >> 13) & 0x1) == 0)
a06ea964 17744 {
e9dbdd80
TC
17745 if (((word >> 14) & 0x1) == 0)
17746 {
17747 /* 33222222222211111111110000000000
17748 10987654321098765432109876543210
17749 xx101110xx1xxxxx000001xxxxxxxxxx
17750 uhadd. */
193614f2 17751 return 320;
e9dbdd80
TC
17752 }
17753 else
17754 {
17755 /* 33222222222211111111110000000000
17756 10987654321098765432109876543210
17757 xx101110xx1xxxxx010001xxxxxxxxxx
17758 ushl. */
193614f2 17759 return 327;
e9dbdd80 17760 }
a06ea964
NC
17761 }
17762 else
17763 {
e9dbdd80 17764 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
17765 {
17766 /* 33222222222211111111110000000000
17767 10987654321098765432109876543210
e9dbdd80
TC
17768 xx101110xx1xxxxx001001xxxxxxxxxx
17769 uhsub. */
193614f2 17770 return 323;
a06ea964
NC
17771 }
17772 else
17773 {
17774 /* 33222222222211111111110000000000
17775 10987654321098765432109876543210
e9dbdd80
TC
17776 xx101110xx1xxxxx011001xxxxxxxxxx
17777 umax. */
193614f2 17778 return 331;
a06ea964
NC
17779 }
17780 }
17781 }
17782 else
17783 {
e9dbdd80 17784 if (((word >> 13) & 0x1) == 0)
a06ea964 17785 {
e9dbdd80 17786 if (((word >> 14) & 0x1) == 0)
a06ea964 17787 {
e9dbdd80
TC
17788 /* 33222222222211111111110000000000
17789 10987654321098765432109876543210
17790 xx101110xx1xxxxx000101xxxxxxxxxx
17791 urhadd. */
193614f2 17792 return 322;
a06ea964
NC
17793 }
17794 else
17795 {
e9dbdd80
TC
17796 /* 33222222222211111111110000000000
17797 10987654321098765432109876543210
17798 xx101110xx1xxxxx010101xxxxxxxxxx
17799 urshl. */
193614f2 17800 return 329;
a06ea964
NC
17801 }
17802 }
17803 else
17804 {
e9dbdd80 17805 if (((word >> 14) & 0x1) == 0)
a06ea964 17806 {
e9dbdd80
TC
17807 /* 33222222222211111111110000000000
17808 10987654321098765432109876543210
17809 xx101110xx1xxxxx001101xxxxxxxxxx
17810 cmhi. */
193614f2 17811 return 325;
a06ea964
NC
17812 }
17813 else
17814 {
e9dbdd80
TC
17815 /* 33222222222211111111110000000000
17816 10987654321098765432109876543210
17817 xx101110xx1xxxxx011101xxxxxxxxxx
17818 uabd. */
193614f2 17819 return 333;
e9dbdd80
TC
17820 }
17821 }
17822 }
17823 }
17824 else
17825 {
17826 if (((word >> 12) & 0x1) == 0)
17827 {
17828 if (((word >> 13) & 0x1) == 0)
17829 {
17830 if (((word >> 14) & 0x1) == 0)
17831 {
17832 /* 33222222222211111111110000000000
17833 10987654321098765432109876543210
17834 xx101110xx1xxxxx000011xxxxxxxxxx
17835 uqadd. */
193614f2 17836 return 321;
e9dbdd80
TC
17837 }
17838 else
17839 {
17840 /* 33222222222211111111110000000000
17841 10987654321098765432109876543210
17842 xx101110xx1xxxxx010011xxxxxxxxxx
17843 uqshl. */
193614f2 17844 return 328;
e9dbdd80
TC
17845 }
17846 }
17847 else
17848 {
17849 if (((word >> 14) & 0x1) == 0)
17850 {
17851 /* 33222222222211111111110000000000
17852 10987654321098765432109876543210
17853 xx101110xx1xxxxx001011xxxxxxxxxx
17854 uqsub. */
193614f2 17855 return 324;
e9dbdd80
TC
17856 }
17857 else
17858 {
17859 /* 33222222222211111111110000000000
17860 10987654321098765432109876543210
17861 xx101110xx1xxxxx011011xxxxxxxxxx
17862 umin. */
193614f2 17863 return 332;
e9dbdd80
TC
17864 }
17865 }
17866 }
17867 else
17868 {
17869 if (((word >> 13) & 0x1) == 0)
17870 {
17871 if (((word >> 14) & 0x1) == 0)
17872 {
17873 if (((word >> 22) & 0x1) == 0)
a06ea964 17874 {
e9dbdd80 17875 if (((word >> 23) & 0x1) == 0)
f3aa142b
MW
17876 {
17877 /* 33222222222211111111110000000000
17878 10987654321098765432109876543210
e9dbdd80
TC
17879 xx101110001xxxxx000111xxxxxxxxxx
17880 eor. */
193614f2 17881 return 356;
f3aa142b
MW
17882 }
17883 else
17884 {
17885 /* 33222222222211111111110000000000
17886 10987654321098765432109876543210
e9dbdd80
TC
17887 xx101110101xxxxx000111xxxxxxxxxx
17888 bit. */
193614f2 17889 return 368;
f3aa142b 17890 }
a06ea964
NC
17891 }
17892 else
17893 {
e9dbdd80 17894 if (((word >> 23) & 0x1) == 0)
f3aa142b
MW
17895 {
17896 /* 33222222222211111111110000000000
17897 10987654321098765432109876543210
e9dbdd80
TC
17898 xx101110011xxxxx000111xxxxxxxxxx
17899 bsl. */
193614f2 17900 return 357;
f3aa142b
MW
17901 }
17902 else
17903 {
17904 /* 33222222222211111111110000000000
17905 10987654321098765432109876543210
e9dbdd80
TC
17906 xx101110111xxxxx000111xxxxxxxxxx
17907 bif. */
193614f2 17908 return 369;
f3aa142b 17909 }
a06ea964
NC
17910 }
17911 }
e9dbdd80
TC
17912 else
17913 {
17914 /* 33222222222211111111110000000000
17915 10987654321098765432109876543210
17916 xx101110xx1xxxxx010111xxxxxxxxxx
17917 uqrshl. */
193614f2 17918 return 330;
e9dbdd80 17919 }
a06ea964 17920 }
e9dbdd80 17921 else
a06ea964 17922 {
e9dbdd80 17923 if (((word >> 14) & 0x1) == 0)
a06ea964 17924 {
e9dbdd80
TC
17925 /* 33222222222211111111110000000000
17926 10987654321098765432109876543210
17927 xx101110xx1xxxxx001111xxxxxxxxxx
17928 cmhs. */
193614f2 17929 return 326;
e9dbdd80
TC
17930 }
17931 else
17932 {
17933 /* 33222222222211111111110000000000
17934 10987654321098765432109876543210
17935 xx101110xx1xxxxx011111xxxxxxxxxx
17936 uaba. */
193614f2 17937 return 334;
e9dbdd80
TC
17938 }
17939 }
17940 }
17941 }
17942 }
17943 }
17944 }
17945 else
17946 {
17947 if (((word >> 10) & 0x1) == 0)
17948 {
17949 if (((word >> 11) & 0x1) == 0)
17950 {
17951 if (((word >> 12) & 0x1) == 0)
17952 {
17953 if (((word >> 13) & 0x1) == 0)
17954 {
17955 if (((word >> 14) & 0x1) == 0)
17956 {
17957 if (((word >> 29) & 0x1) == 0)
17958 {
17959 if (((word >> 30) & 0x1) == 0)
17960 {
a06ea964
NC
17961 /* 33222222222211111111110000000000
17962 10987654321098765432109876543210
e9dbdd80
TC
17963 x0001110xx1xxxxx100000xxxxxxxxxx
17964 smlal. */
193614f2 17965 return 60;
a06ea964
NC
17966 }
17967 else
17968 {
e9dbdd80 17969 if (((word >> 31) & 0x1) == 0)
a06ea964
NC
17970 {
17971 /* 33222222222211111111110000000000
17972 10987654321098765432109876543210
e9dbdd80
TC
17973 01001110xx1xxxxx100000xxxxxxxxxx
17974 smlal2. */
193614f2 17975 return 61;
a06ea964
NC
17976 }
17977 else
17978 {
17979 /* 33222222222211111111110000000000
17980 10987654321098765432109876543210
e9dbdd80
TC
17981 11001110xx1xxxxx100000xxxxxxxxxx
17982 sha512h. */
42e6288f 17983 return 2338;
a06ea964
NC
17984 }
17985 }
17986 }
17987 else
17988 {
e9dbdd80
TC
17989 if (((word >> 30) & 0x1) == 0)
17990 {
17991 /* 33222222222211111111110000000000
17992 10987654321098765432109876543210
17993 x0101110xx1xxxxx100000xxxxxxxxxx
17994 umlal. */
193614f2 17995 return 92;
e9dbdd80
TC
17996 }
17997 else
17998 {
17999 /* 33222222222211111111110000000000
18000 10987654321098765432109876543210
18001 x1101110xx1xxxxx100000xxxxxxxxxx
18002 umlal2. */
193614f2 18003 return 93;
e9dbdd80 18004 }
a06ea964
NC
18005 }
18006 }
18007 else
18008 {
e9dbdd80 18009 if (((word >> 29) & 0x1) == 0)
a06ea964 18010 {
e9dbdd80 18011 if (((word >> 30) & 0x1) == 0)
a06ea964 18012 {
e9dbdd80
TC
18013 /* 33222222222211111111110000000000
18014 10987654321098765432109876543210
18015 x0001110xx1xxxxx110000xxxxxxxxxx
18016 smull. */
193614f2 18017 return 68;
a06ea964
NC
18018 }
18019 else
18020 {
e9dbdd80 18021 if (((word >> 31) & 0x1) == 0)
b195470d
MW
18022 {
18023 /* 33222222222211111111110000000000
18024 10987654321098765432109876543210
e9dbdd80
TC
18025 01001110xx1xxxxx110000xxxxxxxxxx
18026 smull2. */
193614f2 18027 return 69;
b195470d
MW
18028 }
18029 else
18030 {
18031 /* 33222222222211111111110000000000
18032 10987654321098765432109876543210
e9dbdd80
TC
18033 11001110xx1xxxxx110000xxxxxxxxxx
18034 sm3partw1. */
42e6288f 18035 return 2351;
b195470d 18036 }
a06ea964
NC
18037 }
18038 }
18039 else
18040 {
e9dbdd80 18041 if (((word >> 30) & 0x1) == 0)
f3aa142b 18042 {
e9dbdd80
TC
18043 /* 33222222222211111111110000000000
18044 10987654321098765432109876543210
18045 x0101110xx1xxxxx110000xxxxxxxxxx
18046 umull. */
193614f2 18047 return 96;
f3aa142b
MW
18048 }
18049 else
18050 {
e9dbdd80
TC
18051 /* 33222222222211111111110000000000
18052 10987654321098765432109876543210
18053 x1101110xx1xxxxx110000xxxxxxxxxx
18054 umull2. */
193614f2 18055 return 97;
f3aa142b 18056 }
a06ea964
NC
18057 }
18058 }
e9dbdd80
TC
18059 }
18060 else
18061 {
18062 if (((word >> 14) & 0x1) == 0)
a06ea964 18063 {
e9dbdd80 18064 if (((word >> 29) & 0x1) == 0)
a06ea964 18065 {
e9dbdd80 18066 if (((word >> 30) & 0x1) == 0)
a06ea964 18067 {
e9dbdd80
TC
18068 /* 33222222222211111111110000000000
18069 10987654321098765432109876543210
18070 x0001110xx1xxxxx101000xxxxxxxxxx
18071 smlsl. */
193614f2 18072 return 64;
a06ea964
NC
18073 }
18074 else
18075 {
e9dbdd80
TC
18076 /* 33222222222211111111110000000000
18077 10987654321098765432109876543210
18078 x1001110xx1xxxxx101000xxxxxxxxxx
18079 smlsl2. */
193614f2 18080 return 65;
a06ea964
NC
18081 }
18082 }
18083 else
18084 {
e9dbdd80 18085 if (((word >> 30) & 0x1) == 0)
a06ea964 18086 {
e9dbdd80
TC
18087 /* 33222222222211111111110000000000
18088 10987654321098765432109876543210
18089 x0101110xx1xxxxx101000xxxxxxxxxx
18090 umlsl. */
193614f2 18091 return 94;
a06ea964
NC
18092 }
18093 else
18094 {
e9dbdd80
TC
18095 /* 33222222222211111111110000000000
18096 10987654321098765432109876543210
18097 x1101110xx1xxxxx101000xxxxxxxxxx
18098 umlsl2. */
193614f2 18099 return 95;
a06ea964
NC
18100 }
18101 }
18102 }
e9dbdd80 18103 else
a06ea964 18104 {
e9dbdd80 18105 if (((word >> 22) & 0x1) == 0)
a06ea964
NC
18106 {
18107 if (((word >> 30) & 0x1) == 0)
18108 {
18109 /* 33222222222211111111110000000000
18110 10987654321098765432109876543210
e9dbdd80
TC
18111 x0x01110x01xxxxx111000xxxxxxxxxx
18112 pmull. */
193614f2 18113 return 72;
a06ea964
NC
18114 }
18115 else
18116 {
18117 /* 33222222222211111111110000000000
18118 10987654321098765432109876543210
e9dbdd80
TC
18119 x1x01110x01xxxxx111000xxxxxxxxxx
18120 pmull2. */
193614f2 18121 return 74;
a06ea964
NC
18122 }
18123 }
e9dbdd80 18124 else
a06ea964
NC
18125 {
18126 if (((word >> 30) & 0x1) == 0)
18127 {
18128 /* 33222222222211111111110000000000
18129 10987654321098765432109876543210
e9dbdd80
TC
18130 x0x01110x11xxxxx111000xxxxxxxxxx
18131 pmull. */
193614f2 18132 return 73;
a06ea964
NC
18133 }
18134 else
18135 {
18136 /* 33222222222211111111110000000000
18137 10987654321098765432109876543210
e9dbdd80
TC
18138 x1x01110x11xxxxx111000xxxxxxxxxx
18139 pmull2. */
193614f2 18140 return 75;
a06ea964
NC
18141 }
18142 }
e9dbdd80
TC
18143 }
18144 }
18145 }
18146 else
18147 {
18148 if (((word >> 13) & 0x1) == 0)
18149 {
18150 if (((word >> 14) & 0x1) == 0)
18151 {
18152 if (((word >> 30) & 0x1) == 0)
18153 {
18154 /* 33222222222211111111110000000000
18155 10987654321098765432109876543210
18156 x0x01110xx1xxxxx100100xxxxxxxxxx
18157 sqdmlal. */
193614f2 18158 return 62;
e9dbdd80 18159 }
a06ea964
NC
18160 else
18161 {
18162 /* 33222222222211111111110000000000
18163 10987654321098765432109876543210
e9dbdd80
TC
18164 x1x01110xx1xxxxx100100xxxxxxxxxx
18165 sqdmlal2. */
193614f2 18166 return 63;
a06ea964
NC
18167 }
18168 }
e9dbdd80 18169 else
a06ea964 18170 {
e9dbdd80 18171 if (((word >> 30) & 0x1) == 0)
a06ea964 18172 {
e9dbdd80
TC
18173 /* 33222222222211111111110000000000
18174 10987654321098765432109876543210
18175 x0x01110xx1xxxxx110100xxxxxxxxxx
18176 sqdmull. */
193614f2 18177 return 70;
a06ea964
NC
18178 }
18179 else
18180 {
e9dbdd80
TC
18181 /* 33222222222211111111110000000000
18182 10987654321098765432109876543210
18183 x1x01110xx1xxxxx110100xxxxxxxxxx
18184 sqdmull2. */
193614f2 18185 return 71;
a06ea964
NC
18186 }
18187 }
e9dbdd80
TC
18188 }
18189 else
18190 {
18191 if (((word >> 30) & 0x1) == 0)
18192 {
18193 /* 33222222222211111111110000000000
18194 10987654321098765432109876543210
18195 x0x01110xx1xxxxx1x1100xxxxxxxxxx
18196 sqdmlsl. */
193614f2 18197 return 66;
e9dbdd80 18198 }
a06ea964
NC
18199 else
18200 {
e9dbdd80
TC
18201 /* 33222222222211111111110000000000
18202 10987654321098765432109876543210
18203 x1x01110xx1xxxxx1x1100xxxxxxxxxx
18204 sqdmlsl2. */
193614f2 18205 return 67;
e9dbdd80
TC
18206 }
18207 }
18208 }
18209 }
18210 else
18211 {
18212 if (((word >> 12) & 0x1) == 0)
18213 {
18214 if (((word >> 13) & 0x1) == 0)
18215 {
18216 if (((word >> 14) & 0x1) == 0)
18217 {
18218 if (((word >> 29) & 0x1) == 0)
a06ea964 18219 {
e9dbdd80 18220 if (((word >> 31) & 0x1) == 0)
a06ea964 18221 {
e9dbdd80
TC
18222 if (((word >> 16) & 0x1) == 0)
18223 {
18224 /* 33222222222211111111110000000000
18225 10987654321098765432109876543210
18226 0x001110xx1xxxx0100010xxxxxxxxxx
18227 cmgt. */
193614f2 18228 return 170;
e9dbdd80
TC
18229 }
18230 else
a06ea964 18231 {
e9dbdd80 18232 if (((word >> 19) & 0x1) == 0)
a06ea964 18233 {
e9dbdd80 18234 if (((word >> 23) & 0x1) == 0)
f3aa142b
MW
18235 {
18236 /* 33222222222211111111110000000000
18237 10987654321098765432109876543210
e9dbdd80
TC
18238 0x0011100x1x0xx1100010xxxxxxxxxx
18239 frintn. */
193614f2 18240 return 182;
f3aa142b
MW
18241 }
18242 else
18243 {
18244 /* 33222222222211111111110000000000
18245 10987654321098765432109876543210
e9dbdd80
TC
18246 0x0011101x1x0xx1100010xxxxxxxxxx
18247 frintp. */
193614f2 18248 return 202;
f3aa142b 18249 }
a06ea964
NC
18250 }
18251 else
18252 {
e9dbdd80 18253 if (((word >> 23) & 0x1) == 0)
f3aa142b
MW
18254 {
18255 /* 33222222222211111111110000000000
18256 10987654321098765432109876543210
e9dbdd80
TC
18257 0x0011100x1x1xx1100010xxxxxxxxxx
18258 frintn. */
193614f2 18259 return 183;
f3aa142b
MW
18260 }
18261 else
18262 {
18263 /* 33222222222211111111110000000000
18264 10987654321098765432109876543210
e9dbdd80
TC
18265 0x0011101x1x1xx1100010xxxxxxxxxx
18266 frintp. */
193614f2 18267 return 203;
f3aa142b 18268 }
a06ea964
NC
18269 }
18270 }
18271 }
18272 else
18273 {
e9dbdd80
TC
18274 /* 33222222222211111111110000000000
18275 10987654321098765432109876543210
18276 1x001110xx1xxxxx100010xxxxxxxxxx
18277 sha512su1. */
42e6288f 18278 return 2341;
e9dbdd80
TC
18279 }
18280 }
18281 else
18282 {
18283 if (((word >> 16) & 0x1) == 0)
18284 {
18285 /* 33222222222211111111110000000000
18286 10987654321098765432109876543210
18287 xx101110xx1xxxx0100010xxxxxxxxxx
18288 cmge. */
193614f2 18289 return 219;
e9dbdd80
TC
18290 }
18291 else
18292 {
18293 if (((word >> 19) & 0x1) == 0)
a06ea964 18294 {
f3aa142b
MW
18295 /* 33222222222211111111110000000000
18296 10987654321098765432109876543210
e9dbdd80
TC
18297 xx101110xx1x0xx1100010xxxxxxxxxx
18298 frinta. */
193614f2 18299 return 230;
a06ea964
NC
18300 }
18301 else
18302 {
f3aa142b
MW
18303 /* 33222222222211111111110000000000
18304 10987654321098765432109876543210
e9dbdd80
TC
18305 xx101110xx1x1xx1100010xxxxxxxxxx
18306 frinta. */
193614f2 18307 return 231;
a06ea964
NC
18308 }
18309 }
18310 }
e9dbdd80
TC
18311 }
18312 else
18313 {
18314 if (((word >> 23) & 0x1) == 0)
a06ea964 18315 {
e9dbdd80 18316 if (((word >> 29) & 0x1) == 0)
a06ea964 18317 {
e9dbdd80 18318 if (((word >> 31) & 0x1) == 0)
f3aa142b 18319 {
e9dbdd80 18320 if (((word >> 16) & 0x1) == 0)
80776b29
MW
18321 {
18322 /* 33222222222211111111110000000000
18323 10987654321098765432109876543210
e9dbdd80
TC
18324 0x0011100x1xxxx0110010xxxxxxxxxx
18325 fmaxnmv. */
193614f2 18326 return 37;
80776b29
MW
18327 }
18328 else
18329 {
e9dbdd80
TC
18330 if (((word >> 19) & 0x1) == 0)
18331 {
18332 /* 33222222222211111111110000000000
18333 10987654321098765432109876543210
18334 0x0011100x1x0xx1110010xxxxxxxxxx
18335 fcvtas. */
193614f2 18336 return 190;
e9dbdd80
TC
18337 }
18338 else
18339 {
18340 /* 33222222222211111111110000000000
18341 10987654321098765432109876543210
18342 0x0011100x1x1xx1110010xxxxxxxxxx
18343 fcvtas. */
193614f2 18344 return 191;
e9dbdd80 18345 }
80776b29 18346 }
f3aa142b
MW
18347 }
18348 else
18349 {
e9dbdd80
TC
18350 /* 33222222222211111111110000000000
18351 10987654321098765432109876543210
18352 1x0011100x1xxxxx110010xxxxxxxxxx
18353 sm4ekey. */
42e6288f 18354 return 2354;
e9dbdd80
TC
18355 }
18356 }
18357 else
18358 {
18359 if (((word >> 16) & 0x1) == 0)
18360 {
18361 /* 33222222222211111111110000000000
18362 10987654321098765432109876543210
18363 xx1011100x1xxxx0110010xxxxxxxxxx
18364 fmaxnmv. */
193614f2 18365 return 36;
e9dbdd80
TC
18366 }
18367 else
18368 {
18369 if (((word >> 19) & 0x1) == 0)
80776b29
MW
18370 {
18371 /* 33222222222211111111110000000000
18372 10987654321098765432109876543210
e9dbdd80
TC
18373 xx1011100x1x0xx1110010xxxxxxxxxx
18374 fcvtau. */
193614f2 18375 return 238;
80776b29
MW
18376 }
18377 else
18378 {
18379 /* 33222222222211111111110000000000
18380 10987654321098765432109876543210
e9dbdd80
TC
18381 xx1011100x1x1xx1110010xxxxxxxxxx
18382 fcvtau. */
193614f2 18383 return 239;
80776b29 18384 }
f3aa142b 18385 }
a06ea964 18386 }
e9dbdd80
TC
18387 }
18388 else
18389 {
18390 if (((word >> 16) & 0x1) == 0)
a06ea964 18391 {
e9dbdd80 18392 if (((word >> 19) & 0x1) == 0)
f3aa142b 18393 {
e9dbdd80 18394 if (((word >> 20) & 0x1) == 0)
80776b29 18395 {
e9dbdd80
TC
18396 if (((word >> 29) & 0x1) == 0)
18397 {
18398 /* 33222222222211111111110000000000
18399 10987654321098765432109876543210
18400 xx0011101x100xx0110010xxxxxxxxxx
18401 fcmgt. */
193614f2 18402 return 194;
e9dbdd80
TC
18403 }
18404 else
18405 {
18406 /* 33222222222211111111110000000000
18407 10987654321098765432109876543210
18408 xx1011101x100xx0110010xxxxxxxxxx
18409 fcmge. */
193614f2 18410 return 245;
e9dbdd80 18411 }
80776b29
MW
18412 }
18413 else
18414 {
e9dbdd80
TC
18415 if (((word >> 29) & 0x1) == 0)
18416 {
18417 /* 33222222222211111111110000000000
18418 10987654321098765432109876543210
18419 xx0011101x110xx0110010xxxxxxxxxx
18420 fminnmv. */
193614f2 18421 return 41;
e9dbdd80
TC
18422 }
18423 else
18424 {
18425 /* 33222222222211111111110000000000
18426 10987654321098765432109876543210
18427 xx1011101x110xx0110010xxxxxxxxxx
18428 fminnmv. */
193614f2 18429 return 40;
e9dbdd80 18430 }
80776b29 18431 }
f3aa142b
MW
18432 }
18433 else
18434 {
80776b29
MW
18435 if (((word >> 29) & 0x1) == 0)
18436 {
18437 /* 33222222222211111111110000000000
18438 10987654321098765432109876543210
e9dbdd80
TC
18439 xx0011101x1x1xx0110010xxxxxxxxxx
18440 fcmgt. */
193614f2 18441 return 195;
80776b29
MW
18442 }
18443 else
18444 {
18445 /* 33222222222211111111110000000000
18446 10987654321098765432109876543210
e9dbdd80
TC
18447 xx1011101x1x1xx0110010xxxxxxxxxx
18448 fcmge. */
193614f2 18449 return 246;
80776b29 18450 }
f3aa142b 18451 }
a06ea964 18452 }
e9dbdd80 18453 else
a06ea964
NC
18454 {
18455 if (((word >> 29) & 0x1) == 0)
18456 {
18457 /* 33222222222211111111110000000000
18458 10987654321098765432109876543210
e9dbdd80
TC
18459 xx0011101x1xxxx1110010xxxxxxxxxx
18460 urecpe. */
193614f2 18461 return 210;
a06ea964
NC
18462 }
18463 else
18464 {
18465 /* 33222222222211111111110000000000
18466 10987654321098765432109876543210
e9dbdd80
TC
18467 xx1011101x1xxxx1110010xxxxxxxxxx
18468 ursqrte. */
193614f2 18469 return 257;
a06ea964
NC
18470 }
18471 }
a06ea964
NC
18472 }
18473 }
e9dbdd80
TC
18474 }
18475 else
18476 {
18477 if (((word >> 14) & 0x1) == 0)
a06ea964 18478 {
e9dbdd80 18479 if (((word >> 16) & 0x1) == 0)
a06ea964 18480 {
e9dbdd80 18481 if (((word >> 20) & 0x1) == 0)
a06ea964
NC
18482 {
18483 /* 33222222222211111111110000000000
18484 10987654321098765432109876543210
e9dbdd80
TC
18485 xxx01110xx10xxx0101010xxxxxxxxxx
18486 cmlt. */
193614f2 18487 return 172;
a06ea964
NC
18488 }
18489 else
a06ea964 18490 {
f3aa142b 18491 if (((word >> 29) & 0x1) == 0)
a06ea964
NC
18492 {
18493 /* 33222222222211111111110000000000
18494 10987654321098765432109876543210
e9dbdd80
TC
18495 xx001110xx11xxx0101010xxxxxxxxxx
18496 smaxv. */
193614f2 18497 return 30;
a06ea964
NC
18498 }
18499 else
18500 {
18501 /* 33222222222211111111110000000000
18502 10987654321098765432109876543210
e9dbdd80
TC
18503 xx101110xx11xxx0101010xxxxxxxxxx
18504 umaxv. */
193614f2 18505 return 34;
a06ea964
NC
18506 }
18507 }
e9dbdd80
TC
18508 }
18509 else
18510 {
18511 if (((word >> 19) & 0x1) == 0)
a06ea964 18512 {
e9dbdd80 18513 if (((word >> 20) & 0x1) == 0)
a06ea964 18514 {
e9dbdd80 18515 if (((word >> 23) & 0x1) == 0)
f3aa142b 18516 {
bb515fea
MW
18517 if (((word >> 29) & 0x1) == 0)
18518 {
18519 /* 33222222222211111111110000000000
18520 10987654321098765432109876543210
e9dbdd80
TC
18521 xx0011100x100xx1101010xxxxxxxxxx
18522 fcvtns. */
193614f2 18523 return 186;
bb515fea
MW
18524 }
18525 else
18526 {
18527 /* 33222222222211111111110000000000
18528 10987654321098765432109876543210
e9dbdd80
TC
18529 xx1011100x100xx1101010xxxxxxxxxx
18530 fcvtnu. */
193614f2 18531 return 234;
e9dbdd80
TC
18532 }
18533 }
f3aa142b
MW
18534 else
18535 {
b195470d
MW
18536 if (((word >> 29) & 0x1) == 0)
18537 {
18538 /* 33222222222211111111110000000000
18539 10987654321098765432109876543210
e9dbdd80
TC
18540 xx0011101x100xx1101010xxxxxxxxxx
18541 fcvtps. */
193614f2 18542 return 206;
b195470d
MW
18543 }
18544 else
18545 {
18546 /* 33222222222211111111110000000000
18547 10987654321098765432109876543210
e9dbdd80
TC
18548 xx1011101x100xx1101010xxxxxxxxxx
18549 fcvtpu. */
193614f2 18550 return 253;
b195470d 18551 }
f3aa142b 18552 }
a06ea964
NC
18553 }
18554 else
18555 {
e9dbdd80 18556 if (((word >> 29) & 0x1) == 0)
f3aa142b 18557 {
e9dbdd80
TC
18558 /* 33222222222211111111110000000000
18559 10987654321098765432109876543210
18560 xx001110xx110xx1101010xxxxxxxxxx
18561 sminv. */
193614f2 18562 return 31;
f3aa142b
MW
18563 }
18564 else
18565 {
e9dbdd80
TC
18566 /* 33222222222211111111110000000000
18567 10987654321098765432109876543210
18568 xx101110xx110xx1101010xxxxxxxxxx
18569 uminv. */
193614f2 18570 return 35;
f3aa142b 18571 }
a06ea964
NC
18572 }
18573 }
f3aa142b
MW
18574 else
18575 {
e9dbdd80
TC
18576 if (((word >> 23) & 0x1) == 0)
18577 {
18578 if (((word >> 29) & 0x1) == 0)
18579 {
18580 /* 33222222222211111111110000000000
18581 10987654321098765432109876543210
18582 xx0011100x1x1xx1101010xxxxxxxxxx
18583 fcvtns. */
193614f2 18584 return 187;
e9dbdd80
TC
18585 }
18586 else
18587 {
18588 /* 33222222222211111111110000000000
18589 10987654321098765432109876543210
18590 xx1011100x1x1xx1101010xxxxxxxxxx
18591 fcvtnu. */
193614f2 18592 return 235;
e9dbdd80
TC
18593 }
18594 }
18595 else
18596 {
18597 if (((word >> 29) & 0x1) == 0)
18598 {
18599 /* 33222222222211111111110000000000
18600 10987654321098765432109876543210
18601 xx0011101x1x1xx1101010xxxxxxxxxx
18602 fcvtps. */
193614f2 18603 return 207;
e9dbdd80
TC
18604 }
18605 else
18606 {
18607 /* 33222222222211111111110000000000
18608 10987654321098765432109876543210
18609 xx1011101x1x1xx1101010xxxxxxxxxx
18610 fcvtpu. */
193614f2 18611 return 254;
e9dbdd80
TC
18612 }
18613 }
f3aa142b
MW
18614 }
18615 }
a06ea964
NC
18616 }
18617 else
18618 {
13c60ad7 18619 if (((word >> 16) & 0x1) == 0)
a06ea964 18620 {
13c60ad7
SD
18621 if (((word >> 19) & 0x1) == 0)
18622 {
18623 /* 33222222222211111111110000000000
18624 10987654321098765432109876543210
18625 xxx01110xx1x0xx0111010xxxxxxxxxx
18626 fcmlt. */
193614f2 18627 return 198;
13c60ad7
SD
18628 }
18629 else
18630 {
18631 /* 33222222222211111111110000000000
18632 10987654321098765432109876543210
18633 xxx01110xx1x1xx0111010xxxxxxxxxx
18634 fcmlt. */
193614f2 18635 return 199;
13c60ad7 18636 }
a06ea964
NC
18637 }
18638 else
18639 {
13c60ad7
SD
18640 if (((word >> 29) & 0x1) == 0)
18641 {
18642 /* 33222222222211111111110000000000
18643 10987654321098765432109876543210
18644 xx001110xx1xxxx1111010xxxxxxxxxx
18645 frint32z. */
193614f2 18646 return 158;
13c60ad7
SD
18647 }
18648 else
18649 {
18650 /* 33222222222211111111110000000000
18651 10987654321098765432109876543210
18652 xx101110xx1xxxx1111010xxxxxxxxxx
18653 frint32x. */
193614f2 18654 return 159;
13c60ad7 18655 }
a06ea964
NC
18656 }
18657 }
18658 }
e9dbdd80
TC
18659 }
18660 else
18661 {
18662 if (((word >> 13) & 0x1) == 0)
a06ea964 18663 {
e9dbdd80 18664 if (((word >> 14) & 0x1) == 0)
a06ea964 18665 {
e9dbdd80 18666 if (((word >> 16) & 0x1) == 0)
a06ea964
NC
18667 {
18668 if (((word >> 29) & 0x1) == 0)
18669 {
18670 /* 33222222222211111111110000000000
18671 10987654321098765432109876543210
e9dbdd80
TC
18672 xx001110xx1xxxx0100110xxxxxxxxxx
18673 cmeq. */
193614f2 18674 return 171;
a06ea964
NC
18675 }
18676 else
18677 {
18678 /* 33222222222211111111110000000000
18679 10987654321098765432109876543210
e9dbdd80
TC
18680 xx101110xx1xxxx0100110xxxxxxxxxx
18681 cmle. */
193614f2 18682 return 220;
a06ea964
NC
18683 }
18684 }
18685 else
18686 {
e9dbdd80 18687 if (((word >> 19) & 0x1) == 0)
a06ea964 18688 {
e9dbdd80
TC
18689 if (((word >> 23) & 0x1) == 0)
18690 {
18691 if (((word >> 29) & 0x1) == 0)
18692 {
18693 /* 33222222222211111111110000000000
18694 10987654321098765432109876543210
18695 xx0011100x1x0xx1100110xxxxxxxxxx
18696 frintm. */
193614f2 18697 return 184;
e9dbdd80
TC
18698 }
18699 else
18700 {
18701 /* 33222222222211111111110000000000
18702 10987654321098765432109876543210
18703 xx1011100x1x0xx1100110xxxxxxxxxx
18704 frintx. */
193614f2 18705 return 232;
e9dbdd80
TC
18706 }
18707 }
18708 else
18709 {
18710 if (((word >> 29) & 0x1) == 0)
18711 {
18712 /* 33222222222211111111110000000000
18713 10987654321098765432109876543210
18714 xx0011101x1x0xx1100110xxxxxxxxxx
18715 frintz. */
193614f2 18716 return 204;
e9dbdd80
TC
18717 }
18718 else
18719 {
18720 /* 33222222222211111111110000000000
18721 10987654321098765432109876543210
18722 xx1011101x1x0xx1100110xxxxxxxxxx
18723 frinti. */
193614f2 18724 return 251;
e9dbdd80
TC
18725 }
18726 }
a06ea964
NC
18727 }
18728 else
18729 {
e9dbdd80
TC
18730 if (((word >> 23) & 0x1) == 0)
18731 {
18732 if (((word >> 29) & 0x1) == 0)
18733 {
18734 /* 33222222222211111111110000000000
18735 10987654321098765432109876543210
18736 xx0011100x1x1xx1100110xxxxxxxxxx
18737 frintm. */
193614f2 18738 return 185;
e9dbdd80
TC
18739 }
18740 else
18741 {
18742 /* 33222222222211111111110000000000
18743 10987654321098765432109876543210
18744 xx1011100x1x1xx1100110xxxxxxxxxx
18745 frintx. */
193614f2 18746 return 233;
e9dbdd80
TC
18747 }
18748 }
18749 else
18750 {
18751 if (((word >> 29) & 0x1) == 0)
18752 {
18753 /* 33222222222211111111110000000000
18754 10987654321098765432109876543210
18755 xx0011101x1x1xx1100110xxxxxxxxxx
18756 frintz. */
193614f2 18757 return 205;
e9dbdd80
TC
18758 }
18759 else
18760 {
18761 /* 33222222222211111111110000000000
18762 10987654321098765432109876543210
18763 xx1011101x1x1xx1100110xxxxxxxxxx
18764 frinti. */
193614f2 18765 return 252;
e9dbdd80
TC
18766 }
18767 }
18768 }
18769 }
18770 }
18771 else
18772 {
18773 if (((word >> 16) & 0x1) == 0)
18774 {
18775 if (((word >> 19) & 0x1) == 0)
18776 {
18777 if (((word >> 29) & 0x1) == 0)
18778 {
18779 /* 33222222222211111111110000000000
18780 10987654321098765432109876543210
18781 xx001110xx1x0xx0110110xxxxxxxxxx
18782 fcmeq. */
193614f2 18783 return 196;
e9dbdd80
TC
18784 }
18785 else
18786 {
18787 /* 33222222222211111111110000000000
18788 10987654321098765432109876543210
18789 xx101110xx1x0xx0110110xxxxxxxxxx
18790 fcmle. */
193614f2 18791 return 247;
e9dbdd80
TC
18792 }
18793 }
18794 else
18795 {
18796 if (((word >> 29) & 0x1) == 0)
18797 {
18798 /* 33222222222211111111110000000000
18799 10987654321098765432109876543210
18800 xx001110xx1x1xx0110110xxxxxxxxxx
18801 fcmeq. */
193614f2 18802 return 197;
e9dbdd80
TC
18803 }
18804 else
18805 {
18806 /* 33222222222211111111110000000000
18807 10987654321098765432109876543210
18808 xx101110xx1x1xx0110110xxxxxxxxxx
18809 fcmle. */
193614f2 18810 return 248;
e9dbdd80
TC
18811 }
18812 }
18813 }
18814 else
18815 {
18816 if (((word >> 19) & 0x1) == 0)
18817 {
18818 if (((word >> 23) & 0x1) == 0)
18819 {
18820 if (((word >> 29) & 0x1) == 0)
18821 {
18822 /* 33222222222211111111110000000000
18823 10987654321098765432109876543210
18824 xx0011100x1x0xx1110110xxxxxxxxxx
18825 scvtf. */
193614f2 18826 return 192;
e9dbdd80
TC
18827 }
18828 else
18829 {
18830 /* 33222222222211111111110000000000
18831 10987654321098765432109876543210
18832 xx1011100x1x0xx1110110xxxxxxxxxx
18833 ucvtf. */
193614f2 18834 return 240;
e9dbdd80
TC
18835 }
18836 }
18837 else
18838 {
18839 if (((word >> 29) & 0x1) == 0)
18840 {
18841 /* 33222222222211111111110000000000
18842 10987654321098765432109876543210
18843 xx0011101x1x0xx1110110xxxxxxxxxx
18844 frecpe. */
193614f2 18845 return 211;
e9dbdd80
TC
18846 }
18847 else
18848 {
18849 /* 33222222222211111111110000000000
18850 10987654321098765432109876543210
18851 xx1011101x1x0xx1110110xxxxxxxxxx
18852 frsqrte. */
193614f2 18853 return 258;
e9dbdd80
TC
18854 }
18855 }
18856 }
18857 else
18858 {
18859 if (((word >> 23) & 0x1) == 0)
18860 {
18861 if (((word >> 29) & 0x1) == 0)
18862 {
18863 /* 33222222222211111111110000000000
18864 10987654321098765432109876543210
18865 xx0011100x1x1xx1110110xxxxxxxxxx
18866 scvtf. */
193614f2 18867 return 193;
e9dbdd80
TC
18868 }
18869 else
18870 {
18871 /* 33222222222211111111110000000000
18872 10987654321098765432109876543210
18873 xx1011100x1x1xx1110110xxxxxxxxxx
18874 ucvtf. */
193614f2 18875 return 241;
e9dbdd80
TC
18876 }
18877 }
18878 else
18879 {
18880 if (((word >> 29) & 0x1) == 0)
18881 {
18882 /* 33222222222211111111110000000000
18883 10987654321098765432109876543210
18884 xx0011101x1x1xx1110110xxxxxxxxxx
18885 frecpe. */
193614f2 18886 return 212;
e9dbdd80
TC
18887 }
18888 else
18889 {
18890 /* 33222222222211111111110000000000
18891 10987654321098765432109876543210
18892 xx1011101x1x1xx1110110xxxxxxxxxx
18893 frsqrte. */
193614f2 18894 return 259;
e9dbdd80
TC
18895 }
18896 }
18897 }
18898 }
18899 }
18900 }
18901 else
18902 {
18903 if (((word >> 14) & 0x1) == 0)
18904 {
18905 if (((word >> 16) & 0x1) == 0)
18906 {
18907 if (((word >> 29) & 0x1) == 0)
18908 {
18909 /* 33222222222211111111110000000000
18910 10987654321098765432109876543210
18911 xx001110xx1xxxx0101110xxxxxxxxxx
18912 abs. */
193614f2 18913 return 173;
e9dbdd80
TC
18914 }
18915 else
18916 {
18917 /* 33222222222211111111110000000000
18918 10987654321098765432109876543210
18919 xx101110xx1xxxx0101110xxxxxxxxxx
18920 neg. */
193614f2 18921 return 221;
e9dbdd80
TC
18922 }
18923 }
18924 else
18925 {
18926 if (((word >> 19) & 0x1) == 0)
18927 {
18928 if (((word >> 20) & 0x1) == 0)
18929 {
18930 if (((word >> 23) & 0x1) == 0)
18931 {
18932 if (((word >> 29) & 0x1) == 0)
18933 {
18934 /* 33222222222211111111110000000000
18935 10987654321098765432109876543210
18936 xx0011100x100xx1101110xxxxxxxxxx
18937 fcvtms. */
193614f2 18938 return 188;
e9dbdd80
TC
18939 }
18940 else
18941 {
18942 /* 33222222222211111111110000000000
18943 10987654321098765432109876543210
18944 xx1011100x100xx1101110xxxxxxxxxx
18945 fcvtmu. */
193614f2 18946 return 236;
e9dbdd80
TC
18947 }
18948 }
18949 else
18950 {
18951 if (((word >> 29) & 0x1) == 0)
18952 {
18953 /* 33222222222211111111110000000000
18954 10987654321098765432109876543210
18955 xx0011101x100xx1101110xxxxxxxxxx
18956 fcvtzs. */
193614f2 18957 return 208;
e9dbdd80
TC
18958 }
18959 else
18960 {
18961 /* 33222222222211111111110000000000
18962 10987654321098765432109876543210
18963 xx1011101x100xx1101110xxxxxxxxxx
18964 fcvtzu. */
193614f2 18965 return 255;
e9dbdd80
TC
18966 }
18967 }
18968 }
18969 else
18970 {
18971 /* 33222222222211111111110000000000
18972 10987654321098765432109876543210
18973 xxx01110xx110xx1101110xxxxxxxxxx
18974 addv. */
193614f2 18975 return 32;
e9dbdd80
TC
18976 }
18977 }
18978 else
18979 {
18980 if (((word >> 23) & 0x1) == 0)
18981 {
18982 if (((word >> 29) & 0x1) == 0)
18983 {
18984 /* 33222222222211111111110000000000
18985 10987654321098765432109876543210
18986 xx0011100x1x1xx1101110xxxxxxxxxx
18987 fcvtms. */
193614f2 18988 return 189;
e9dbdd80
TC
18989 }
18990 else
18991 {
18992 /* 33222222222211111111110000000000
18993 10987654321098765432109876543210
18994 xx1011100x1x1xx1101110xxxxxxxxxx
18995 fcvtmu. */
193614f2 18996 return 237;
e9dbdd80
TC
18997 }
18998 }
18999 else
19000 {
19001 if (((word >> 29) & 0x1) == 0)
19002 {
19003 /* 33222222222211111111110000000000
19004 10987654321098765432109876543210
19005 xx0011101x1x1xx1101110xxxxxxxxxx
19006 fcvtzs. */
193614f2 19007 return 209;
e9dbdd80
TC
19008 }
19009 else
19010 {
19011 /* 33222222222211111111110000000000
19012 10987654321098765432109876543210
19013 xx1011101x1x1xx1101110xxxxxxxxxx
19014 fcvtzu. */
193614f2 19015 return 256;
e9dbdd80
TC
19016 }
19017 }
19018 }
19019 }
19020 }
19021 else
19022 {
19023 if (((word >> 16) & 0x1) == 0)
19024 {
19025 if (((word >> 19) & 0x1) == 0)
19026 {
19027 if (((word >> 20) & 0x1) == 0)
19028 {
19029 if (((word >> 29) & 0x1) == 0)
19030 {
19031 /* 33222222222211111111110000000000
19032 10987654321098765432109876543210
19033 xx001110xx100xx0111110xxxxxxxxxx
19034 fabs. */
193614f2 19035 return 200;
e9dbdd80
TC
19036 }
19037 else
19038 {
19039 /* 33222222222211111111110000000000
19040 10987654321098765432109876543210
19041 xx101110xx100xx0111110xxxxxxxxxx
19042 fneg. */
193614f2 19043 return 249;
e9dbdd80
TC
19044 }
19045 }
19046 else
19047 {
19048 if (((word >> 23) & 0x1) == 0)
19049 {
19050 if (((word >> 29) & 0x1) == 0)
19051 {
19052 /* 33222222222211111111110000000000
19053 10987654321098765432109876543210
19054 xx0011100x110xx0111110xxxxxxxxxx
19055 fmaxv. */
193614f2 19056 return 39;
e9dbdd80
TC
19057 }
19058 else
19059 {
19060 /* 33222222222211111111110000000000
19061 10987654321098765432109876543210
19062 xx1011100x110xx0111110xxxxxxxxxx
19063 fmaxv. */
193614f2 19064 return 38;
e9dbdd80
TC
19065 }
19066 }
19067 else
19068 {
19069 if (((word >> 29) & 0x1) == 0)
19070 {
19071 /* 33222222222211111111110000000000
19072 10987654321098765432109876543210
19073 xx0011101x110xx0111110xxxxxxxxxx
19074 fminv. */
193614f2 19075 return 43;
e9dbdd80
TC
19076 }
19077 else
19078 {
19079 /* 33222222222211111111110000000000
19080 10987654321098765432109876543210
19081 xx1011101x110xx0111110xxxxxxxxxx
19082 fminv. */
193614f2 19083 return 42;
e9dbdd80
TC
19084 }
19085 }
19086 }
19087 }
19088 else
19089 {
19090 if (((word >> 29) & 0x1) == 0)
19091 {
19092 /* 33222222222211111111110000000000
19093 10987654321098765432109876543210
19094 xx001110xx1x1xx0111110xxxxxxxxxx
19095 fabs. */
193614f2 19096 return 201;
e9dbdd80
TC
19097 }
19098 else
19099 {
19100 /* 33222222222211111111110000000000
19101 10987654321098765432109876543210
19102 xx101110xx1x1xx0111110xxxxxxxxxx
19103 fneg. */
193614f2 19104 return 250;
e9dbdd80
TC
19105 }
19106 }
19107 }
19108 else
19109 {
19110 if (((word >> 19) & 0x1) == 0)
19111 {
13c60ad7
SD
19112 if (((word >> 23) & 0x1) == 0)
19113 {
19114 if (((word >> 29) & 0x1) == 0)
19115 {
19116 /* 33222222222211111111110000000000
19117 10987654321098765432109876543210
19118 xx0011100x1x0xx1111110xxxxxxxxxx
19119 frint64z. */
193614f2 19120 return 160;
13c60ad7
SD
19121 }
19122 else
19123 {
19124 /* 33222222222211111111110000000000
19125 10987654321098765432109876543210
19126 xx1011100x1x0xx1111110xxxxxxxxxx
19127 frint64x. */
193614f2 19128 return 161;
13c60ad7
SD
19129 }
19130 }
19131 else
19132 {
19133 /* 33222222222211111111110000000000
19134 10987654321098765432109876543210
19135 xxx011101x1x0xx1111110xxxxxxxxxx
19136 fsqrt. */
193614f2 19137 return 260;
13c60ad7 19138 }
e9dbdd80
TC
19139 }
19140 else
19141 {
19142 /* 33222222222211111111110000000000
19143 10987654321098765432109876543210
19144 xxx01110xx1x1xx1111110xxxxxxxxxx
19145 fsqrt. */
193614f2 19146 return 261;
e9dbdd80
TC
19147 }
19148 }
19149 }
19150 }
19151 }
19152 }
19153 }
19154 else
19155 {
19156 if (((word >> 11) & 0x1) == 0)
19157 {
19158 if (((word >> 12) & 0x1) == 0)
19159 {
19160 if (((word >> 13) & 0x1) == 0)
19161 {
19162 if (((word >> 14) & 0x1) == 0)
19163 {
19164 if (((word >> 29) & 0x1) == 0)
19165 {
19166 if (((word >> 31) & 0x1) == 0)
19167 {
19168 /* 33222222222211111111110000000000
19169 10987654321098765432109876543210
19170 0x001110xx1xxxxx100001xxxxxxxxxx
19171 add. */
193614f2 19172 return 283;
e9dbdd80
TC
19173 }
19174 else
19175 {
19176 /* 33222222222211111111110000000000
19177 10987654321098765432109876543210
19178 1x001110xx1xxxxx100001xxxxxxxxxx
19179 sha512h2. */
42e6288f 19180 return 2339;
e9dbdd80
TC
19181 }
19182 }
19183 else
19184 {
19185 /* 33222222222211111111110000000000
19186 10987654321098765432109876543210
19187 xx101110xx1xxxxx100001xxxxxxxxxx
19188 sub. */
193614f2 19189 return 335;
e9dbdd80
TC
19190 }
19191 }
19192 else
19193 {
19194 if (((word >> 23) & 0x1) == 0)
19195 {
19196 if (((word >> 29) & 0x1) == 0)
19197 {
19198 if (((word >> 31) & 0x1) == 0)
19199 {
19200 /* 33222222222211111111110000000000
19201 10987654321098765432109876543210
19202 0x0011100x1xxxxx110001xxxxxxxxxx
19203 fmaxnm. */
193614f2 19204 return 291;
e9dbdd80
TC
19205 }
19206 else
19207 {
19208 /* 33222222222211111111110000000000
19209 10987654321098765432109876543210
19210 1x0011100x1xxxxx110001xxxxxxxxxx
19211 sm3partw2. */
42e6288f 19212 return 2352;
e9dbdd80
TC
19213 }
19214 }
19215 else
19216 {
19217 /* 33222222222211111111110000000000
19218 10987654321098765432109876543210
19219 xx1011100x1xxxxx110001xxxxxxxxxx
19220 fmaxnmp. */
193614f2 19221 return 342;
e9dbdd80
TC
19222 }
19223 }
19224 else
19225 {
19226 if (((word >> 29) & 0x1) == 0)
19227 {
19228 /* 33222222222211111111110000000000
19229 10987654321098765432109876543210
19230 xx0011101x1xxxxx110001xxxxxxxxxx
19231 fminnm. */
193614f2 19232 return 307;
e9dbdd80
TC
19233 }
19234 else
19235 {
19236 /* 33222222222211111111110000000000
19237 10987654321098765432109876543210
19238 xx1011101x1xxxxx110001xxxxxxxxxx
19239 fminnmp. */
193614f2 19240 return 358;
e9dbdd80
TC
19241 }
19242 }
19243 }
19244 }
19245 else
19246 {
19247 if (((word >> 14) & 0x1) == 0)
19248 {
19249 if (((word >> 29) & 0x1) == 0)
19250 {
19251 /* 33222222222211111111110000000000
19252 10987654321098765432109876543210
19253 xx001110xx1xxxxx101001xxxxxxxxxx
19254 smaxp. */
193614f2 19255 return 287;
e9dbdd80
TC
19256 }
19257 else
19258 {
19259 /* 33222222222211111111110000000000
19260 10987654321098765432109876543210
19261 xx101110xx1xxxxx101001xxxxxxxxxx
19262 umaxp. */
193614f2 19263 return 339;
e9dbdd80
TC
19264 }
19265 }
19266 else
19267 {
19268 if (((word >> 23) & 0x1) == 0)
19269 {
19270 if (((word >> 29) & 0x1) == 0)
19271 {
19272 /* 33222222222211111111110000000000
19273 10987654321098765432109876543210
19274 xx0011100x1xxxxx111001xxxxxxxxxx
19275 fcmeq. */
193614f2 19276 return 299;
e9dbdd80
TC
19277 }
19278 else
19279 {
19280 /* 33222222222211111111110000000000
19281 10987654321098765432109876543210
19282 xx1011100x1xxxxx111001xxxxxxxxxx
19283 fcmge. */
193614f2 19284 return 348;
e9dbdd80
TC
19285 }
19286 }
19287 else
19288 {
19289 /* 33222222222211111111110000000000
19290 10987654321098765432109876543210
19291 xxx011101x1xxxxx111001xxxxxxxxxx
19292 fcmgt. */
193614f2 19293 return 362;
e9dbdd80
TC
19294 }
19295 }
19296 }
19297 }
19298 else
19299 {
19300 if (((word >> 13) & 0x1) == 0)
19301 {
19302 if (((word >> 14) & 0x1) == 0)
19303 {
19304 if (((word >> 29) & 0x1) == 0)
19305 {
19306 /* 33222222222211111111110000000000
19307 10987654321098765432109876543210
19308 xx001110xx1xxxxx100101xxxxxxxxxx
19309 mla. */
193614f2 19310 return 285;
e9dbdd80
TC
19311 }
19312 else
19313 {
19314 /* 33222222222211111111110000000000
19315 10987654321098765432109876543210
19316 xx101110xx1xxxxx100101xxxxxxxxxx
19317 mls. */
193614f2 19318 return 337;
e9dbdd80
TC
19319 }
19320 }
19321 else
19322 {
19323 if (((word >> 23) & 0x1) == 0)
19324 {
19325 if (((word >> 29) & 0x1) == 0)
19326 {
19327 /* 33222222222211111111110000000000
19328 10987654321098765432109876543210
19329 xx0011100x1xxxxx110101xxxxxxxxxx
19330 fadd. */
193614f2 19331 return 295;
e9dbdd80
TC
19332 }
19333 else
19334 {
19335 /* 33222222222211111111110000000000
19336 10987654321098765432109876543210
19337 xx1011100x1xxxxx110101xxxxxxxxxx
19338 faddp. */
193614f2 19339 return 344;
e9dbdd80
TC
19340 }
19341 }
19342 else
19343 {
19344 if (((word >> 29) & 0x1) == 0)
19345 {
19346 /* 33222222222211111111110000000000
19347 10987654321098765432109876543210
19348 xx0011101x1xxxxx110101xxxxxxxxxx
19349 fsub. */
193614f2 19350 return 311;
e9dbdd80
TC
19351 }
19352 else
19353 {
19354 /* 33222222222211111111110000000000
19355 10987654321098765432109876543210
19356 xx1011101x1xxxxx110101xxxxxxxxxx
19357 fabd. */
193614f2 19358 return 360;
e9dbdd80
TC
19359 }
19360 }
19361 }
19362 }
19363 else
19364 {
19365 if (((word >> 14) & 0x1) == 0)
19366 {
19367 if (((word >> 29) & 0x1) == 0)
19368 {
19369 /* 33222222222211111111110000000000
19370 10987654321098765432109876543210
19371 xx001110xx1xxxxx101101xxxxxxxxxx
19372 sqdmulh. */
193614f2 19373 return 289;
e9dbdd80
TC
19374 }
19375 else
19376 {
19377 /* 33222222222211111111110000000000
19378 10987654321098765432109876543210
19379 xx101110xx1xxxxx101101xxxxxxxxxx
19380 sqrdmulh. */
193614f2 19381 return 341;
e9dbdd80
TC
19382 }
19383 }
19384 else
19385 {
19386 if (((word >> 23) & 0x1) == 0)
19387 {
19388 if (((word >> 29) & 0x1) == 0)
19389 {
19390 /* 33222222222211111111110000000000
19391 10987654321098765432109876543210
19392 xx0011100x1xxxxx111101xxxxxxxxxx
19393 fmax. */
193614f2 19394 return 301;
e9dbdd80
TC
19395 }
19396 else
19397 {
19398 /* 33222222222211111111110000000000
19399 10987654321098765432109876543210
19400 xx1011100x1xxxxx111101xxxxxxxxxx
19401 fmaxp. */
193614f2 19402 return 352;
e9dbdd80
TC
19403 }
19404 }
19405 else
19406 {
19407 if (((word >> 29) & 0x1) == 0)
19408 {
19409 /* 33222222222211111111110000000000
19410 10987654321098765432109876543210
19411 xx0011101x1xxxxx111101xxxxxxxxxx
19412 fmin. */
193614f2 19413 return 313;
e9dbdd80
TC
19414 }
19415 else
19416 {
19417 /* 33222222222211111111110000000000
19418 10987654321098765432109876543210
19419 xx1011101x1xxxxx111101xxxxxxxxxx
19420 fminp. */
193614f2 19421 return 366;
e9dbdd80
TC
19422 }
19423 }
19424 }
19425 }
19426 }
19427 }
19428 else
19429 {
19430 if (((word >> 12) & 0x1) == 0)
19431 {
19432 if (((word >> 13) & 0x1) == 0)
19433 {
19434 if (((word >> 14) & 0x1) == 0)
19435 {
19436 if (((word >> 29) & 0x1) == 0)
19437 {
19438 if (((word >> 31) & 0x1) == 0)
19439 {
19440 /* 33222222222211111111110000000000
19441 10987654321098765432109876543210
19442 0x001110xx1xxxxx100011xxxxxxxxxx
19443 cmtst. */
193614f2 19444 return 284;
e9dbdd80
TC
19445 }
19446 else
19447 {
19448 /* 33222222222211111111110000000000
19449 10987654321098765432109876543210
19450 1x001110xx1xxxxx100011xxxxxxxxxx
19451 rax1. */
42e6288f 19452 return 2343;
e9dbdd80
TC
19453 }
19454 }
19455 else
19456 {
19457 /* 33222222222211111111110000000000
19458 10987654321098765432109876543210
19459 xx101110xx1xxxxx100011xxxxxxxxxx
19460 cmeq. */
193614f2 19461 return 336;
e9dbdd80
TC
19462 }
19463 }
19464 else
19465 {
19466 if (((word >> 23) & 0x1) == 0)
19467 {
19468 if (((word >> 29) & 0x1) == 0)
19469 {
19470 /* 33222222222211111111110000000000
19471 10987654321098765432109876543210
19472 xx0011100x1xxxxx110011xxxxxxxxxx
19473 fmla. */
193614f2 19474 return 293;
e9dbdd80
TC
19475 }
19476 else
19477 {
19478 if (((word >> 30) & 0x1) == 0)
19479 {
19480 /* 33222222222211111111110000000000
19481 10987654321098765432109876543210
19482 x01011100x1xxxxx110011xxxxxxxxxx
19483 fmlal2. */
42e6288f 19484 return 2357;
e9dbdd80
TC
19485 }
19486 else
19487 {
19488 /* 33222222222211111111110000000000
19489 10987654321098765432109876543210
19490 x11011100x1xxxxx110011xxxxxxxxxx
19491 fmlal2. */
42e6288f 19492 return 2361;
e9dbdd80
TC
19493 }
19494 }
19495 }
19496 else
19497 {
19498 if (((word >> 29) & 0x1) == 0)
19499 {
19500 /* 33222222222211111111110000000000
19501 10987654321098765432109876543210
19502 xx0011101x1xxxxx110011xxxxxxxxxx
19503 fmls. */
193614f2 19504 return 309;
e9dbdd80
TC
19505 }
19506 else
19507 {
19508 if (((word >> 30) & 0x1) == 0)
19509 {
19510 /* 33222222222211111111110000000000
19511 10987654321098765432109876543210
19512 x01011101x1xxxxx110011xxxxxxxxxx
19513 fmlsl2. */
42e6288f 19514 return 2358;
e9dbdd80
TC
19515 }
19516 else
19517 {
19518 /* 33222222222211111111110000000000
19519 10987654321098765432109876543210
19520 x11011101x1xxxxx110011xxxxxxxxxx
19521 fmlsl2. */
42e6288f 19522 return 2362;
e9dbdd80
TC
19523 }
19524 }
19525 }
19526 }
19527 }
19528 else
19529 {
19530 if (((word >> 14) & 0x1) == 0)
19531 {
19532 if (((word >> 29) & 0x1) == 0)
19533 {
19534 /* 33222222222211111111110000000000
19535 10987654321098765432109876543210
19536 xx001110xx1xxxxx101011xxxxxxxxxx
19537 sminp. */
193614f2 19538 return 288;
e9dbdd80
TC
19539 }
19540 else
19541 {
19542 /* 33222222222211111111110000000000
19543 10987654321098765432109876543210
19544 xx101110xx1xxxxx101011xxxxxxxxxx
19545 uminp. */
193614f2 19546 return 340;
e9dbdd80
TC
19547 }
19548 }
19549 else
19550 {
19551 if (((word >> 23) & 0x1) == 0)
19552 {
19553 if (((word >> 29) & 0x1) == 0)
19554 {
19555 if (((word >> 30) & 0x1) == 0)
19556 {
19557 /* 33222222222211111111110000000000
19558 10987654321098765432109876543210
19559 x00011100x1xxxxx111011xxxxxxxxxx
19560 fmlal. */
42e6288f 19561 return 2355;
e9dbdd80
TC
19562 }
19563 else
19564 {
19565 /* 33222222222211111111110000000000
19566 10987654321098765432109876543210
19567 x10011100x1xxxxx111011xxxxxxxxxx
19568 fmlal. */
42e6288f 19569 return 2359;
e9dbdd80
TC
19570 }
19571 }
19572 else
19573 {
19574 /* 33222222222211111111110000000000
19575 10987654321098765432109876543210
19576 xx1011100x1xxxxx111011xxxxxxxxxx
19577 facge. */
193614f2 19578 return 350;
e9dbdd80
TC
19579 }
19580 }
19581 else
19582 {
19583 if (((word >> 29) & 0x1) == 0)
19584 {
19585 if (((word >> 30) & 0x1) == 0)
19586 {
19587 /* 33222222222211111111110000000000
19588 10987654321098765432109876543210
19589 x00011101x1xxxxx111011xxxxxxxxxx
19590 fmlsl. */
42e6288f 19591 return 2356;
e9dbdd80
TC
19592 }
19593 else
19594 {
19595 /* 33222222222211111111110000000000
19596 10987654321098765432109876543210
19597 x10011101x1xxxxx111011xxxxxxxxxx
19598 fmlsl. */
42e6288f 19599 return 2360;
e9dbdd80
TC
19600 }
19601 }
19602 else
19603 {
19604 /* 33222222222211111111110000000000
19605 10987654321098765432109876543210
19606 xx1011101x1xxxxx111011xxxxxxxxxx
19607 facgt. */
193614f2 19608 return 364;
e9dbdd80
TC
19609 }
19610 }
19611 }
19612 }
19613 }
19614 else
19615 {
19616 if (((word >> 13) & 0x1) == 0)
a06ea964 19617 {
e9dbdd80
TC
19618 if (((word >> 14) & 0x1) == 0)
19619 {
19620 if (((word >> 29) & 0x1) == 0)
19621 {
19622 /* 33222222222211111111110000000000
19623 10987654321098765432109876543210
19624 xx001110xx1xxxxx100111xxxxxxxxxx
19625 mul. */
193614f2 19626 return 286;
e9dbdd80
TC
19627 }
19628 else
19629 {
19630 /* 33222222222211111111110000000000
19631 10987654321098765432109876543210
19632 xx101110xx1xxxxx100111xxxxxxxxxx
19633 pmul. */
193614f2 19634 return 338;
e9dbdd80
TC
19635 }
19636 }
19637 else
a06ea964
NC
19638 {
19639 if (((word >> 29) & 0x1) == 0)
19640 {
19641 /* 33222222222211111111110000000000
19642 10987654321098765432109876543210
e9dbdd80
TC
19643 xx001110xx1xxxxx110111xxxxxxxxxx
19644 fmulx. */
193614f2 19645 return 297;
e9dbdd80
TC
19646 }
19647 else
19648 {
19649 /* 33222222222211111111110000000000
19650 10987654321098765432109876543210
19651 xx101110xx1xxxxx110111xxxxxxxxxx
19652 fmul. */
193614f2 19653 return 346;
e9dbdd80
TC
19654 }
19655 }
19656 }
19657 else
19658 {
19659 if (((word >> 14) & 0x1) == 0)
19660 {
19661 /* 33222222222211111111110000000000
19662 10987654321098765432109876543210
19663 xxx01110xx1xxxxx101111xxxxxxxxxx
19664 addp. */
193614f2 19665 return 290;
e9dbdd80
TC
19666 }
19667 else
19668 {
19669 if (((word >> 23) & 0x1) == 0)
19670 {
19671 if (((word >> 29) & 0x1) == 0)
19672 {
19673 /* 33222222222211111111110000000000
19674 10987654321098765432109876543210
19675 xx0011100x1xxxxx111111xxxxxxxxxx
19676 frecps. */
193614f2 19677 return 303;
e9dbdd80
TC
19678 }
19679 else
19680 {
19681 /* 33222222222211111111110000000000
19682 10987654321098765432109876543210
19683 xx1011100x1xxxxx111111xxxxxxxxxx
19684 fdiv. */
193614f2 19685 return 354;
e9dbdd80
TC
19686 }
19687 }
19688 else
19689 {
19690 /* 33222222222211111111110000000000
19691 10987654321098765432109876543210
19692 xxx011101x1xxxxx111111xxxxxxxxxx
19693 frsqrts. */
193614f2 19694 return 315;
e9dbdd80
TC
19695 }
19696 }
19697 }
19698 }
19699 }
19700 }
19701 }
19702 }
19703 else
19704 {
19705 if (((word >> 10) & 0x1) == 0)
19706 {
19707 if (((word >> 11) & 0x1) == 0)
19708 {
19709 if (((word >> 12) & 0x1) == 0)
19710 {
19711 if (((word >> 13) & 0x1) == 0)
19712 {
19713 if (((word >> 14) & 0x1) == 0)
19714 {
19715 if (((word >> 16) & 0x1) == 0)
19716 {
19717 if (((word >> 17) & 0x1) == 0)
19718 {
19719 if (((word >> 18) & 0x1) == 0)
19720 {
19721 if (((word >> 19) & 0x1) == 0)
19722 {
19723 if (((word >> 20) & 0x1) == 0)
19724 {
19725 /* 33222222222211111111110000000000
19726 10987654321098765432109876543210
19727 xxx11110xx100000x00000xxxxxxxxxx
19728 fcvtns. */
b731bc3b 19729 return 767;
e9dbdd80
TC
19730 }
19731 else
19732 {
19733 /* 33222222222211111111110000000000
19734 10987654321098765432109876543210
19735 xxx11110xx110000x00000xxxxxxxxxx
19736 fcvtms. */
b731bc3b 19737 return 787;
e9dbdd80
TC
19738 }
19739 }
19740 else
19741 {
19742 if (((word >> 20) & 0x1) == 0)
19743 {
19744 /* 33222222222211111111110000000000
19745 10987654321098765432109876543210
19746 xxx11110xx101000x00000xxxxxxxxxx
19747 fcvtps. */
b731bc3b 19748 return 783;
e9dbdd80
TC
19749 }
19750 else
19751 {
19752 /* 33222222222211111111110000000000
19753 10987654321098765432109876543210
19754 xxx11110xx111000x00000xxxxxxxxxx
19755 fcvtzs. */
b731bc3b 19756 return 791;
e9dbdd80
TC
19757 }
19758 }
19759 }
19760 else
19761 {
19762 /* 33222222222211111111110000000000
19763 10987654321098765432109876543210
19764 xxx11110xx1xx100x00000xxxxxxxxxx
19765 fcvtas. */
b731bc3b 19766 return 775;
e9dbdd80
TC
19767 }
19768 }
19769 else
19770 {
19771 if (((word >> 18) & 0x1) == 0)
19772 {
19773 /* 33222222222211111111110000000000
19774 10987654321098765432109876543210
19775 xxx11110xx1xx010x00000xxxxxxxxxx
19776 scvtf. */
b731bc3b 19777 return 771;
e9dbdd80
TC
19778 }
19779 else
19780 {
19781 if (((word >> 19) & 0x1) == 0)
19782 {
19783 /* 33222222222211111111110000000000
19784 10987654321098765432109876543210
19785 xxx11110xx1x0110x00000xxxxxxxxxx
19786 fmov. */
b731bc3b 19787 return 779;
e9dbdd80
TC
19788 }
19789 else
19790 {
19791 if (((word >> 20) & 0x1) == 0)
19792 {
19793 /* 33222222222211111111110000000000
19794 10987654321098765432109876543210
19795 xxx11110xx101110x00000xxxxxxxxxx
19796 fmov. */
b731bc3b 19797 return 795;
e9dbdd80
TC
19798 }
19799 else
19800 {
19801 /* 33222222222211111111110000000000
19802 10987654321098765432109876543210
19803 xxx11110xx111110x00000xxxxxxxxxx
19804 fjcvtzs. */
b731bc3b 19805 return 797;
e9dbdd80
TC
19806 }
19807 }
19808 }
19809 }
19810 }
19811 else
19812 {
19813 if (((word >> 17) & 0x1) == 0)
19814 {
19815 if (((word >> 18) & 0x1) == 0)
19816 {
19817 if (((word >> 19) & 0x1) == 0)
19818 {
19819 if (((word >> 20) & 0x1) == 0)
19820 {
19821 /* 33222222222211111111110000000000
19822 10987654321098765432109876543210
19823 xxx11110xx100001x00000xxxxxxxxxx
19824 fcvtnu. */
b731bc3b 19825 return 769;
e9dbdd80
TC
19826 }
19827 else
19828 {
19829 /* 33222222222211111111110000000000
19830 10987654321098765432109876543210
19831 xxx11110xx110001x00000xxxxxxxxxx
19832 fcvtmu. */
b731bc3b 19833 return 789;
e9dbdd80
TC
19834 }
19835 }
19836 else
19837 {
19838 if (((word >> 20) & 0x1) == 0)
19839 {
19840 /* 33222222222211111111110000000000
19841 10987654321098765432109876543210
19842 xxx11110xx101001x00000xxxxxxxxxx
19843 fcvtpu. */
b731bc3b 19844 return 785;
e9dbdd80
TC
19845 }
19846 else
19847 {
19848 /* 33222222222211111111110000000000
19849 10987654321098765432109876543210
19850 xxx11110xx111001x00000xxxxxxxxxx
19851 fcvtzu. */
b731bc3b 19852 return 793;
e9dbdd80
TC
19853 }
19854 }
19855 }
19856 else
19857 {
19858 /* 33222222222211111111110000000000
19859 10987654321098765432109876543210
19860 xxx11110xx1xx101x00000xxxxxxxxxx
19861 fcvtau. */
b731bc3b 19862 return 777;
e9dbdd80 19863 }
a06ea964
NC
19864 }
19865 else
19866 {
e9dbdd80
TC
19867 if (((word >> 18) & 0x1) == 0)
19868 {
19869 /* 33222222222211111111110000000000
19870 10987654321098765432109876543210
19871 xxx11110xx1xx011x00000xxxxxxxxxx
19872 ucvtf. */
b731bc3b 19873 return 773;
e9dbdd80
TC
19874 }
19875 else
19876 {
19877 if (((word >> 19) & 0x1) == 0)
19878 {
19879 /* 33222222222211111111110000000000
19880 10987654321098765432109876543210
19881 xxx11110xx1x0111x00000xxxxxxxxxx
19882 fmov. */
b731bc3b 19883 return 781;
e9dbdd80
TC
19884 }
19885 else
19886 {
19887 /* 33222222222211111111110000000000
19888 10987654321098765432109876543210
19889 xxx11110xx1x1111x00000xxxxxxxxxx
19890 fmov. */
b731bc3b 19891 return 796;
e9dbdd80
TC
19892 }
19893 }
a06ea964
NC
19894 }
19895 }
e9dbdd80
TC
19896 }
19897 else
19898 {
19899 if (((word >> 17) & 0x1) == 0)
a06ea964 19900 {
e9dbdd80 19901 if (((word >> 15) & 0x1) == 0)
a06ea964 19902 {
e9dbdd80
TC
19903 if (((word >> 16) & 0x1) == 0)
19904 {
19905 if (((word >> 18) & 0x1) == 0)
19906 {
13c60ad7
SD
19907 if (((word >> 19) & 0x1) == 0)
19908 {
19909 /* 33222222222211111111110000000000
19910 10987654321098765432109876543210
19911 xxx11110xx1x0000010000xxxxxxxxxx
19912 fmov. */
b731bc3b 19913 return 816;
13c60ad7
SD
19914 }
19915 else
19916 {
19917 /* 33222222222211111111110000000000
19918 10987654321098765432109876543210
19919 xxx11110xx1x1000010000xxxxxxxxxx
19920 frint32z. */
b731bc3b 19921 return 812;
13c60ad7 19922 }
e9dbdd80
TC
19923 }
19924 else
19925 {
19926 /* 33222222222211111111110000000000
19927 10987654321098765432109876543210
19928 xxx11110xx1xx100010000xxxxxxxxxx
19929 frintn. */
b731bc3b 19930 return 825;
e9dbdd80
TC
19931 }
19932 }
19933 else
19934 {
19935 if (((word >> 18) & 0x1) == 0)
19936 {
13c60ad7
SD
19937 if (((word >> 19) & 0x1) == 0)
19938 {
19939 /* 33222222222211111111110000000000
19940 10987654321098765432109876543210
19941 xxx11110xx1x0001010000xxxxxxxxxx
19942 fneg. */
b731bc3b 19943 return 820;
13c60ad7
SD
19944 }
19945 else
19946 {
19947 /* 33222222222211111111110000000000
19948 10987654321098765432109876543210
19949 xxx11110xx1x1001010000xxxxxxxxxx
19950 frint64z. */
b731bc3b 19951 return 814;
13c60ad7 19952 }
e9dbdd80
TC
19953 }
19954 else
19955 {
19956 /* 33222222222211111111110000000000
19957 10987654321098765432109876543210
19958 xxx11110xx1xx101010000xxxxxxxxxx
19959 frintm. */
b731bc3b 19960 return 829;
e9dbdd80
TC
19961 }
19962 }
a06ea964
NC
19963 }
19964 else
19965 {
e9dbdd80
TC
19966 if (((word >> 16) & 0x1) == 0)
19967 {
19968 if (((word >> 18) & 0x1) == 0)
19969 {
13c60ad7
SD
19970 if (((word >> 19) & 0x1) == 0)
19971 {
19972 /* 33222222222211111111110000000000
19973 10987654321098765432109876543210
19974 xxx11110xx1x0000110000xxxxxxxxxx
19975 fabs. */
b731bc3b 19976 return 818;
13c60ad7
SD
19977 }
19978 else
19979 {
19980 /* 33222222222211111111110000000000
19981 10987654321098765432109876543210
19982 xxx11110xx1x1000110000xxxxxxxxxx
19983 frint32x. */
b731bc3b 19984 return 813;
13c60ad7 19985 }
e9dbdd80
TC
19986 }
19987 else
19988 {
19989 /* 33222222222211111111110000000000
19990 10987654321098765432109876543210
19991 xxx11110xx1xx100110000xxxxxxxxxx
19992 frintp. */
b731bc3b 19993 return 827;
e9dbdd80
TC
19994 }
19995 }
19996 else
19997 {
19998 if (((word >> 18) & 0x1) == 0)
19999 {
13c60ad7
SD
20000 if (((word >> 19) & 0x1) == 0)
20001 {
20002 /* 33222222222211111111110000000000
20003 10987654321098765432109876543210
20004 xxx11110xx1x0001110000xxxxxxxxxx
20005 fsqrt. */
b731bc3b 20006 return 822;
13c60ad7
SD
20007 }
20008 else
20009 {
20010 /* 33222222222211111111110000000000
20011 10987654321098765432109876543210
20012 xxx11110xx1x1001110000xxxxxxxxxx
20013 frint64x. */
b731bc3b 20014 return 815;
13c60ad7 20015 }
e9dbdd80
TC
20016 }
20017 else
20018 {
20019 /* 33222222222211111111110000000000
20020 10987654321098765432109876543210
20021 xxx11110xx1xx101110000xxxxxxxxxx
20022 frintz. */
b731bc3b 20023 return 831;
e9dbdd80
TC
20024 }
20025 }
a06ea964
NC
20026 }
20027 }
e9dbdd80 20028 else
a06ea964 20029 {
e9dbdd80 20030 if (((word >> 18) & 0x1) == 0)
a06ea964
NC
20031 {
20032 /* 33222222222211111111110000000000
20033 10987654321098765432109876543210
e9dbdd80
TC
20034 xxx11110xx1xx01xx10000xxxxxxxxxx
20035 fcvt. */
b731bc3b 20036 return 824;
a06ea964
NC
20037 }
20038 else
20039 {
e9dbdd80 20040 if (((word >> 15) & 0x1) == 0)
a06ea964 20041 {
e9dbdd80
TC
20042 if (((word >> 16) & 0x1) == 0)
20043 {
20044 /* 33222222222211111111110000000000
20045 10987654321098765432109876543210
20046 xxx11110xx1xx110010000xxxxxxxxxx
20047 frinta. */
b731bc3b 20048 return 833;
e9dbdd80
TC
20049 }
20050 else
20051 {
20052 /* 33222222222211111111110000000000
20053 10987654321098765432109876543210
20054 xxx11110xx1xx111010000xxxxxxxxxx
20055 frintx. */
b731bc3b 20056 return 835;
e9dbdd80 20057 }
a06ea964
NC
20058 }
20059 else
20060 {
20061 /* 33222222222211111111110000000000
20062 10987654321098765432109876543210
e9dbdd80
TC
20063 xxx11110xx1xx11x110000xxxxxxxxxx
20064 frinti. */
b731bc3b 20065 return 837;
a06ea964
NC
20066 }
20067 }
e9dbdd80
TC
20068 }
20069 }
20070 }
20071 else
20072 {
20073 if (((word >> 3) & 0x1) == 0)
20074 {
20075 if (((word >> 4) & 0x1) == 0)
20076 {
20077 /* 33222222222211111111110000000000
20078 10987654321098765432109876543210
20079 xxx11110xx1xxxxxxx1000xxxxx00xxx
20080 fcmp. */
b731bc3b 20081 return 802;
e9dbdd80
TC
20082 }
20083 else
20084 {
20085 /* 33222222222211111111110000000000
20086 10987654321098765432109876543210
20087 xxx11110xx1xxxxxxx1000xxxxx10xxx
20088 fcmpe. */
b731bc3b 20089 return 804;
e9dbdd80
TC
20090 }
20091 }
20092 else
20093 {
20094 if (((word >> 4) & 0x1) == 0)
20095 {
20096 /* 33222222222211111111110000000000
20097 10987654321098765432109876543210
20098 xxx11110xx1xxxxxxx1000xxxxx01xxx
20099 fcmp. */
b731bc3b 20100 return 806;
e9dbdd80
TC
20101 }
20102 else
20103 {
20104 /* 33222222222211111111110000000000
20105 10987654321098765432109876543210
20106 xxx11110xx1xxxxxxx1000xxxxx11xxx
20107 fcmpe. */
b731bc3b 20108 return 808;
a06ea964
NC
20109 }
20110 }
20111 }
20112 }
20113 else
20114 {
e9dbdd80 20115 if (((word >> 30) & 0x1) == 0)
a06ea964 20116 {
e9dbdd80
TC
20117 /* 33222222222211111111110000000000
20118 10987654321098765432109876543210
20119 x0x11110xx1xxxxxxxx100xxxxxxxxxx
20120 fmov. */
b731bc3b 20121 return 865;
e9dbdd80
TC
20122 }
20123 else
20124 {
20125 if (((word >> 13) & 0x1) == 0)
a06ea964 20126 {
e9dbdd80 20127 if (((word >> 14) & 0x1) == 0)
a06ea964 20128 {
e9dbdd80
TC
20129 /* 33222222222211111111110000000000
20130 10987654321098765432109876543210
20131 x1x11110xx1xxxxxx00100xxxxxxxxxx
20132 sqdmlal. */
193614f2 20133 return 422;
a06ea964
NC
20134 }
20135 else
20136 {
e9dbdd80
TC
20137 /* 33222222222211111111110000000000
20138 10987654321098765432109876543210
20139 x1x11110xx1xxxxxx10100xxxxxxxxxx
20140 sqdmull. */
193614f2 20141 return 424;
a06ea964
NC
20142 }
20143 }
20144 else
e9dbdd80
TC
20145 {
20146 /* 33222222222211111111110000000000
20147 10987654321098765432109876543210
20148 x1x11110xx1xxxxxxx1100xxxxxxxxxx
20149 sqdmlsl. */
193614f2 20150 return 423;
e9dbdd80
TC
20151 }
20152 }
20153 }
20154 }
20155 else
20156 {
20157 if (((word >> 12) & 0x1) == 0)
20158 {
20159 if (((word >> 13) & 0x1) == 0)
20160 {
20161 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
20162 {
20163 if (((word >> 15) & 0x1) == 0)
20164 {
e9dbdd80 20165 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
20166 {
20167 /* 33222222222211111111110000000000
20168 10987654321098765432109876543210
e9dbdd80
TC
20169 x0x11110xx1xxxxx000010xxxxxxxxxx
20170 fmul. */
b731bc3b 20171 return 839;
a06ea964
NC
20172 }
20173 else
20174 {
20175 /* 33222222222211111111110000000000
20176 10987654321098765432109876543210
e9dbdd80
TC
20177 x1x11110xx1xxxxx000010xxxxxxxxxx
20178 sha1h. */
193614f2 20179 return 675;
a06ea964
NC
20180 }
20181 }
20182 else
20183 {
e9dbdd80 20184 if (((word >> 29) & 0x1) == 0)
a06ea964 20185 {
e9dbdd80 20186 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
20187 {
20188 /* 33222222222211111111110000000000
20189 10987654321098765432109876543210
e9dbdd80
TC
20190 x0011110xx1xxxxx100010xxxxxxxxxx
20191 fnmul. */
b731bc3b 20192 return 855;
a06ea964
NC
20193 }
20194 else
20195 {
20196 /* 33222222222211111111110000000000
20197 10987654321098765432109876543210
e9dbdd80
TC
20198 x1011110xx1xxxxx100010xxxxxxxxxx
20199 cmgt. */
193614f2 20200 return 482;
a06ea964
NC
20201 }
20202 }
a06ea964
NC
20203 else
20204 {
20205 /* 33222222222211111111110000000000
20206 10987654321098765432109876543210
e9dbdd80
TC
20207 xx111110xx1xxxxx100010xxxxxxxxxx
20208 cmge. */
193614f2 20209 return 511;
a06ea964
NC
20210 }
20211 }
20212 }
20213 else
20214 {
20215 if (((word >> 15) & 0x1) == 0)
20216 {
20217 if (((word >> 29) & 0x1) == 0)
20218 {
e9dbdd80
TC
20219 if (((word >> 30) & 0x1) == 0)
20220 {
20221 /* 33222222222211111111110000000000
20222 10987654321098765432109876543210
20223 x0011110xx1xxxxx010010xxxxxxxxxx
20224 fmax. */
b731bc3b 20225 return 847;
e9dbdd80
TC
20226 }
20227 else
20228 {
20229 /* 33222222222211111111110000000000
20230 10987654321098765432109876543210
20231 x1011110xx1xxxxx010010xxxxxxxxxx
20232 sqxtn. */
193614f2 20233 return 486;
e9dbdd80 20234 }
a06ea964
NC
20235 }
20236 else
20237 {
20238 /* 33222222222211111111110000000000
20239 10987654321098765432109876543210
e9dbdd80
TC
20240 xx111110xx1xxxxx010010xxxxxxxxxx
20241 uqxtn. */
193614f2 20242 return 515;
a06ea964
NC
20243 }
20244 }
20245 else
20246 {
e9dbdd80 20247 if (((word >> 16) & 0x1) == 0)
a06ea964 20248 {
e9dbdd80 20249 if (((word >> 19) & 0x1) == 0)
a06ea964 20250 {
e9dbdd80
TC
20251 if (((word >> 20) & 0x1) == 0)
20252 {
20253 if (((word >> 29) & 0x1) == 0)
20254 {
20255 /* 33222222222211111111110000000000
20256 10987654321098765432109876543210
20257 xx011110xx100xx0110010xxxxxxxxxx
20258 fcmgt. */
193614f2 20259 return 495;
e9dbdd80
TC
20260 }
20261 else
20262 {
20263 /* 33222222222211111111110000000000
20264 10987654321098765432109876543210
20265 xx111110xx100xx0110010xxxxxxxxxx
20266 fcmge. */
193614f2 20267 return 525;
e9dbdd80
TC
20268 }
20269 }
20270 else
20271 {
20272 if (((word >> 23) & 0x1) == 0)
20273 {
20274 if (((word >> 29) & 0x1) == 0)
20275 {
20276 /* 33222222222211111111110000000000
20277 10987654321098765432109876543210
20278 xx0111100x110xx0110010xxxxxxxxxx
20279 fmaxnmp. */
193614f2 20280 return 539;
e9dbdd80
TC
20281 }
20282 else
20283 {
20284 /* 33222222222211111111110000000000
20285 10987654321098765432109876543210
20286 xx1111100x110xx0110010xxxxxxxxxx
20287 fmaxnmp. */
193614f2 20288 return 538;
e9dbdd80
TC
20289 }
20290 }
20291 else
20292 {
20293 if (((word >> 29) & 0x1) == 0)
20294 {
20295 /* 33222222222211111111110000000000
20296 10987654321098765432109876543210
20297 xx0111101x110xx0110010xxxxxxxxxx
20298 fminnmp. */
193614f2 20299 return 545;
e9dbdd80
TC
20300 }
20301 else
20302 {
20303 /* 33222222222211111111110000000000
20304 10987654321098765432109876543210
20305 xx1111101x110xx0110010xxxxxxxxxx
20306 fminnmp. */
193614f2 20307 return 544;
e9dbdd80
TC
20308 }
20309 }
20310 }
a06ea964
NC
20311 }
20312 else
20313 {
e9dbdd80
TC
20314 if (((word >> 29) & 0x1) == 0)
20315 {
20316 /* 33222222222211111111110000000000
20317 10987654321098765432109876543210
20318 xx011110xx1x1xx0110010xxxxxxxxxx
20319 fcmgt. */
193614f2 20320 return 496;
e9dbdd80
TC
20321 }
20322 else
20323 {
20324 /* 33222222222211111111110000000000
20325 10987654321098765432109876543210
20326 xx111110xx1x1xx0110010xxxxxxxxxx
20327 fcmge. */
193614f2 20328 return 526;
e9dbdd80 20329 }
a06ea964
NC
20330 }
20331 }
20332 else
20333 {
e9dbdd80 20334 if (((word >> 19) & 0x1) == 0)
a06ea964 20335 {
e9dbdd80
TC
20336 if (((word >> 29) & 0x1) == 0)
20337 {
20338 /* 33222222222211111111110000000000
20339 10987654321098765432109876543210
20340 xx011110xx1x0xx1110010xxxxxxxxxx
20341 fcvtas. */
193614f2 20342 return 491;
e9dbdd80
TC
20343 }
20344 else
20345 {
20346 /* 33222222222211111111110000000000
20347 10987654321098765432109876543210
20348 xx111110xx1x0xx1110010xxxxxxxxxx
20349 fcvtau. */
193614f2 20350 return 521;
e9dbdd80 20351 }
a06ea964
NC
20352 }
20353 else
20354 {
e9dbdd80
TC
20355 if (((word >> 29) & 0x1) == 0)
20356 {
20357 /* 33222222222211111111110000000000
20358 10987654321098765432109876543210
20359 xx011110xx1x1xx1110010xxxxxxxxxx
20360 fcvtas. */
193614f2 20361 return 492;
e9dbdd80
TC
20362 }
20363 else
20364 {
20365 /* 33222222222211111111110000000000
20366 10987654321098765432109876543210
20367 xx111110xx1x1xx1110010xxxxxxxxxx
20368 fcvtau. */
193614f2 20369 return 522;
e9dbdd80 20370 }
a06ea964
NC
20371 }
20372 }
20373 }
20374 }
20375 }
a06ea964
NC
20376 else
20377 {
e9dbdd80 20378 if (((word >> 14) & 0x1) == 0)
a06ea964 20379 {
e9dbdd80 20380 if (((word >> 15) & 0x1) == 0)
a06ea964 20381 {
e9dbdd80 20382 if (((word >> 29) & 0x1) == 0)
a06ea964 20383 {
e9dbdd80
TC
20384 if (((word >> 30) & 0x1) == 0)
20385 {
20386 /* 33222222222211111111110000000000
20387 10987654321098765432109876543210
20388 x0011110xx1xxxxx001010xxxxxxxxxx
20389 fadd. */
b731bc3b 20390 return 843;
e9dbdd80
TC
20391 }
20392 else
20393 {
20394 /* 33222222222211111111110000000000
20395 10987654321098765432109876543210
20396 x1011110xx1xxxxx001010xxxxxxxxxx
20397 sha256su0. */
193614f2 20398 return 677;
e9dbdd80 20399 }
a06ea964
NC
20400 }
20401 else
20402 {
20403 /* 33222222222211111111110000000000
20404 10987654321098765432109876543210
e9dbdd80
TC
20405 xx111110xx1xxxxx001010xxxxxxxxxx
20406 sqxtun. */
193614f2 20407 return 514;
a06ea964
NC
20408 }
20409 }
20410 else
20411 {
e9dbdd80 20412 if (((word >> 16) & 0x1) == 0)
a06ea964
NC
20413 {
20414 /* 33222222222211111111110000000000
20415 10987654321098765432109876543210
e9dbdd80
TC
20416 xxx11110xx1xxxx0101010xxxxxxxxxx
20417 cmlt. */
193614f2 20418 return 484;
a06ea964
NC
20419 }
20420 else
20421 {
e9dbdd80
TC
20422 if (((word >> 19) & 0x1) == 0)
20423 {
20424 if (((word >> 23) & 0x1) == 0)
20425 {
20426 if (((word >> 29) & 0x1) == 0)
20427 {
20428 /* 33222222222211111111110000000000
20429 10987654321098765432109876543210
20430 xx0111100x1x0xx1101010xxxxxxxxxx
20431 fcvtns. */
193614f2 20432 return 487;
e9dbdd80
TC
20433 }
20434 else
20435 {
20436 /* 33222222222211111111110000000000
20437 10987654321098765432109876543210
20438 xx1111100x1x0xx1101010xxxxxxxxxx
20439 fcvtnu. */
193614f2 20440 return 517;
e9dbdd80
TC
20441 }
20442 }
20443 else
20444 {
20445 if (((word >> 29) & 0x1) == 0)
20446 {
20447 /* 33222222222211111111110000000000
20448 10987654321098765432109876543210
20449 xx0111101x1x0xx1101010xxxxxxxxxx
20450 fcvtps. */
193614f2 20451 return 501;
e9dbdd80
TC
20452 }
20453 else
20454 {
20455 /* 33222222222211111111110000000000
20456 10987654321098765432109876543210
20457 xx1111101x1x0xx1101010xxxxxxxxxx
20458 fcvtpu. */
193614f2 20459 return 529;
e9dbdd80
TC
20460 }
20461 }
20462 }
20463 else
20464 {
20465 if (((word >> 23) & 0x1) == 0)
20466 {
20467 if (((word >> 29) & 0x1) == 0)
20468 {
20469 /* 33222222222211111111110000000000
20470 10987654321098765432109876543210
20471 xx0111100x1x1xx1101010xxxxxxxxxx
20472 fcvtns. */
193614f2 20473 return 488;
e9dbdd80
TC
20474 }
20475 else
20476 {
20477 /* 33222222222211111111110000000000
20478 10987654321098765432109876543210
20479 xx1111100x1x1xx1101010xxxxxxxxxx
20480 fcvtnu. */
193614f2 20481 return 518;
e9dbdd80
TC
20482 }
20483 }
20484 else
20485 {
20486 if (((word >> 29) & 0x1) == 0)
20487 {
20488 /* 33222222222211111111110000000000
20489 10987654321098765432109876543210
20490 xx0111101x1x1xx1101010xxxxxxxxxx
20491 fcvtps. */
193614f2 20492 return 502;
e9dbdd80
TC
20493 }
20494 else
20495 {
20496 /* 33222222222211111111110000000000
20497 10987654321098765432109876543210
20498 xx1111101x1x1xx1101010xxxxxxxxxx
20499 fcvtpu. */
193614f2 20500 return 530;
e9dbdd80
TC
20501 }
20502 }
20503 }
20504 }
a06ea964
NC
20505 }
20506 }
e9dbdd80 20507 else
a06ea964
NC
20508 {
20509 if (((word >> 15) & 0x1) == 0)
20510 {
20511 if (((word >> 29) & 0x1) == 0)
20512 {
20513 /* 33222222222211111111110000000000
20514 10987654321098765432109876543210
e9dbdd80
TC
20515 xx011110xx1xxxxx011010xxxxxxxxxx
20516 fmaxnm. */
b731bc3b 20517 return 851;
a06ea964
NC
20518 }
20519 else
20520 {
20521 /* 33222222222211111111110000000000
20522 10987654321098765432109876543210
e9dbdd80
TC
20523 xx111110xx1xxxxx011010xxxxxxxxxx
20524 fcvtxn. */
193614f2 20525 return 516;
a06ea964
NC
20526 }
20527 }
20528 else
20529 {
e9dbdd80 20530 if (((word >> 19) & 0x1) == 0)
a06ea964
NC
20531 {
20532 /* 33222222222211111111110000000000
20533 10987654321098765432109876543210
e9dbdd80
TC
20534 xxx11110xx1x0xxx111010xxxxxxxxxx
20535 fcmlt. */
193614f2 20536 return 499;
a06ea964
NC
20537 }
20538 else
20539 {
20540 /* 33222222222211111111110000000000
20541 10987654321098765432109876543210
e9dbdd80
TC
20542 xxx11110xx1x1xxx111010xxxxxxxxxx
20543 fcmlt. */
193614f2 20544 return 500;
a06ea964
NC
20545 }
20546 }
20547 }
e9dbdd80
TC
20548 }
20549 }
20550 else
20551 {
20552 if (((word >> 13) & 0x1) == 0)
20553 {
20554 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
20555 {
20556 if (((word >> 15) & 0x1) == 0)
20557 {
e9dbdd80 20558 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
20559 {
20560 /* 33222222222211111111110000000000
20561 10987654321098765432109876543210
e9dbdd80
TC
20562 x0x11110xx1xxxxx000110xxxxxxxxxx
20563 fdiv. */
b731bc3b 20564 return 841;
a06ea964
NC
20565 }
20566 else
20567 {
20568 /* 33222222222211111111110000000000
20569 10987654321098765432109876543210
e9dbdd80
TC
20570 x1x11110xx1xxxxx000110xxxxxxxxxx
20571 sha1su1. */
193614f2 20572 return 676;
a06ea964
NC
20573 }
20574 }
20575 else
20576 {
e9dbdd80 20577 if (((word >> 29) & 0x1) == 0)
a06ea964
NC
20578 {
20579 /* 33222222222211111111110000000000
20580 10987654321098765432109876543210
e9dbdd80
TC
20581 xx011110xx1xxxxx100110xxxxxxxxxx
20582 cmeq. */
193614f2 20583 return 483;
a06ea964
NC
20584 }
20585 else
20586 {
20587 /* 33222222222211111111110000000000
20588 10987654321098765432109876543210
e9dbdd80
TC
20589 xx111110xx1xxxxx100110xxxxxxxxxx
20590 cmle. */
193614f2 20591 return 512;
a06ea964
NC
20592 }
20593 }
20594 }
e9dbdd80 20595 else
a06ea964
NC
20596 {
20597 if (((word >> 15) & 0x1) == 0)
20598 {
e9dbdd80
TC
20599 /* 33222222222211111111110000000000
20600 10987654321098765432109876543210
20601 xxx11110xx1xxxxx010110xxxxxxxxxx
20602 fmin. */
b731bc3b 20603 return 849;
a06ea964
NC
20604 }
20605 else
20606 {
e9dbdd80 20607 if (((word >> 16) & 0x1) == 0)
a06ea964 20608 {
e9dbdd80
TC
20609 if (((word >> 19) & 0x1) == 0)
20610 {
20611 if (((word >> 20) & 0x1) == 0)
20612 {
20613 if (((word >> 29) & 0x1) == 0)
20614 {
20615 /* 33222222222211111111110000000000
20616 10987654321098765432109876543210
20617 xx011110xx100xx0110110xxxxxxxxxx
20618 fcmeq. */
193614f2 20619 return 497;
e9dbdd80
TC
20620 }
20621 else
20622 {
20623 /* 33222222222211111111110000000000
20624 10987654321098765432109876543210
20625 xx111110xx100xx0110110xxxxxxxxxx
20626 fcmle. */
193614f2 20627 return 527;
e9dbdd80
TC
20628 }
20629 }
20630 else
20631 {
20632 if (((word >> 29) & 0x1) == 0)
20633 {
20634 /* 33222222222211111111110000000000
20635 10987654321098765432109876543210
20636 xx011110xx110xx0110110xxxxxxxxxx
20637 faddp. */
193614f2 20638 return 541;
e9dbdd80
TC
20639 }
20640 else
20641 {
20642 /* 33222222222211111111110000000000
20643 10987654321098765432109876543210
20644 xx111110xx110xx0110110xxxxxxxxxx
20645 faddp. */
193614f2 20646 return 540;
e9dbdd80
TC
20647 }
20648 }
20649 }
20650 else
20651 {
20652 if (((word >> 29) & 0x1) == 0)
20653 {
20654 /* 33222222222211111111110000000000
20655 10987654321098765432109876543210
20656 xx011110xx1x1xx0110110xxxxxxxxxx
20657 fcmeq. */
193614f2 20658 return 498;
e9dbdd80
TC
20659 }
20660 else
20661 {
20662 /* 33222222222211111111110000000000
20663 10987654321098765432109876543210
20664 xx111110xx1x1xx0110110xxxxxxxxxx
20665 fcmle. */
193614f2 20666 return 528;
e9dbdd80
TC
20667 }
20668 }
a06ea964
NC
20669 }
20670 else
20671 {
e9dbdd80
TC
20672 if (((word >> 19) & 0x1) == 0)
20673 {
20674 if (((word >> 23) & 0x1) == 0)
20675 {
20676 if (((word >> 29) & 0x1) == 0)
20677 {
20678 /* 33222222222211111111110000000000
20679 10987654321098765432109876543210
20680 xx0111100x1x0xx1110110xxxxxxxxxx
20681 scvtf. */
193614f2 20682 return 493;
e9dbdd80
TC
20683 }
20684 else
20685 {
20686 /* 33222222222211111111110000000000
20687 10987654321098765432109876543210
20688 xx1111100x1x0xx1110110xxxxxxxxxx
20689 ucvtf. */
193614f2 20690 return 523;
e9dbdd80
TC
20691 }
20692 }
20693 else
20694 {
20695 if (((word >> 29) & 0x1) == 0)
20696 {
20697 /* 33222222222211111111110000000000
20698 10987654321098765432109876543210
20699 xx0111101x1x0xx1110110xxxxxxxxxx
20700 frecpe. */
193614f2 20701 return 505;
e9dbdd80
TC
20702 }
20703 else
20704 {
20705 /* 33222222222211111111110000000000
20706 10987654321098765432109876543210
20707 xx1111101x1x0xx1110110xxxxxxxxxx
20708 frsqrte. */
193614f2 20709 return 533;
e9dbdd80
TC
20710 }
20711 }
20712 }
20713 else
20714 {
20715 if (((word >> 23) & 0x1) == 0)
20716 {
20717 if (((word >> 29) & 0x1) == 0)
20718 {
20719 /* 33222222222211111111110000000000
20720 10987654321098765432109876543210
20721 xx0111100x1x1xx1110110xxxxxxxxxx
20722 scvtf. */
193614f2 20723 return 494;
e9dbdd80
TC
20724 }
20725 else
20726 {
20727 /* 33222222222211111111110000000000
20728 10987654321098765432109876543210
20729 xx1111100x1x1xx1110110xxxxxxxxxx
20730 ucvtf. */
193614f2 20731 return 524;
e9dbdd80
TC
20732 }
20733 }
20734 else
20735 {
20736 if (((word >> 29) & 0x1) == 0)
20737 {
20738 /* 33222222222211111111110000000000
20739 10987654321098765432109876543210
20740 xx0111101x1x1xx1110110xxxxxxxxxx
20741 frecpe. */
193614f2 20742 return 506;
e9dbdd80
TC
20743 }
20744 else
20745 {
20746 /* 33222222222211111111110000000000
20747 10987654321098765432109876543210
20748 xx1111101x1x1xx1110110xxxxxxxxxx
20749 frsqrte. */
193614f2 20750 return 534;
e9dbdd80
TC
20751 }
20752 }
20753 }
a06ea964
NC
20754 }
20755 }
20756 }
e9dbdd80
TC
20757 }
20758 else
20759 {
20760 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
20761 {
20762 if (((word >> 15) & 0x1) == 0)
20763 {
20764 if (((word >> 29) & 0x1) == 0)
20765 {
e9dbdd80
TC
20766 if (((word >> 30) & 0x1) == 0)
20767 {
20768 /* 33222222222211111111110000000000
20769 10987654321098765432109876543210
20770 x0011110xx1xxxxx001110xxxxxxxxxx
20771 fsub. */
b731bc3b 20772 return 845;
e9dbdd80
TC
20773 }
20774 else
20775 {
20776 /* 33222222222211111111110000000000
20777 10987654321098765432109876543210
20778 x1011110xx1xxxxx001110xxxxxxxxxx
20779 suqadd. */
193614f2 20780 return 480;
e9dbdd80 20781 }
a06ea964
NC
20782 }
20783 else
20784 {
20785 /* 33222222222211111111110000000000
20786 10987654321098765432109876543210
e9dbdd80
TC
20787 xx111110xx1xxxxx001110xxxxxxxxxx
20788 usqadd. */
193614f2 20789 return 509;
a06ea964
NC
20790 }
20791 }
20792 else
20793 {
e9dbdd80 20794 if (((word >> 16) & 0x1) == 0)
a06ea964 20795 {
e9dbdd80
TC
20796 if (((word >> 29) & 0x1) == 0)
20797 {
20798 /* 33222222222211111111110000000000
20799 10987654321098765432109876543210
20800 xx011110xx1xxxx0101110xxxxxxxxxx
20801 abs. */
193614f2 20802 return 485;
e9dbdd80
TC
20803 }
20804 else
20805 {
20806 /* 33222222222211111111110000000000
20807 10987654321098765432109876543210
20808 xx111110xx1xxxx0101110xxxxxxxxxx
20809 neg. */
193614f2 20810 return 513;
e9dbdd80 20811 }
a06ea964
NC
20812 }
20813 else
20814 {
e9dbdd80 20815 if (((word >> 19) & 0x1) == 0)
a06ea964 20816 {
e9dbdd80 20817 if (((word >> 20) & 0x1) == 0)
a06ea964 20818 {
e9dbdd80
TC
20819 if (((word >> 23) & 0x1) == 0)
20820 {
20821 if (((word >> 29) & 0x1) == 0)
20822 {
20823 /* 33222222222211111111110000000000
20824 10987654321098765432109876543210
20825 xx0111100x100xx1101110xxxxxxxxxx
20826 fcvtms. */
193614f2 20827 return 489;
e9dbdd80
TC
20828 }
20829 else
20830 {
20831 /* 33222222222211111111110000000000
20832 10987654321098765432109876543210
20833 xx1111100x100xx1101110xxxxxxxxxx
20834 fcvtmu. */
193614f2 20835 return 519;
e9dbdd80
TC
20836 }
20837 }
20838 else
20839 {
20840 if (((word >> 29) & 0x1) == 0)
20841 {
20842 /* 33222222222211111111110000000000
20843 10987654321098765432109876543210
20844 xx0111101x100xx1101110xxxxxxxxxx
20845 fcvtzs. */
193614f2 20846 return 503;
e9dbdd80
TC
20847 }
20848 else
20849 {
20850 /* 33222222222211111111110000000000
20851 10987654321098765432109876543210
20852 xx1111101x100xx1101110xxxxxxxxxx
20853 fcvtzu. */
193614f2 20854 return 531;
e9dbdd80
TC
20855 }
20856 }
a06ea964
NC
20857 }
20858 else
20859 {
20860 /* 33222222222211111111110000000000
20861 10987654321098765432109876543210
e9dbdd80
TC
20862 xxx11110xx110xx1101110xxxxxxxxxx
20863 addp. */
193614f2 20864 return 537;
a06ea964
NC
20865 }
20866 }
20867 else
20868 {
e9dbdd80 20869 if (((word >> 23) & 0x1) == 0)
a06ea964 20870 {
e9dbdd80
TC
20871 if (((word >> 29) & 0x1) == 0)
20872 {
20873 /* 33222222222211111111110000000000
20874 10987654321098765432109876543210
20875 xx0111100x1x1xx1101110xxxxxxxxxx
20876 fcvtms. */
193614f2 20877 return 490;
e9dbdd80
TC
20878 }
20879 else
20880 {
20881 /* 33222222222211111111110000000000
20882 10987654321098765432109876543210
20883 xx1111100x1x1xx1101110xxxxxxxxxx
20884 fcvtmu. */
193614f2 20885 return 520;
e9dbdd80 20886 }
a06ea964
NC
20887 }
20888 else
20889 {
e9dbdd80
TC
20890 if (((word >> 29) & 0x1) == 0)
20891 {
20892 /* 33222222222211111111110000000000
20893 10987654321098765432109876543210
20894 xx0111101x1x1xx1101110xxxxxxxxxx
20895 fcvtzs. */
193614f2 20896 return 504;
e9dbdd80
TC
20897 }
20898 else
20899 {
20900 /* 33222222222211111111110000000000
20901 10987654321098765432109876543210
20902 xx1111101x1x1xx1101110xxxxxxxxxx
20903 fcvtzu. */
193614f2 20904 return 532;
e9dbdd80 20905 }
a06ea964
NC
20906 }
20907 }
20908 }
e9dbdd80
TC
20909 }
20910 }
20911 else
20912 {
20913 if (((word >> 15) & 0x1) == 0)
20914 {
20915 if (((word >> 29) & 0x1) == 0)
20916 {
20917 if (((word >> 30) & 0x1) == 0)
20918 {
20919 /* 33222222222211111111110000000000
20920 10987654321098765432109876543210
20921 x0011110xx1xxxxx011110xxxxxxxxxx
20922 fminnm. */
b731bc3b 20923 return 853;
e9dbdd80
TC
20924 }
20925 else
20926 {
20927 /* 33222222222211111111110000000000
20928 10987654321098765432109876543210
20929 x1011110xx1xxxxx011110xxxxxxxxxx
20930 sqabs. */
193614f2 20931 return 481;
e9dbdd80
TC
20932 }
20933 }
a06ea964 20934 else
e9dbdd80
TC
20935 {
20936 /* 33222222222211111111110000000000
20937 10987654321098765432109876543210
20938 xx111110xx1xxxxx011110xxxxxxxxxx
20939 sqneg. */
193614f2 20940 return 510;
e9dbdd80
TC
20941 }
20942 }
20943 else
20944 {
20945 if (((word >> 16) & 0x1) == 0)
a06ea964
NC
20946 {
20947 if (((word >> 23) & 0x1) == 0)
20948 {
20949 if (((word >> 29) & 0x1) == 0)
20950 {
20951 /* 33222222222211111111110000000000
20952 10987654321098765432109876543210
e9dbdd80
TC
20953 xx0111100x1xxxx0111110xxxxxxxxxx
20954 fmaxp. */
193614f2 20955 return 543;
a06ea964
NC
20956 }
20957 else
20958 {
20959 /* 33222222222211111111110000000000
20960 10987654321098765432109876543210
e9dbdd80
TC
20961 xx1111100x1xxxx0111110xxxxxxxxxx
20962 fmaxp. */
193614f2 20963 return 542;
a06ea964
NC
20964 }
20965 }
20966 else
20967 {
20968 if (((word >> 29) & 0x1) == 0)
20969 {
20970 /* 33222222222211111111110000000000
20971 10987654321098765432109876543210
e9dbdd80
TC
20972 xx0111101x1xxxx0111110xxxxxxxxxx
20973 fminp. */
193614f2 20974 return 547;
a06ea964
NC
20975 }
20976 else
20977 {
20978 /* 33222222222211111111110000000000
20979 10987654321098765432109876543210
e9dbdd80
TC
20980 xx1111101x1xxxx0111110xxxxxxxxxx
20981 fminp. */
193614f2 20982 return 546;
a06ea964
NC
20983 }
20984 }
20985 }
a06ea964
NC
20986 else
20987 {
e9dbdd80
TC
20988 if (((word >> 19) & 0x1) == 0)
20989 {
20990 /* 33222222222211111111110000000000
20991 10987654321098765432109876543210
20992 xxx11110xx1x0xx1111110xxxxxxxxxx
20993 frecpx. */
193614f2 20994 return 507;
e9dbdd80
TC
20995 }
20996 else
20997 {
20998 /* 33222222222211111111110000000000
20999 10987654321098765432109876543210
21000 xxx11110xx1x1xx1111110xxxxxxxxxx
21001 frecpx. */
193614f2 21002 return 508;
e9dbdd80 21003 }
a06ea964
NC
21004 }
21005 }
21006 }
e9dbdd80
TC
21007 }
21008 }
21009 }
21010 }
21011 else
21012 {
21013 if (((word >> 11) & 0x1) == 0)
21014 {
21015 if (((word >> 29) & 0x1) == 0)
21016 {
21017 if (((word >> 30) & 0x1) == 0)
21018 {
21019 if (((word >> 4) & 0x1) == 0)
21020 {
21021 /* 33222222222211111111110000000000
21022 10987654321098765432109876543210
21023 x0011110xx1xxxxxxxxx01xxxxx0xxxx
21024 fccmp. */
b731bc3b 21025 return 798;
e9dbdd80
TC
21026 }
21027 else
21028 {
21029 /* 33222222222211111111110000000000
21030 10987654321098765432109876543210
21031 x0011110xx1xxxxxxxxx01xxxxx1xxxx
21032 fccmpe. */
b731bc3b 21033 return 800;
e9dbdd80
TC
21034 }
21035 }
21036 else
21037 {
21038 if (((word >> 12) & 0x1) == 0)
a06ea964 21039 {
e9dbdd80 21040 if (((word >> 13) & 0x1) == 0)
a06ea964 21041 {
e9dbdd80 21042 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
21043 {
21044 /* 33222222222211111111110000000000
21045 10987654321098765432109876543210
e9dbdd80
TC
21046 x1011110xx1xxxxxx00001xxxxxxxxxx
21047 add. */
193614f2 21048 return 565;
a06ea964
NC
21049 }
21050 else
21051 {
21052 /* 33222222222211111111110000000000
21053 10987654321098765432109876543210
e9dbdd80
TC
21054 x1011110xx1xxxxxx10001xxxxxxxxxx
21055 sshl. */
193614f2 21056 return 563;
a06ea964
NC
21057 }
21058 }
21059 else
21060 {
e9dbdd80
TC
21061 /* 33222222222211111111110000000000
21062 10987654321098765432109876543210
21063 x1011110xx1xxxxxxx1001xxxxxxxxxx
21064 fcmeq. */
193614f2 21065 return 555;
e9dbdd80
TC
21066 }
21067 }
21068 else
21069 {
21070 if (((word >> 13) & 0x1) == 0)
21071 {
21072 /* 33222222222211111111110000000000
21073 10987654321098765432109876543210
21074 x1011110xx1xxxxxxx0101xxxxxxxxxx
21075 srshl. */
193614f2 21076 return 564;
e9dbdd80
TC
21077 }
21078 else
21079 {
21080 if (((word >> 15) & 0x1) == 0)
a06ea964
NC
21081 {
21082 /* 33222222222211111111110000000000
21083 10987654321098765432109876543210
e9dbdd80
TC
21084 x1011110xx1xxxxx0x1101xxxxxxxxxx
21085 cmgt. */
193614f2 21086 return 561;
a06ea964
NC
21087 }
21088 else
21089 {
21090 /* 33222222222211111111110000000000
21091 10987654321098765432109876543210
e9dbdd80
TC
21092 x1011110xx1xxxxx1x1101xxxxxxxxxx
21093 sqdmulh. */
193614f2 21094 return 552;
a06ea964
NC
21095 }
21096 }
21097 }
21098 }
e9dbdd80
TC
21099 }
21100 else
21101 {
21102 if (((word >> 12) & 0x1) == 0)
21103 {
21104 if (((word >> 13) & 0x1) == 0)
21105 {
21106 if (((word >> 14) & 0x1) == 0)
21107 {
21108 /* 33222222222211111111110000000000
21109 10987654321098765432109876543210
21110 xx111110xx1xxxxxx00001xxxxxxxxxx
21111 sub. */
193614f2 21112 return 586;
e9dbdd80
TC
21113 }
21114 else
21115 {
21116 /* 33222222222211111111110000000000
21117 10987654321098765432109876543210
21118 xx111110xx1xxxxxx10001xxxxxxxxxx
21119 ushl. */
193614f2 21120 return 584;
e9dbdd80
TC
21121 }
21122 }
21123 else
21124 {
21125 if (((word >> 23) & 0x1) == 0)
21126 {
21127 /* 33222222222211111111110000000000
21128 10987654321098765432109876543210
21129 xx1111100x1xxxxxxx1001xxxxxxxxxx
21130 fcmge. */
193614f2 21131 return 572;
e9dbdd80
TC
21132 }
21133 else
21134 {
21135 /* 33222222222211111111110000000000
21136 10987654321098765432109876543210
21137 xx1111101x1xxxxxxx1001xxxxxxxxxx
21138 fcmgt. */
193614f2 21139 return 578;
e9dbdd80
TC
21140 }
21141 }
21142 }
a06ea964
NC
21143 else
21144 {
e9dbdd80 21145 if (((word >> 13) & 0x1) == 0)
a06ea964
NC
21146 {
21147 if (((word >> 15) & 0x1) == 0)
21148 {
e9dbdd80
TC
21149 /* 33222222222211111111110000000000
21150 10987654321098765432109876543210
21151 xx111110xx1xxxxx0x0101xxxxxxxxxx
21152 urshl. */
193614f2 21153 return 585;
a06ea964
NC
21154 }
21155 else
21156 {
21157 /* 33222222222211111111110000000000
21158 10987654321098765432109876543210
e9dbdd80
TC
21159 xx111110xx1xxxxx1x0101xxxxxxxxxx
21160 fabd. */
193614f2 21161 return 576;
a06ea964
NC
21162 }
21163 }
21164 else
21165 {
21166 if (((word >> 15) & 0x1) == 0)
21167 {
e9dbdd80
TC
21168 /* 33222222222211111111110000000000
21169 10987654321098765432109876543210
21170 xx111110xx1xxxxx0x1101xxxxxxxxxx
21171 cmhi. */
193614f2 21172 return 582;
a06ea964
NC
21173 }
21174 else
21175 {
e9dbdd80
TC
21176 /* 33222222222211111111110000000000
21177 10987654321098765432109876543210
21178 xx111110xx1xxxxx1x1101xxxxxxxxxx
21179 sqrdmulh. */
193614f2 21180 return 571;
a06ea964
NC
21181 }
21182 }
21183 }
21184 }
21185 }
21186 else
21187 {
21188 if (((word >> 29) & 0x1) == 0)
21189 {
21190 if (((word >> 30) & 0x1) == 0)
21191 {
21192 /* 33222222222211111111110000000000
21193 10987654321098765432109876543210
7684e580 21194 x0011110xx1xxxxxxxxx11xxxxxxxxxx
a06ea964 21195 fcsel. */
b731bc3b 21196 return 867;
a06ea964
NC
21197 }
21198 else
21199 {
21200 if (((word >> 12) & 0x1) == 0)
21201 {
21202 if (((word >> 13) & 0x1) == 0)
21203 {
21204 if (((word >> 14) & 0x1) == 0)
21205 {
21206 if (((word >> 15) & 0x1) == 0)
21207 {
21208 /* 33222222222211111111110000000000
21209 10987654321098765432109876543210
7684e580 21210 x1011110xx1xxxxx000011xxxxxxxxxx
a06ea964 21211 sqadd. */
193614f2 21212 return 548;
a06ea964
NC
21213 }
21214 else
21215 {
21216 /* 33222222222211111111110000000000
21217 10987654321098765432109876543210
7684e580 21218 x1011110xx1xxxxx100011xxxxxxxxxx
a06ea964 21219 cmtst. */
193614f2 21220 return 566;
a06ea964
NC
21221 }
21222 }
21223 else
21224 {
21225 /* 33222222222211111111110000000000
21226 10987654321098765432109876543210
7684e580 21227 x1011110xx1xxxxxx10011xxxxxxxxxx
a06ea964 21228 sqshl. */
193614f2 21229 return 550;
a06ea964
NC
21230 }
21231 }
21232 else
21233 {
21234 /* 33222222222211111111110000000000
21235 10987654321098765432109876543210
7684e580 21236 x1011110xx1xxxxxxx1011xxxxxxxxxx
a06ea964 21237 sqsub. */
193614f2 21238 return 549;
a06ea964
NC
21239 }
21240 }
21241 else
21242 {
21243 if (((word >> 13) & 0x1) == 0)
21244 {
21245 if (((word >> 15) & 0x1) == 0)
21246 {
21247 /* 33222222222211111111110000000000
21248 10987654321098765432109876543210
7684e580 21249 x1011110xx1xxxxx0x0111xxxxxxxxxx
a06ea964 21250 sqrshl. */
193614f2 21251 return 551;
a06ea964
NC
21252 }
21253 else
21254 {
21255 /* 33222222222211111111110000000000
21256 10987654321098765432109876543210
7684e580 21257 x1011110xx1xxxxx1x0111xxxxxxxxxx
a06ea964 21258 fmulx. */
193614f2 21259 return 553;
a06ea964
NC
21260 }
21261 }
21262 else
21263 {
21264 if (((word >> 14) & 0x1) == 0)
21265 {
21266 /* 33222222222211111111110000000000
21267 10987654321098765432109876543210
7684e580 21268 x1011110xx1xxxxxx01111xxxxxxxxxx
a06ea964 21269 cmge. */
193614f2 21270 return 562;
a06ea964
NC
21271 }
21272 else
21273 {
21274 if (((word >> 23) & 0x1) == 0)
21275 {
21276 /* 33222222222211111111110000000000
21277 10987654321098765432109876543210
7684e580 21278 x10111100x1xxxxxx11111xxxxxxxxxx
a06ea964 21279 frecps. */
193614f2 21280 return 557;
a06ea964
NC
21281 }
21282 else
21283 {
21284 /* 33222222222211111111110000000000
21285 10987654321098765432109876543210
7684e580 21286 x10111101x1xxxxxx11111xxxxxxxxxx
a06ea964 21287 frsqrts. */
193614f2 21288 return 559;
a06ea964
NC
21289 }
21290 }
21291 }
21292 }
21293 }
21294 }
21295 else
21296 {
21297 if (((word >> 12) & 0x1) == 0)
21298 {
21299 if (((word >> 13) & 0x1) == 0)
21300 {
21301 if (((word >> 14) & 0x1) == 0)
21302 {
21303 if (((word >> 15) & 0x1) == 0)
21304 {
21305 /* 33222222222211111111110000000000
21306 10987654321098765432109876543210
7684e580 21307 xx111110xx1xxxxx000011xxxxxxxxxx
a06ea964 21308 uqadd. */
193614f2 21309 return 567;
a06ea964
NC
21310 }
21311 else
21312 {
21313 /* 33222222222211111111110000000000
21314 10987654321098765432109876543210
7684e580 21315 xx111110xx1xxxxx100011xxxxxxxxxx
a06ea964 21316 cmeq. */
193614f2 21317 return 587;
a06ea964
NC
21318 }
21319 }
21320 else
21321 {
21322 /* 33222222222211111111110000000000
21323 10987654321098765432109876543210
7684e580 21324 xx111110xx1xxxxxx10011xxxxxxxxxx
a06ea964 21325 uqshl. */
193614f2 21326 return 569;
a06ea964
NC
21327 }
21328 }
21329 else
21330 {
21331 if (((word >> 14) & 0x1) == 0)
21332 {
21333 /* 33222222222211111111110000000000
21334 10987654321098765432109876543210
7684e580 21335 xx111110xx1xxxxxx01011xxxxxxxxxx
a06ea964 21336 uqsub. */
193614f2 21337 return 568;
a06ea964
NC
21338 }
21339 else
21340 {
21341 if (((word >> 23) & 0x1) == 0)
21342 {
21343 /* 33222222222211111111110000000000
21344 10987654321098765432109876543210
7684e580 21345 xx1111100x1xxxxxx11011xxxxxxxxxx
a06ea964 21346 facge. */
193614f2 21347 return 574;
a06ea964
NC
21348 }
21349 else
21350 {
21351 /* 33222222222211111111110000000000
21352 10987654321098765432109876543210
7684e580 21353 xx1111101x1xxxxxx11011xxxxxxxxxx
a06ea964 21354 facgt. */
193614f2 21355 return 580;
a06ea964
NC
21356 }
21357 }
21358 }
21359 }
21360 else
21361 {
21362 if (((word >> 13) & 0x1) == 0)
21363 {
21364 /* 33222222222211111111110000000000
21365 10987654321098765432109876543210
7684e580 21366 xx111110xx1xxxxxxx0111xxxxxxxxxx
a06ea964 21367 uqrshl. */
193614f2 21368 return 570;
a06ea964
NC
21369 }
21370 else
21371 {
21372 /* 33222222222211111111110000000000
21373 10987654321098765432109876543210
7684e580 21374 xx111110xx1xxxxxxx1111xxxxxxxxxx
a06ea964 21375 cmhs. */
193614f2 21376 return 583;
a06ea964
NC
21377 }
21378 }
21379 }
21380 }
21381 }
21382 }
21383 }
21384 }
21385 else
21386 {
21387 if (((word >> 15) & 0x1) == 0)
21388 {
21389 if (((word >> 28) & 0x1) == 0)
21390 {
21391 if (((word >> 10) & 0x1) == 0)
21392 {
21393 if (((word >> 12) & 0x1) == 0)
21394 {
21395 if (((word >> 13) & 0x1) == 0)
21396 {
21397 if (((word >> 14) & 0x1) == 0)
21398 {
e9dbdd80
TC
21399 if (((word >> 29) & 0x1) == 0)
21400 {
21401 if (((word >> 30) & 0x1) == 0)
21402 {
21403 /* 33222222222211111111110000000000
21404 10987654321098765432109876543210
21405 x0001111xxxxxxxx0000x0xxxxxxxxxx
21406 fmlal. */
42e6288f 21407 return 2363;
e9dbdd80
TC
21408 }
21409 else
21410 {
21411 /* 33222222222211111111110000000000
21412 10987654321098765432109876543210
21413 x1001111xxxxxxxx0000x0xxxxxxxxxx
21414 fmlal. */
42e6288f 21415 return 2367;
e9dbdd80
TC
21416 }
21417 }
21418 else
21419 {
21420 /* 33222222222211111111110000000000
21421 10987654321098765432109876543210
21422 xx101111xxxxxxxx0000x0xxxxxxxxxx
21423 mla. */
193614f2 21424 return 119;
e9dbdd80 21425 }
a06ea964
NC
21426 }
21427 else
21428 {
e9dbdd80
TC
21429 if (((word >> 29) & 0x1) == 0)
21430 {
21431 if (((word >> 30) & 0x1) == 0)
21432 {
21433 /* 33222222222211111111110000000000
21434 10987654321098765432109876543210
21435 x0001111xxxxxxxx0100x0xxxxxxxxxx
21436 fmlsl. */
42e6288f 21437 return 2364;
e9dbdd80
TC
21438 }
21439 else
21440 {
21441 /* 33222222222211111111110000000000
21442 10987654321098765432109876543210
21443 x1001111xxxxxxxx0100x0xxxxxxxxxx
21444 fmlsl. */
42e6288f 21445 return 2368;
e9dbdd80
TC
21446 }
21447 }
21448 else
21449 {
21450 /* 33222222222211111111110000000000
21451 10987654321098765432109876543210
21452 xx101111xxxxxxxx0100x0xxxxxxxxxx
21453 mls. */
193614f2 21454 return 122;
e9dbdd80 21455 }
a06ea964
NC
21456 }
21457 }
21458 else
21459 {
21460 if (((word >> 14) & 0x1) == 0)
21461 {
21462 if (((word >> 29) & 0x1) == 0)
21463 {
21464 if (((word >> 30) & 0x1) == 0)
21465 {
21466 /* 33222222222211111111110000000000
21467 10987654321098765432109876543210
7684e580 21468 x0001111xxxxxxxx0010x0xxxxxxxxxx
a06ea964 21469 smlal. */
193614f2 21470 return 98;
a06ea964
NC
21471 }
21472 else
21473 {
21474 /* 33222222222211111111110000000000
21475 10987654321098765432109876543210
7684e580 21476 x1001111xxxxxxxx0010x0xxxxxxxxxx
a06ea964 21477 smlal2. */
193614f2 21478 return 99;
a06ea964
NC
21479 }
21480 }
21481 else
21482 {
21483 if (((word >> 30) & 0x1) == 0)
21484 {
21485 /* 33222222222211111111110000000000
21486 10987654321098765432109876543210
7684e580 21487 x0101111xxxxxxxx0010x0xxxxxxxxxx
a06ea964 21488 umlal. */
193614f2 21489 return 120;
a06ea964
NC
21490 }
21491 else
21492 {
21493 /* 33222222222211111111110000000000
21494 10987654321098765432109876543210
7684e580 21495 x1101111xxxxxxxx0010x0xxxxxxxxxx
a06ea964 21496 umlal2. */
193614f2 21497 return 121;
a06ea964
NC
21498 }
21499 }
21500 }
21501 else
21502 {
21503 if (((word >> 29) & 0x1) == 0)
21504 {
21505 if (((word >> 30) & 0x1) == 0)
21506 {
21507 /* 33222222222211111111110000000000
21508 10987654321098765432109876543210
7684e580 21509 x0001111xxxxxxxx0110x0xxxxxxxxxx
a06ea964 21510 smlsl. */
193614f2 21511 return 102;
a06ea964
NC
21512 }
21513 else
21514 {
21515 /* 33222222222211111111110000000000
21516 10987654321098765432109876543210
7684e580 21517 x1001111xxxxxxxx0110x0xxxxxxxxxx
a06ea964 21518 smlsl2. */
193614f2 21519 return 103;
a06ea964
NC
21520 }
21521 }
21522 else
21523 {
21524 if (((word >> 30) & 0x1) == 0)
21525 {
21526 /* 33222222222211111111110000000000
21527 10987654321098765432109876543210
7684e580 21528 x0101111xxxxxxxx0110x0xxxxxxxxxx
a06ea964 21529 umlsl. */
193614f2 21530 return 123;
a06ea964
NC
21531 }
21532 else
21533 {
21534 /* 33222222222211111111110000000000
21535 10987654321098765432109876543210
7684e580 21536 x1101111xxxxxxxx0110x0xxxxxxxxxx
a06ea964 21537 umlsl2. */
193614f2 21538 return 124;
a06ea964
NC
21539 }
21540 }
21541 }
21542 }
21543 }
21544 else
21545 {
c2c4ff8d 21546 if (((word >> 29) & 0x1) == 0)
a06ea964 21547 {
c2c4ff8d 21548 if (((word >> 13) & 0x1) == 0)
a06ea964 21549 {
c2c4ff8d 21550 if (((word >> 14) & 0x1) == 0)
42f23f62 21551 {
c2c4ff8d
SN
21552 if (((word >> 23) & 0x1) == 0)
21553 {
21554 /* 33222222222211111111110000000000
21555 10987654321098765432109876543210
7684e580 21556 xx0011110xxxxxxx0001x0xxxxxxxxxx
c2c4ff8d 21557 fmla. */
193614f2 21558 return 114;
c2c4ff8d
SN
21559 }
21560 else
21561 {
21562 /* 33222222222211111111110000000000
21563 10987654321098765432109876543210
7684e580 21564 xx0011111xxxxxxx0001x0xxxxxxxxxx
c2c4ff8d 21565 fmla. */
193614f2 21566 return 113;
c2c4ff8d 21567 }
42f23f62
MW
21568 }
21569 else
21570 {
c2c4ff8d
SN
21571 if (((word >> 23) & 0x1) == 0)
21572 {
21573 /* 33222222222211111111110000000000
21574 10987654321098765432109876543210
7684e580 21575 xx0011110xxxxxxx0101x0xxxxxxxxxx
c2c4ff8d 21576 fmls. */
193614f2 21577 return 116;
c2c4ff8d
SN
21578 }
21579 else
21580 {
21581 /* 33222222222211111111110000000000
21582 10987654321098765432109876543210
7684e580 21583 xx0011111xxxxxxx0101x0xxxxxxxxxx
c2c4ff8d 21584 fmls. */
193614f2 21585 return 115;
c2c4ff8d 21586 }
42f23f62 21587 }
a06ea964
NC
21588 }
21589 else
21590 {
c2c4ff8d 21591 if (((word >> 14) & 0x1) == 0)
42f23f62 21592 {
c2c4ff8d
SN
21593 if (((word >> 30) & 0x1) == 0)
21594 {
21595 /* 33222222222211111111110000000000
21596 10987654321098765432109876543210
7684e580 21597 x0001111xxxxxxxx0011x0xxxxxxxxxx
c2c4ff8d 21598 sqdmlal. */
193614f2 21599 return 100;
c2c4ff8d
SN
21600 }
21601 else
21602 {
21603 /* 33222222222211111111110000000000
21604 10987654321098765432109876543210
7684e580 21605 x1001111xxxxxxxx0011x0xxxxxxxxxx
c2c4ff8d 21606 sqdmlal2. */
193614f2 21607 return 101;
c2c4ff8d 21608 }
42f23f62
MW
21609 }
21610 else
21611 {
c2c4ff8d
SN
21612 if (((word >> 30) & 0x1) == 0)
21613 {
21614 /* 33222222222211111111110000000000
21615 10987654321098765432109876543210
7684e580 21616 x0001111xxxxxxxx0111x0xxxxxxxxxx
c2c4ff8d 21617 sqdmlsl. */
193614f2 21618 return 104;
c2c4ff8d
SN
21619 }
21620 else
21621 {
21622 /* 33222222222211111111110000000000
21623 10987654321098765432109876543210
7684e580 21624 x1001111xxxxxxxx0111x0xxxxxxxxxx
c2c4ff8d 21625 sqdmlsl2. */
193614f2 21626 return 105;
c2c4ff8d 21627 }
42f23f62 21628 }
a06ea964
NC
21629 }
21630 }
21631 else
21632 {
c2c4ff8d
SN
21633 /* 33222222222211111111110000000000
21634 10987654321098765432109876543210
7684e580 21635 xx101111xxxxxxxx0xx1x0xxxxxxxxxx
c2c4ff8d 21636 fcmla. */
193614f2 21637 return 131;
a06ea964
NC
21638 }
21639 }
21640 }
21641 else
21642 {
21643 if (((word >> 12) & 0x1) == 0)
21644 {
21645 if (((word >> 29) & 0x1) == 0)
21646 {
21647 /* 33222222222211111111110000000000
21648 10987654321098765432109876543210
7684e580 21649 xx001111xxxxxxxx0xx0x1xxxxxxxxxx
a06ea964 21650 movi. */
193614f2 21651 return 133;
a06ea964
NC
21652 }
21653 else
21654 {
21655 /* 33222222222211111111110000000000
21656 10987654321098765432109876543210
7684e580 21657 xx101111xxxxxxxx0xx0x1xxxxxxxxxx
a06ea964 21658 mvni. */
193614f2 21659 return 141;
a06ea964
NC
21660 }
21661 }
21662 else
21663 {
21664 if (((word >> 29) & 0x1) == 0)
21665 {
21666 /* 33222222222211111111110000000000
21667 10987654321098765432109876543210
7684e580 21668 xx001111xxxxxxxx0xx1x1xxxxxxxxxx
a06ea964 21669 orr. */
193614f2 21670 return 134;
a06ea964
NC
21671 }
21672 else
21673 {
21674 /* 33222222222211111111110000000000
21675 10987654321098765432109876543210
7684e580 21676 xx101111xxxxxxxx0xx1x1xxxxxxxxxx
a06ea964 21677 bic. */
193614f2 21678 return 142;
a06ea964
NC
21679 }
21680 }
21681 }
21682 }
21683 else
21684 {
21685 if (((word >> 29) & 0x1) == 0)
21686 {
21687 if (((word >> 30) & 0x1) == 0)
21688 {
21689 if (((word >> 21) & 0x1) == 0)
21690 {
21691 /* 33222222222211111111110000000000
21692 10987654321098765432109876543210
7684e580 21693 x0011111xx0xxxxx0xxxxxxxxxxxxxxx
a06ea964 21694 fmadd. */
b731bc3b 21695 return 857;
a06ea964
NC
21696 }
21697 else
21698 {
21699 /* 33222222222211111111110000000000
21700 10987654321098765432109876543210
7684e580 21701 x0011111xx1xxxxx0xxxxxxxxxxxxxxx
a06ea964 21702 fnmadd. */
b731bc3b 21703 return 861;
a06ea964
NC
21704 }
21705 }
21706 else
21707 {
21708 if (((word >> 10) & 0x1) == 0)
21709 {
21710 if (((word >> 13) & 0x1) == 0)
21711 {
21712 if (((word >> 14) & 0x1) == 0)
21713 {
5f7728b7
MW
21714 if (((word >> 23) & 0x1) == 0)
21715 {
21716 /* 33222222222211111111110000000000
21717 10987654321098765432109876543210
7684e580 21718 x10111110xxxxxxx000xx0xxxxxxxxxx
5f7728b7 21719 fmla. */
193614f2 21720 return 431;
5f7728b7
MW
21721 }
21722 else
21723 {
21724 /* 33222222222211111111110000000000
21725 10987654321098765432109876543210
7684e580 21726 x10111111xxxxxxx000xx0xxxxxxxxxx
5f7728b7 21727 fmla. */
193614f2 21728 return 430;
5f7728b7 21729 }
a06ea964
NC
21730 }
21731 else
21732 {
5f7728b7
MW
21733 if (((word >> 23) & 0x1) == 0)
21734 {
21735 /* 33222222222211111111110000000000
21736 10987654321098765432109876543210
7684e580 21737 x10111110xxxxxxx010xx0xxxxxxxxxx
5f7728b7 21738 fmls. */
193614f2 21739 return 433;
5f7728b7
MW
21740 }
21741 else
21742 {
21743 /* 33222222222211111111110000000000
21744 10987654321098765432109876543210
7684e580 21745 x10111111xxxxxxx010xx0xxxxxxxxxx
5f7728b7 21746 fmls. */
193614f2 21747 return 432;
5f7728b7 21748 }
a06ea964
NC
21749 }
21750 }
21751 else
21752 {
21753 if (((word >> 14) & 0x1) == 0)
21754 {
21755 /* 33222222222211111111110000000000
21756 10987654321098765432109876543210
7684e580 21757 x1011111xxxxxxxx001xx0xxxxxxxxxx
a06ea964 21758 sqdmlal. */
193614f2 21759 return 425;
a06ea964
NC
21760 }
21761 else
21762 {
21763 /* 33222222222211111111110000000000
21764 10987654321098765432109876543210
7684e580 21765 x1011111xxxxxxxx011xx0xxxxxxxxxx
a06ea964 21766 sqdmlsl. */
193614f2 21767 return 426;
a06ea964
NC
21768 }
21769 }
21770 }
21771 else
21772 {
21773 if (((word >> 12) & 0x1) == 0)
21774 {
21775 if (((word >> 13) & 0x1) == 0)
21776 {
21777 /* 33222222222211111111110000000000
21778 10987654321098765432109876543210
7684e580 21779 x1011111xxxxxxxx0x00x1xxxxxxxxxx
a06ea964 21780 sshr. */
193614f2 21781 return 590;
a06ea964
NC
21782 }
21783 else
21784 {
21785 /* 33222222222211111111110000000000
21786 10987654321098765432109876543210
7684e580 21787 x1011111xxxxxxxx0x10x1xxxxxxxxxx
a06ea964 21788 srshr. */
193614f2 21789 return 592;
a06ea964
NC
21790 }
21791 }
21792 else
21793 {
21794 if (((word >> 13) & 0x1) == 0)
21795 {
21796 if (((word >> 14) & 0x1) == 0)
21797 {
21798 /* 33222222222211111111110000000000
21799 10987654321098765432109876543210
7684e580 21800 x1011111xxxxxxxx0001x1xxxxxxxxxx
a06ea964 21801 ssra. */
193614f2 21802 return 591;
a06ea964
NC
21803 }
21804 else
21805 {
21806 /* 33222222222211111111110000000000
21807 10987654321098765432109876543210
7684e580 21808 x1011111xxxxxxxx0101x1xxxxxxxxxx
a06ea964 21809 shl. */
193614f2 21810 return 594;
a06ea964
NC
21811 }
21812 }
21813 else
21814 {
21815 if (((word >> 14) & 0x1) == 0)
21816 {
21817 /* 33222222222211111111110000000000
21818 10987654321098765432109876543210
7684e580 21819 x1011111xxxxxxxx0011x1xxxxxxxxxx
a06ea964 21820 srsra. */
193614f2 21821 return 593;
a06ea964
NC
21822 }
21823 else
21824 {
21825 /* 33222222222211111111110000000000
21826 10987654321098765432109876543210
7684e580 21827 x1011111xxxxxxxx0111x1xxxxxxxxxx
a06ea964 21828 sqshl. */
193614f2 21829 return 595;
a06ea964
NC
21830 }
21831 }
21832 }
21833 }
21834 }
21835 }
21836 else
21837 {
21838 if (((word >> 12) & 0x1) == 0)
21839 {
21840 if (((word >> 13) & 0x1) == 0)
21841 {
21842 if (((word >> 14) & 0x1) == 0)
21843 {
21844 /* 33222222222211111111110000000000
21845 10987654321098765432109876543210
7684e580 21846 xx111111xxxxxxxx0000xxxxxxxxxxxx
a06ea964 21847 ushr. */
193614f2 21848 return 602;
a06ea964
NC
21849 }
21850 else
21851 {
21852 /* 33222222222211111111110000000000
21853 10987654321098765432109876543210
7684e580 21854 xx111111xxxxxxxx0100xxxxxxxxxxxx
a06ea964 21855 sri. */
193614f2 21856 return 606;
a06ea964
NC
21857 }
21858 }
21859 else
21860 {
21861 if (((word >> 14) & 0x1) == 0)
21862 {
21863 /* 33222222222211111111110000000000
21864 10987654321098765432109876543210
7684e580 21865 xx111111xxxxxxxx0010xxxxxxxxxxxx
a06ea964 21866 urshr. */
193614f2 21867 return 604;
a06ea964
NC
21868 }
21869 else
21870 {
21871 /* 33222222222211111111110000000000
21872 10987654321098765432109876543210
7684e580 21873 xx111111xxxxxxxx0110xxxxxxxxxxxx
a06ea964 21874 sqshlu. */
193614f2 21875 return 608;
a06ea964
NC
21876 }
21877 }
21878 }
21879 else
21880 {
21881 if (((word >> 13) & 0x1) == 0)
21882 {
21883 if (((word >> 14) & 0x1) == 0)
21884 {
21885 /* 33222222222211111111110000000000
21886 10987654321098765432109876543210
7684e580 21887 xx111111xxxxxxxx0001xxxxxxxxxxxx
a06ea964 21888 usra. */
193614f2 21889 return 603;
a06ea964
NC
21890 }
21891 else
21892 {
21893 /* 33222222222211111111110000000000
21894 10987654321098765432109876543210
7684e580 21895 xx111111xxxxxxxx0101xxxxxxxxxxxx
a06ea964 21896 sli. */
193614f2 21897 return 607;
a06ea964
NC
21898 }
21899 }
21900 else
21901 {
21902 if (((word >> 14) & 0x1) == 0)
21903 {
21904 /* 33222222222211111111110000000000
21905 10987654321098765432109876543210
7684e580 21906 xx111111xxxxxxxx0011xxxxxxxxxxxx
a06ea964 21907 ursra. */
193614f2 21908 return 605;
a06ea964
NC
21909 }
21910 else
21911 {
21912 /* 33222222222211111111110000000000
21913 10987654321098765432109876543210
7684e580 21914 xx111111xxxxxxxx0111xxxxxxxxxxxx
a06ea964 21915 uqshl. */
193614f2 21916 return 609;
a06ea964
NC
21917 }
21918 }
21919 }
21920 }
21921 }
21922 }
21923 else
21924 {
21925 if (((word >> 28) & 0x1) == 0)
21926 {
ff329288 21927 if (((word >> 10) & 0x1) == 0)
a06ea964 21928 {
ff329288 21929 if (((word >> 12) & 0x1) == 0)
a06ea964 21930 {
ff329288 21931 if (((word >> 13) & 0x1) == 0)
a06ea964 21932 {
ff329288 21933 if (((word >> 14) & 0x1) == 0)
a06ea964 21934 {
e9dbdd80
TC
21935 if (((word >> 29) & 0x1) == 0)
21936 {
21937 /* 33222222222211111111110000000000
21938 10987654321098765432109876543210
21939 xx001111xxxxxxxx1000x0xxxxxxxxxx
21940 mul. */
193614f2 21941 return 106;
e9dbdd80
TC
21942 }
21943 else
21944 {
21945 if (((word >> 30) & 0x1) == 0)
21946 {
21947 /* 33222222222211111111110000000000
21948 10987654321098765432109876543210
21949 x0101111xxxxxxxx1000x0xxxxxxxxxx
21950 fmlal2. */
42e6288f 21951 return 2365;
e9dbdd80
TC
21952 }
21953 else
21954 {
21955 /* 33222222222211111111110000000000
21956 10987654321098765432109876543210
21957 x1101111xxxxxxxx1000x0xxxxxxxxxx
21958 fmlal2. */
42e6288f 21959 return 2369;
e9dbdd80
TC
21960 }
21961 }
a06ea964
NC
21962 }
21963 else
ff329288
TC
21964 {
21965 if (((word >> 29) & 0x1) == 0)
21966 {
21967 /* 33222222222211111111110000000000
21968 10987654321098765432109876543210
21969 xx001111xxxxxxxx1100x0xxxxxxxxxx
21970 sqdmulh. */
193614f2 21971 return 111;
ff329288
TC
21972 }
21973 else
21974 {
21975 if (((word >> 30) & 0x1) == 0)
21976 {
21977 /* 33222222222211111111110000000000
21978 10987654321098765432109876543210
21979 x0101111xxxxxxxx1100x0xxxxxxxxxx
21980 fmlsl2. */
42e6288f 21981 return 2366;
ff329288
TC
21982 }
21983 else
21984 {
21985 /* 33222222222211111111110000000000
21986 10987654321098765432109876543210
21987 x1101111xxxxxxxx1100x0xxxxxxxxxx
21988 fmlsl2. */
42e6288f 21989 return 2370;
ff329288
TC
21990 }
21991 }
21992 }
21993 }
21994 else
21995 {
21996 if (((word >> 14) & 0x1) == 0)
a06ea964 21997 {
65a55fbb 21998 if (((word >> 29) & 0x1) == 0)
a06ea964 21999 {
65a55fbb
TC
22000 if (((word >> 30) & 0x1) == 0)
22001 {
22002 /* 33222222222211111111110000000000
22003 10987654321098765432109876543210
7684e580 22004 x0001111xxxxxxxx1010x0xxxxxxxxxx
65a55fbb 22005 smull. */
193614f2 22006 return 107;
65a55fbb
TC
22007 }
22008 else
22009 {
22010 /* 33222222222211111111110000000000
22011 10987654321098765432109876543210
7684e580 22012 x1001111xxxxxxxx1010x0xxxxxxxxxx
65a55fbb 22013 smull2. */
193614f2 22014 return 108;
65a55fbb 22015 }
a06ea964
NC
22016 }
22017 else
22018 {
65a55fbb
TC
22019 if (((word >> 30) & 0x1) == 0)
22020 {
22021 /* 33222222222211111111110000000000
22022 10987654321098765432109876543210
7684e580 22023 x0101111xxxxxxxx1010x0xxxxxxxxxx
65a55fbb 22024 umull. */
193614f2 22025 return 125;
65a55fbb
TC
22026 }
22027 else
22028 {
22029 /* 33222222222211111111110000000000
22030 10987654321098765432109876543210
7684e580 22031 x1101111xxxxxxxx1010x0xxxxxxxxxx
65a55fbb 22032 umull2. */
193614f2 22033 return 126;
65a55fbb 22034 }
a06ea964
NC
22035 }
22036 }
ff329288
TC
22037 else
22038 {
22039 if (((word >> 29) & 0x1) == 0)
22040 {
22041 /* 33222222222211111111110000000000
22042 10987654321098765432109876543210
22043 xx001111xxxxxxxx1110x0xxxxxxxxxx
22044 sdot. */
42e6288f 22045 return 2337;
ff329288
TC
22046 }
22047 else
22048 {
22049 /* 33222222222211111111110000000000
22050 10987654321098765432109876543210
22051 xx101111xxxxxxxx1110x0xxxxxxxxxx
22052 udot. */
42e6288f 22053 return 2336;
ff329288
TC
22054 }
22055 }
a06ea964 22056 }
ff329288
TC
22057 }
22058 else
22059 {
22060 if (((word >> 13) & 0x1) == 0)
a06ea964 22061 {
ff329288 22062 if (((word >> 14) & 0x1) == 0)
a06ea964 22063 {
42f23f62 22064 if (((word >> 23) & 0x1) == 0)
a06ea964 22065 {
42f23f62
MW
22066 if (((word >> 29) & 0x1) == 0)
22067 {
22068 /* 33222222222211111111110000000000
22069 10987654321098765432109876543210
7684e580 22070 xx0011110xxxxxxx1001x0xxxxxxxxxx
42f23f62 22071 fmul. */
193614f2 22072 return 118;
42f23f62
MW
22073 }
22074 else
22075 {
22076 /* 33222222222211111111110000000000
22077 10987654321098765432109876543210
7684e580 22078 xx1011110xxxxxxx1001x0xxxxxxxxxx
42f23f62 22079 fmulx. */
193614f2 22080 return 128;
42f23f62 22081 }
a06ea964
NC
22082 }
22083 else
22084 {
42f23f62
MW
22085 if (((word >> 29) & 0x1) == 0)
22086 {
22087 /* 33222222222211111111110000000000
22088 10987654321098765432109876543210
7684e580 22089 xx0011111xxxxxxx1001x0xxxxxxxxxx
42f23f62 22090 fmul. */
193614f2 22091 return 117;
42f23f62
MW
22092 }
22093 else
22094 {
22095 /* 33222222222211111111110000000000
22096 10987654321098765432109876543210
7684e580 22097 xx1011111xxxxxxx1001x0xxxxxxxxxx
42f23f62 22098 fmulx. */
193614f2 22099 return 127;
42f23f62 22100 }
a06ea964
NC
22101 }
22102 }
22103 else
ff329288
TC
22104 {
22105 if (((word >> 29) & 0x1) == 0)
22106 {
22107 /* 33222222222211111111110000000000
22108 10987654321098765432109876543210
22109 xx001111xxxxxxxx1101x0xxxxxxxxxx
22110 sqrdmulh. */
193614f2 22111 return 112;
ff329288
TC
22112 }
22113 else
22114 {
22115 /* 33222222222211111111110000000000
22116 10987654321098765432109876543210
22117 xx101111xxxxxxxx1101x0xxxxxxxxxx
22118 sqrdmlah. */
193614f2 22119 return 129;
ff329288
TC
22120 }
22121 }
22122 }
22123 else
22124 {
22125 if (((word >> 14) & 0x1) == 0)
a06ea964 22126 {
9e1f0fa7
MW
22127 if (((word >> 30) & 0x1) == 0)
22128 {
22129 /* 33222222222211111111110000000000
22130 10987654321098765432109876543210
7684e580 22131 x0x01111xxxxxxxx1011x0xxxxxxxxxx
9e1f0fa7 22132 sqdmull. */
193614f2 22133 return 109;
9e1f0fa7
MW
22134 }
22135 else
22136 {
22137 /* 33222222222211111111110000000000
22138 10987654321098765432109876543210
7684e580 22139 x1x01111xxxxxxxx1011x0xxxxxxxxxx
9e1f0fa7 22140 sqdmull2. */
193614f2 22141 return 110;
9e1f0fa7 22142 }
a06ea964 22143 }
ff329288
TC
22144 else
22145 {
22146 /* 33222222222211111111110000000000
22147 10987654321098765432109876543210
22148 xxx01111xxxxxxxx1111x0xxxxxxxxxx
22149 sqrdmlsh. */
193614f2 22150 return 130;
ff329288 22151 }
a06ea964
NC
22152 }
22153 }
ff329288
TC
22154 }
22155 else
22156 {
22157 if (((word >> 11) & 0x1) == 0)
a06ea964 22158 {
ff329288 22159 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
22160 {
22161 if (((word >> 12) & 0x1) == 0)
22162 {
22163 if (((word >> 29) & 0x1) == 0)
22164 {
22165 /* 33222222222211111111110000000000
22166 10987654321098765432109876543210
7684e580 22167 xx001111xxxxxxxx10x001xxxxxxxxxx
a06ea964 22168 movi. */
193614f2 22169 return 135;
a06ea964
NC
22170 }
22171 else
22172 {
22173 /* 33222222222211111111110000000000
22174 10987654321098765432109876543210
7684e580 22175 xx101111xxxxxxxx10x001xxxxxxxxxx
a06ea964 22176 mvni. */
193614f2 22177 return 143;
a06ea964
NC
22178 }
22179 }
22180 else
22181 {
22182 if (((word >> 29) & 0x1) == 0)
22183 {
22184 /* 33222222222211111111110000000000
22185 10987654321098765432109876543210
7684e580 22186 xx001111xxxxxxxx10x101xxxxxxxxxx
a06ea964 22187 orr. */
193614f2 22188 return 136;
a06ea964
NC
22189 }
22190 else
22191 {
22192 /* 33222222222211111111110000000000
22193 10987654321098765432109876543210
7684e580 22194 xx101111xxxxxxxx10x101xxxxxxxxxx
a06ea964 22195 bic. */
193614f2 22196 return 144;
a06ea964
NC
22197 }
22198 }
22199 }
22200 else
22201 {
ff329288 22202 if (((word >> 13) & 0x1) == 0)
a06ea964
NC
22203 {
22204 if (((word >> 29) & 0x1) == 0)
22205 {
ff329288
TC
22206 /* 33222222222211111111110000000000
22207 10987654321098765432109876543210
22208 xx001111xxxxxxxx110x01xxxxxxxxxx
22209 movi. */
193614f2 22210 return 137;
a06ea964
NC
22211 }
22212 else
22213 {
ff329288
TC
22214 /* 33222222222211111111110000000000
22215 10987654321098765432109876543210
22216 xx101111xxxxxxxx110x01xxxxxxxxxx
22217 mvni. */
193614f2 22218 return 145;
a06ea964
NC
22219 }
22220 }
22221 else
22222 {
ff329288 22223 if (((word >> 12) & 0x1) == 0)
a06ea964 22224 {
ff329288 22225 if (((word >> 29) & 0x1) == 0)
a06ea964
NC
22226 {
22227 /* 33222222222211111111110000000000
22228 10987654321098765432109876543210
ff329288
TC
22229 xx001111xxxxxxxx111001xxxxxxxxxx
22230 movi. */
193614f2 22231 return 138;
a06ea964
NC
22232 }
22233 else
22234 {
22235 /* 33222222222211111111110000000000
22236 10987654321098765432109876543210
ff329288
TC
22237 xx101111xxxxxxxx111001xxxxxxxxxx
22238 movi. */
193614f2 22239 return 146;
a06ea964
NC
22240 }
22241 }
22242 else
22243 {
ff329288 22244 if (((word >> 29) & 0x1) == 0)
a06ea964
NC
22245 {
22246 /* 33222222222211111111110000000000
22247 10987654321098765432109876543210
ff329288
TC
22248 xx001111xxxxxxxx111101xxxxxxxxxx
22249 fmov. */
193614f2 22250 return 139;
a06ea964
NC
22251 }
22252 else
22253 {
22254 /* 33222222222211111111110000000000
22255 10987654321098765432109876543210
ff329288
TC
22256 xx101111xxxxxxxx111101xxxxxxxxxx
22257 fmov. */
193614f2 22258 return 148;
a06ea964
NC
22259 }
22260 }
22261 }
22262 }
22263 }
ff329288 22264 else
a06ea964 22265 {
ff329288 22266 if (((word >> 12) & 0x1) == 0)
a06ea964 22267 {
ff329288 22268 if (((word >> 29) & 0x1) == 0)
a06ea964 22269 {
ff329288 22270 if (((word >> 30) & 0x1) == 0)
e9dbdd80
TC
22271 {
22272 /* 33222222222211111111110000000000
22273 10987654321098765432109876543210
ff329288
TC
22274 x0001111xxxxxxxx1xx011xxxxxxxxxx
22275 rshrn. */
193614f2 22276 return 382;
e9dbdd80
TC
22277 }
22278 else
22279 {
ff329288
TC
22280 /* 33222222222211111111110000000000
22281 10987654321098765432109876543210
22282 x1001111xxxxxxxx1xx011xxxxxxxxxx
22283 rshrn2. */
193614f2 22284 return 383;
e9dbdd80 22285 }
a06ea964
NC
22286 }
22287 else
22288 {
ff329288 22289 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
22290 {
22291 /* 33222222222211111111110000000000
22292 10987654321098765432109876543210
ff329288
TC
22293 x0101111xxxxxxxx1xx011xxxxxxxxxx
22294 sqrshrun. */
193614f2 22295 return 406;
a06ea964
NC
22296 }
22297 else
22298 {
22299 /* 33222222222211111111110000000000
22300 10987654321098765432109876543210
ff329288
TC
22301 x1101111xxxxxxxx1xx011xxxxxxxxxx
22302 sqrshrun2. */
193614f2 22303 return 407;
a06ea964
NC
22304 }
22305 }
22306 }
22307 else
22308 {
ff329288 22309 if (((word >> 13) & 0x1) == 0)
65a55fbb 22310 {
ff329288 22311 if (((word >> 29) & 0x1) == 0)
a06ea964 22312 {
ff329288 22313 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
22314 {
22315 /* 33222222222211111111110000000000
22316 10987654321098765432109876543210
ff329288
TC
22317 x0001111xxxxxxxx1x0111xxxxxxxxxx
22318 sqrshrn. */
193614f2 22319 return 386;
a06ea964
NC
22320 }
22321 else
22322 {
22323 /* 33222222222211111111110000000000
22324 10987654321098765432109876543210
ff329288
TC
22325 x1001111xxxxxxxx1x0111xxxxxxxxxx
22326 sqrshrn2. */
193614f2 22327 return 387;
a06ea964
NC
22328 }
22329 }
22330 else
22331 {
ff329288 22332 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
22333 {
22334 /* 33222222222211111111110000000000
22335 10987654321098765432109876543210
ff329288
TC
22336 x0101111xxxxxxxx1x0111xxxxxxxxxx
22337 uqrshrn. */
193614f2 22338 return 410;
a06ea964
NC
22339 }
22340 else
22341 {
22342 /* 33222222222211111111110000000000
22343 10987654321098765432109876543210
ff329288
TC
22344 x1101111xxxxxxxx1x0111xxxxxxxxxx
22345 uqrshrn2. */
193614f2 22346 return 411;
a06ea964
NC
22347 }
22348 }
22349 }
ff329288
TC
22350 else
22351 {
22352 if (((word >> 29) & 0x1) == 0)
22353 {
22354 /* 33222222222211111111110000000000
22355 10987654321098765432109876543210
22356 xx001111xxxxxxxx1x1111xxxxxxxxxx
22357 fmov. */
193614f2 22358 return 140;
ff329288
TC
22359 }
22360 else
22361 {
22362 /* 33222222222211111111110000000000
22363 10987654321098765432109876543210
22364 xx101111xxxxxxxx1x1111xxxxxxxxxx
22365 fcvtzu. */
193614f2 22366 return 418;
ff329288
TC
22367 }
22368 }
a06ea964
NC
22369 }
22370 }
22371 }
22372 }
22373 else
22374 {
22375 if (((word >> 29) & 0x1) == 0)
22376 {
22377 if (((word >> 30) & 0x1) == 0)
22378 {
22379 if (((word >> 21) & 0x1) == 0)
22380 {
22381 /* 33222222222211111111110000000000
22382 10987654321098765432109876543210
7684e580 22383 x0011111xx0xxxxx1xxxxxxxxxxxxxxx
a06ea964 22384 fmsub. */
b731bc3b 22385 return 859;
a06ea964
NC
22386 }
22387 else
22388 {
22389 /* 33222222222211111111110000000000
22390 10987654321098765432109876543210
7684e580 22391 x0011111xx1xxxxx1xxxxxxxxxxxxxxx
a06ea964 22392 fnmsub. */
b731bc3b 22393 return 863;
a06ea964
NC
22394 }
22395 }
22396 else
22397 {
22398 if (((word >> 10) & 0x1) == 0)
22399 {
22400 if (((word >> 12) & 0x1) == 0)
22401 {
22402 /* 33222222222211111111110000000000
22403 10987654321098765432109876543210
7684e580 22404 x1011111xxxxxxxx1xx0x0xxxxxxxxxx
a06ea964 22405 sqdmulh. */
193614f2 22406 return 428;
a06ea964
NC
22407 }
22408 else
22409 {
22410 if (((word >> 13) & 0x1) == 0)
22411 {
22412 if (((word >> 14) & 0x1) == 0)
22413 {
5f7728b7
MW
22414 if (((word >> 23) & 0x1) == 0)
22415 {
22416 /* 33222222222211111111110000000000
22417 10987654321098765432109876543210
7684e580 22418 x10111110xxxxxxx1001x0xxxxxxxxxx
5f7728b7 22419 fmul. */
193614f2 22420 return 435;
5f7728b7
MW
22421 }
22422 else
22423 {
22424 /* 33222222222211111111110000000000
22425 10987654321098765432109876543210
7684e580 22426 x10111111xxxxxxx1001x0xxxxxxxxxx
5f7728b7 22427 fmul. */
193614f2 22428 return 434;
5f7728b7 22429 }
a06ea964
NC
22430 }
22431 else
22432 {
22433 /* 33222222222211111111110000000000
22434 10987654321098765432109876543210
7684e580 22435 x1011111xxxxxxxx1101x0xxxxxxxxxx
a06ea964 22436 sqrdmulh. */
193614f2 22437 return 429;
a06ea964
NC
22438 }
22439 }
22440 else
22441 {
22442 /* 33222222222211111111110000000000
22443 10987654321098765432109876543210
7684e580 22444 x1011111xxxxxxxx1x11x0xxxxxxxxxx
a06ea964 22445 sqdmull. */
193614f2 22446 return 427;
a06ea964
NC
22447 }
22448 }
22449 }
22450 else
22451 {
22452 if (((word >> 11) & 0x1) == 0)
22453 {
22454 if (((word >> 12) & 0x1) == 0)
22455 {
22456 /* 33222222222211111111110000000000
22457 10987654321098765432109876543210
7684e580 22458 x1011111xxxxxxxx1xx001xxxxxxxxxx
a06ea964 22459 scvtf. */
193614f2 22460 return 598;
a06ea964
NC
22461 }
22462 else
22463 {
22464 /* 33222222222211111111110000000000
22465 10987654321098765432109876543210
7684e580 22466 x1011111xxxxxxxx1xx101xxxxxxxxxx
a06ea964 22467 sqshrn. */
193614f2 22468 return 596;
a06ea964
NC
22469 }
22470 }
22471 else
22472 {
22473 if (((word >> 13) & 0x1) == 0)
22474 {
22475 /* 33222222222211111111110000000000
22476 10987654321098765432109876543210
7684e580 22477 x1011111xxxxxxxx1x0x11xxxxxxxxxx
a06ea964 22478 sqrshrn. */
193614f2 22479 return 597;
a06ea964
NC
22480 }
22481 else
22482 {
22483 /* 33222222222211111111110000000000
22484 10987654321098765432109876543210
7684e580 22485 x1011111xxxxxxxx1x1x11xxxxxxxxxx
a06ea964 22486 fcvtzs. */
193614f2 22487 return 600;
a06ea964
NC
22488 }
22489 }
22490 }
22491 }
22492 }
22493 else
22494 {
22495 if (((word >> 10) & 0x1) == 0)
22496 {
9e1f0fa7
MW
22497 if (((word >> 13) & 0x1) == 0)
22498 {
22499 if (((word >> 14) & 0x1) == 0)
22500 {
5f7728b7
MW
22501 if (((word >> 23) & 0x1) == 0)
22502 {
22503 /* 33222222222211111111110000000000
22504 10987654321098765432109876543210
7684e580 22505 xx1111110xxxxxxx100xx0xxxxxxxxxx
5f7728b7 22506 fmulx. */
193614f2 22507 return 437;
5f7728b7
MW
22508 }
22509 else
22510 {
22511 /* 33222222222211111111110000000000
22512 10987654321098765432109876543210
7684e580 22513 xx1111111xxxxxxx100xx0xxxxxxxxxx
5f7728b7 22514 fmulx. */
193614f2 22515 return 436;
5f7728b7 22516 }
9e1f0fa7
MW
22517 }
22518 else
22519 {
22520 /* 33222222222211111111110000000000
22521 10987654321098765432109876543210
7684e580 22522 xx111111xxxxxxxx110xx0xxxxxxxxxx
9e1f0fa7 22523 sqrdmlah. */
193614f2 22524 return 438;
9e1f0fa7
MW
22525 }
22526 }
22527 else
22528 {
22529 /* 33222222222211111111110000000000
22530 10987654321098765432109876543210
7684e580 22531 xx111111xxxxxxxx1x1xx0xxxxxxxxxx
9e1f0fa7 22532 sqrdmlsh. */
193614f2 22533 return 439;
9e1f0fa7 22534 }
a06ea964
NC
22535 }
22536 else
22537 {
22538 if (((word >> 11) & 0x1) == 0)
22539 {
22540 if (((word >> 12) & 0x1) == 0)
22541 {
22542 if (((word >> 13) & 0x1) == 0)
22543 {
22544 /* 33222222222211111111110000000000
22545 10987654321098765432109876543210
7684e580 22546 xx111111xxxxxxxx1x0001xxxxxxxxxx
a06ea964 22547 sqshrun. */
193614f2 22548 return 610;
a06ea964
NC
22549 }
22550 else
22551 {
22552 /* 33222222222211111111110000000000
22553 10987654321098765432109876543210
7684e580 22554 xx111111xxxxxxxx1x1001xxxxxxxxxx
a06ea964 22555 ucvtf. */
193614f2 22556 return 614;
a06ea964
NC
22557 }
22558 }
22559 else
22560 {
22561 /* 33222222222211111111110000000000
22562 10987654321098765432109876543210
7684e580 22563 xx111111xxxxxxxx1xx101xxxxxxxxxx
a06ea964 22564 uqshrn. */
193614f2 22565 return 612;
a06ea964
NC
22566 }
22567 }
22568 else
22569 {
22570 if (((word >> 12) & 0x1) == 0)
22571 {
22572 /* 33222222222211111111110000000000
22573 10987654321098765432109876543210
7684e580 22574 xx111111xxxxxxxx1xx011xxxxxxxxxx
a06ea964 22575 sqrshrun. */
193614f2 22576 return 611;
a06ea964
NC
22577 }
22578 else
22579 {
22580 if (((word >> 13) & 0x1) == 0)
22581 {
22582 /* 33222222222211111111110000000000
22583 10987654321098765432109876543210
7684e580 22584 xx111111xxxxxxxx1x0111xxxxxxxxxx
a06ea964 22585 uqrshrn. */
193614f2 22586 return 613;
a06ea964
NC
22587 }
22588 else
22589 {
22590 /* 33222222222211111111110000000000
22591 10987654321098765432109876543210
7684e580 22592 xx111111xxxxxxxx1x1111xxxxxxxxxx
a06ea964 22593 fcvtzu. */
193614f2 22594 return 616;
a06ea964
NC
22595 }
22596 }
22597 }
22598 }
22599 }
22600 }
22601 }
22602 }
22603 }
22604 }
22605 }
22606}
22607
22608/* Lookup opcode WORD in the opcode table. N.B. all alias
22609 opcodes are ignored here. */
22610
22611const aarch64_opcode *
22612aarch64_opcode_lookup (uint32_t word)
22613{
22614 return aarch64_opcode_table + aarch64_opcode_lookup_1 (word);
22615}
22616
22617const aarch64_opcode *
22618aarch64_find_next_opcode (const aarch64_opcode *opcode)
22619{
22620 /* Use the index as the key to locate the next opcode. */
22621 int key = opcode - aarch64_opcode_table;
22622 int value;
22623 switch (key)
22624 {
193614f2
SD
22625 case 12: value = 19; break; /* add --> addg. */
22626 case 19: return NULL; /* addg --> NULL. */
22627 case 16: value = 20; break; /* sub --> subg. */
22628 case 20: return NULL; /* subg --> NULL. */
e54010f1
SD
22629 case 970: value = 974; break; /* stnp --> stp. */
22630 case 974: return NULL; /* stp --> NULL. */
22631 case 968: value = 969; break; /* stllrb --> stllrh. */
22632 case 969: return NULL; /* stllrh --> NULL. */
22633 case 971: value = 975; break; /* ldnp --> ldp. */
22634 case 975: return NULL; /* ldp --> NULL. */
20a4ca55
SD
22635 case 1624: value = 1625; break; /* ldff1b --> ldff1b. */
22636 case 1625: return NULL; /* ldff1b --> NULL. */
b83b4b13
SD
22637 case 1680: value = 1681; break; /* ldff1sw --> ldff1sw. */
22638 case 1681: return NULL; /* ldff1sw --> NULL. */
22639 case 1628: value = 1629; break; /* ldff1b --> ldff1b. */
22640 case 1629: return NULL; /* ldff1b --> NULL. */
22641 case 1647: value = 1648; break; /* ldff1h --> ldff1h. */
22642 case 1648: return NULL; /* ldff1h --> NULL. */
e54010f1
SD
22643 case 1626: value = 1627; break; /* ldff1b --> ldff1b. */
22644 case 1627: return NULL; /* ldff1b --> NULL. */
22645 case 1645: value = 1646; break; /* ldff1h --> ldff1h. */
22646 case 1646: return NULL; /* ldff1h --> NULL. */
b83b4b13
SD
22647 case 1630: value = 1631; break; /* ldff1b --> ldff1b. */
22648 case 1631: return NULL; /* ldff1b --> NULL. */
22649 case 1649: value = 1650; break; /* ldff1h --> ldff1h. */
22650 case 1650: return NULL; /* ldff1h --> NULL. */
22651 case 1670: value = 1671; break; /* ldff1sh --> ldff1sh. */
22652 case 1671: return NULL; /* ldff1sh --> NULL. */
e54010f1
SD
22653 case 1658: value = 1659; break; /* ldff1sb --> ldff1sb. */
22654 case 1659: return NULL; /* ldff1sb --> NULL. */
b83b4b13
SD
22655 case 1689: value = 1690; break; /* ldff1w --> ldff1w. */
22656 case 1690: return NULL; /* ldff1w --> NULL. */
22657 case 1662: value = 1663; break; /* ldff1sb --> ldff1sb. */
22658 case 1663: return NULL; /* ldff1sb --> NULL. */
22659 case 1672: value = 1673; break; /* ldff1sh --> ldff1sh. */
22660 case 1673: return NULL; /* ldff1sh --> NULL. */
22661 case 1660: value = 1661; break; /* ldff1sb --> ldff1sb. */
22662 case 1661: return NULL; /* ldff1sb --> NULL. */
22663 case 1691: value = 1692; break; /* ldff1w --> ldff1w. */
22664 case 1692: return NULL; /* ldff1w --> NULL. */
22665 case 1636: value = 1637; break; /* ldff1d --> ldff1d. */
22666 case 1637: return NULL; /* ldff1d --> NULL. */
b731bc3b 22667 case 810: value = 811; break; /* xaflag --> axflag. */
b83b4b13
SD
22668 case 811: value = 1189; break; /* axflag --> tcommit. */
22669 case 1189: value = 1192; break; /* tcommit --> msr. */
22670 case 1192: value = 1193; break; /* msr --> hint. */
22671 case 1193: value = 1209; break; /* hint --> clrex. */
22672 case 1209: value = 1210; break; /* clrex --> dsb. */
22673 case 1210: value = 1213; break; /* dsb --> dmb. */
22674 case 1213: value = 1214; break; /* dmb --> isb. */
22675 case 1214: value = 1215; break; /* isb --> sb. */
22676 case 1215: value = 1216; break; /* sb --> sys. */
22677 case 1216: value = 1224; break; /* sys --> msr. */
42e6288f
MM
22678 case 1224: value = 2371; break; /* msr --> cfinv. */
22679 case 2371: return NULL; /* cfinv --> NULL. */
b83b4b13
SD
22680 case 1188: value = 1190; break; /* tstart --> ttest. */
22681 case 1190: value = 1225; break; /* ttest --> sysl. */
22682 case 1225: value = 1226; break; /* sysl --> mrs. */
22683 case 1226: return NULL; /* mrs --> NULL. */
193614f2
SD
22684 case 440: value = 441; break; /* st4 --> st1. */
22685 case 441: value = 442; break; /* st1 --> st2. */
22686 case 442: value = 443; break; /* st2 --> st3. */
22687 case 443: return NULL; /* st3 --> NULL. */
22688 case 448: value = 449; break; /* st4 --> st1. */
22689 case 449: value = 450; break; /* st1 --> st2. */
22690 case 450: value = 451; break; /* st2 --> st3. */
22691 case 451: return NULL; /* st3 --> NULL. */
22692 case 444: value = 445; break; /* ld4 --> ld1. */
22693 case 445: value = 446; break; /* ld1 --> ld2. */
22694 case 446: value = 447; break; /* ld2 --> ld3. */
22695 case 447: return NULL; /* ld3 --> NULL. */
22696 case 460: value = 462; break; /* ld1 --> ld1r. */
22697 case 462: return NULL; /* ld1r --> NULL. */
22698 case 464: value = 466; break; /* ld2 --> ld2r. */
22699 case 466: return NULL; /* ld2r --> NULL. */
22700 case 461: value = 463; break; /* ld3 --> ld3r. */
22701 case 463: return NULL; /* ld3r --> NULL. */
22702 case 465: value = 467; break; /* ld4 --> ld4r. */
22703 case 467: return NULL; /* ld4r --> NULL. */
22704 case 452: value = 453; break; /* ld4 --> ld1. */
22705 case 453: value = 454; break; /* ld1 --> ld2. */
22706 case 454: value = 455; break; /* ld2 --> ld3. */
22707 case 455: return NULL; /* ld3 --> NULL. */
22708 case 472: value = 474; break; /* ld1 --> ld1r. */
22709 case 474: return NULL; /* ld1r --> NULL. */
22710 case 473: value = 475; break; /* ld3 --> ld3r. */
22711 case 475: return NULL; /* ld3r --> NULL. */
22712 case 476: value = 478; break; /* ld2 --> ld2r. */
22713 case 478: return NULL; /* ld2r --> NULL. */
22714 case 477: value = 479; break; /* ld4 --> ld4r. */
22715 case 479: return NULL; /* ld4r --> NULL. */
b731bc3b
SD
22716 case 763: value = 764; break; /* fcvtzs --> fcvtzs. */
22717 case 764: return NULL; /* fcvtzs --> NULL. */
22718 case 759: value = 760; break; /* scvtf --> scvtf. */
22719 case 760: return NULL; /* scvtf --> NULL. */
22720 case 765: value = 766; break; /* fcvtzu --> fcvtzu. */
22721 case 766: return NULL; /* fcvtzu --> NULL. */
22722 case 761: value = 762; break; /* ucvtf --> ucvtf. */
22723 case 762: return NULL; /* ucvtf --> NULL. */
22724 case 767: value = 768; break; /* fcvtns --> fcvtns. */
22725 case 768: return NULL; /* fcvtns --> NULL. */
22726 case 787: value = 788; break; /* fcvtms --> fcvtms. */
22727 case 788: return NULL; /* fcvtms --> NULL. */
22728 case 783: value = 784; break; /* fcvtps --> fcvtps. */
22729 case 784: return NULL; /* fcvtps --> NULL. */
22730 case 791: value = 792; break; /* fcvtzs --> fcvtzs. */
22731 case 792: return NULL; /* fcvtzs --> NULL. */
22732 case 775: value = 776; break; /* fcvtas --> fcvtas. */
22733 case 776: return NULL; /* fcvtas --> NULL. */
22734 case 771: value = 772; break; /* scvtf --> scvtf. */
22735 case 772: return NULL; /* scvtf --> NULL. */
22736 case 779: value = 780; break; /* fmov --> fmov. */
22737 case 780: return NULL; /* fmov --> NULL. */
22738 case 769: value = 770; break; /* fcvtnu --> fcvtnu. */
22739 case 770: return NULL; /* fcvtnu --> NULL. */
22740 case 789: value = 790; break; /* fcvtmu --> fcvtmu. */
22741 case 790: return NULL; /* fcvtmu --> NULL. */
22742 case 785: value = 786; break; /* fcvtpu --> fcvtpu. */
22743 case 786: return NULL; /* fcvtpu --> NULL. */
22744 case 793: value = 794; break; /* fcvtzu --> fcvtzu. */
22745 case 794: return NULL; /* fcvtzu --> NULL. */
22746 case 777: value = 778; break; /* fcvtau --> fcvtau. */
22747 case 778: return NULL; /* fcvtau --> NULL. */
22748 case 773: value = 774; break; /* ucvtf --> ucvtf. */
22749 case 774: return NULL; /* ucvtf --> NULL. */
22750 case 781: value = 782; break; /* fmov --> fmov. */
22751 case 782: return NULL; /* fmov --> NULL. */
22752 case 816: value = 817; break; /* fmov --> fmov. */
22753 case 817: return NULL; /* fmov --> NULL. */
22754 case 825: value = 826; break; /* frintn --> frintn. */
22755 case 826: return NULL; /* frintn --> NULL. */
22756 case 820: value = 821; break; /* fneg --> fneg. */
22757 case 821: return NULL; /* fneg --> NULL. */
22758 case 829: value = 830; break; /* frintm --> frintm. */
22759 case 830: return NULL; /* frintm --> NULL. */
22760 case 818: value = 819; break; /* fabs --> fabs. */
22761 case 819: return NULL; /* fabs --> NULL. */
22762 case 827: value = 828; break; /* frintp --> frintp. */
22763 case 828: return NULL; /* frintp --> NULL. */
22764 case 822: value = 823; break; /* fsqrt --> fsqrt. */
22765 case 823: return NULL; /* fsqrt --> NULL. */
22766 case 831: value = 832; break; /* frintz --> frintz. */
22767 case 832: return NULL; /* frintz --> NULL. */
22768 case 833: value = 834; break; /* frinta --> frinta. */
22769 case 834: return NULL; /* frinta --> NULL. */
22770 case 835: value = 836; break; /* frintx --> frintx. */
22771 case 836: return NULL; /* frintx --> NULL. */
22772 case 837: value = 838; break; /* frinti --> frinti. */
22773 case 838: return NULL; /* frinti --> NULL. */
22774 case 802: value = 803; break; /* fcmp --> fcmp. */
22775 case 803: return NULL; /* fcmp --> NULL. */
22776 case 804: value = 805; break; /* fcmpe --> fcmpe. */
22777 case 805: return NULL; /* fcmpe --> NULL. */
22778 case 806: value = 807; break; /* fcmp --> fcmp. */
22779 case 807: return NULL; /* fcmp --> NULL. */
22780 case 808: value = 809; break; /* fcmpe --> fcmpe. */
22781 case 809: return NULL; /* fcmpe --> NULL. */
22782 case 865: value = 866; break; /* fmov --> fmov. */
22783 case 866: return NULL; /* fmov --> NULL. */
22784 case 839: value = 840; break; /* fmul --> fmul. */
22785 case 840: return NULL; /* fmul --> NULL. */
22786 case 855: value = 856; break; /* fnmul --> fnmul. */
22787 case 856: return NULL; /* fnmul --> NULL. */
22788 case 847: value = 848; break; /* fmax --> fmax. */
22789 case 848: return NULL; /* fmax --> NULL. */
22790 case 843: value = 844; break; /* fadd --> fadd. */
22791 case 844: return NULL; /* fadd --> NULL. */
22792 case 851: value = 852; break; /* fmaxnm --> fmaxnm. */
22793 case 852: return NULL; /* fmaxnm --> NULL. */
22794 case 841: value = 842; break; /* fdiv --> fdiv. */
22795 case 842: return NULL; /* fdiv --> NULL. */
22796 case 849: value = 850; break; /* fmin --> fmin. */
22797 case 850: return NULL; /* fmin --> NULL. */
22798 case 845: value = 846; break; /* fsub --> fsub. */
22799 case 846: return NULL; /* fsub --> NULL. */
22800 case 853: value = 854; break; /* fminnm --> fminnm. */
22801 case 854: return NULL; /* fminnm --> NULL. */
22802 case 798: value = 799; break; /* fccmp --> fccmp. */
22803 case 799: return NULL; /* fccmp --> NULL. */
22804 case 800: value = 801; break; /* fccmpe --> fccmpe. */
22805 case 801: return NULL; /* fccmpe --> NULL. */
22806 case 867: value = 868; break; /* fcsel --> fcsel. */
22807 case 868: return NULL; /* fcsel --> NULL. */
193614f2
SD
22808 case 133: value = 374; break; /* movi --> sshr. */
22809 case 374: value = 376; break; /* sshr --> srshr. */
22810 case 376: return NULL; /* srshr --> NULL. */
22811 case 141: value = 396; break; /* mvni --> ushr. */
22812 case 396: value = 398; break; /* ushr --> urshr. */
22813 case 398: value = 400; break; /* urshr --> sri. */
22814 case 400: value = 402; break; /* sri --> sqshlu. */
22815 case 402: return NULL; /* sqshlu --> NULL. */
22816 case 134: value = 375; break; /* orr --> ssra. */
22817 case 375: value = 377; break; /* ssra --> srsra. */
22818 case 377: value = 378; break; /* srsra --> shl. */
22819 case 378: value = 379; break; /* shl --> sqshl. */
22820 case 379: return NULL; /* sqshl --> NULL. */
22821 case 142: value = 397; break; /* bic --> usra. */
22822 case 397: value = 399; break; /* usra --> ursra. */
22823 case 399: value = 401; break; /* ursra --> sli. */
22824 case 401: value = 403; break; /* sli --> uqshl. */
22825 case 403: return NULL; /* uqshl --> NULL. */
b731bc3b
SD
22826 case 857: value = 858; break; /* fmadd --> fmadd. */
22827 case 858: return NULL; /* fmadd --> NULL. */
22828 case 861: value = 862; break; /* fnmadd --> fnmadd. */
22829 case 862: return NULL; /* fnmadd --> NULL. */
193614f2
SD
22830 case 135: value = 380; break; /* movi --> shrn. */
22831 case 380: value = 381; break; /* shrn --> shrn2. */
22832 case 381: value = 388; break; /* shrn2 --> sshll. */
22833 case 388: value = 390; break; /* sshll --> sshll2. */
22834 case 390: return NULL; /* sshll2 --> NULL. */
22835 case 143: value = 404; break; /* mvni --> sqshrun. */
22836 case 404: value = 405; break; /* sqshrun --> sqshrun2. */
22837 case 405: value = 412; break; /* sqshrun2 --> ushll. */
22838 case 412: value = 414; break; /* ushll --> ushll2. */
22839 case 414: return NULL; /* ushll2 --> NULL. */
22840 case 136: value = 384; break; /* orr --> sqshrn. */
22841 case 384: value = 385; break; /* sqshrn --> sqshrn2. */
22842 case 385: return NULL; /* sqshrn2 --> NULL. */
22843 case 144: value = 408; break; /* bic --> uqshrn. */
22844 case 408: value = 409; break; /* uqshrn --> uqshrn2. */
22845 case 409: return NULL; /* uqshrn2 --> NULL. */
22846 case 138: value = 392; break; /* movi --> scvtf. */
22847 case 392: value = 393; break; /* scvtf --> scvtf. */
22848 case 393: return NULL; /* scvtf --> NULL. */
22849 case 146: value = 147; break; /* movi --> movi. */
22850 case 147: value = 416; break; /* movi --> ucvtf. */
22851 case 416: value = 417; break; /* ucvtf --> ucvtf. */
22852 case 417: return NULL; /* ucvtf --> NULL. */
22853 case 140: value = 394; break; /* fmov --> fcvtzs. */
22854 case 394: value = 395; break; /* fcvtzs --> fcvtzs. */
22855 case 395: return NULL; /* fcvtzs --> NULL. */
22856 case 418: value = 419; break; /* fcvtzu --> fcvtzu. */
22857 case 419: return NULL; /* fcvtzu --> NULL. */
b731bc3b
SD
22858 case 859: value = 860; break; /* fmsub --> fmsub. */
22859 case 860: return NULL; /* fmsub --> NULL. */
22860 case 863: value = 864; break; /* fnmsub --> fnmsub. */
22861 case 864: return NULL; /* fnmsub --> NULL. */
193614f2
SD
22862 case 598: value = 599; break; /* scvtf --> scvtf. */
22863 case 599: return NULL; /* scvtf --> NULL. */
22864 case 600: value = 601; break; /* fcvtzs --> fcvtzs. */
22865 case 601: return NULL; /* fcvtzs --> NULL. */
22866 case 614: value = 615; break; /* ucvtf --> ucvtf. */
22867 case 615: return NULL; /* ucvtf --> NULL. */
22868 case 616: value = 617; break; /* fcvtzu --> fcvtzu. */
22869 case 617: return NULL; /* fcvtzu --> NULL. */
a06ea964
NC
22870 default: return NULL;
22871 }
22872
22873 return aarch64_opcode_table + value;
22874}
22875
22876const aarch64_opcode *
22877aarch64_find_alias_opcode (const aarch64_opcode *opcode)
22878{
22879 /* Use the index as the key to locate the alias opcode. */
22880 int key = opcode - aarch64_opcode_table;
22881 int value;
22882 switch (key)
22883 {
22884 case 2: value = 3; break; /* sbc --> ngc. */
22885 case 4: value = 5; break; /* sbcs --> ngcs. */
22886 case 7: value = 8; break; /* adds --> cmn. */
22887 case 10: value = 11; break; /* subs --> cmp. */
22888 case 12: value = 13; break; /* add --> mov. */
22889 case 14: value = 15; break; /* adds --> cmn. */
22890 case 17: value = 18; break; /* subs --> cmp. */
193614f2
SD
22891 case 22: value = 23; break; /* adds --> cmn. */
22892 case 24: value = 25; break; /* sub --> neg. */
22893 case 26: value = 27; break; /* subs --> cmp. */
22894 case 152: value = 153; break; /* umov --> mov. */
c2c4ff8d 22895 case 154: value = 155; break; /* ins --> mov. */
193614f2
SD
22896 case 156: value = 157; break; /* ins --> mov. */
22897 case 242: value = 243; break; /* not --> mvn. */
22898 case 317: value = 318; break; /* orr --> mov. */
22899 case 388: value = 389; break; /* sshll --> sxtl. */
22900 case 390: value = 391; break; /* sshll2 --> sxtl2. */
22901 case 412: value = 413; break; /* ushll --> uxtl. */
22902 case 414: value = 415; break; /* ushll2 --> uxtl2. */
22903 case 535: value = 536; break; /* dup --> mov. */
22904 case 618: value = 623; break; /* sbfm --> sxtw. */
22905 case 625: value = 627; break; /* bfm --> bfc. */
22906 case 629: value = 633; break; /* ubfm --> uxth. */
22907 case 663: value = 665; break; /* csinc --> cset. */
22908 case 666: value = 668; break; /* csinv --> csetm. */
22909 case 669: value = 670; break; /* csneg --> cneg. */
22910 case 688: value = 688; break; /* rev --> rev. */
22911 case 713: value = 714; break; /* lslv --> lsl. */
22912 case 715: value = 716; break; /* lsrv --> lsr. */
22913 case 717: value = 718; break; /* asrv --> asr. */
22914 case 719: value = 720; break; /* rorv --> ror. */
b731bc3b
SD
22915 case 722: value = 723; break; /* subps --> cmpp. */
22916 case 735: value = 736; break; /* madd --> mul. */
22917 case 737: value = 738; break; /* msub --> mneg. */
22918 case 739: value = 740; break; /* smaddl --> smull. */
22919 case 741: value = 742; break; /* smsubl --> smnegl. */
22920 case 744: value = 745; break; /* umaddl --> umull. */
22921 case 746: value = 747; break; /* umsubl --> umnegl. */
22922 case 757: value = 758; break; /* extr --> ror. */
e54010f1
SD
22923 case 990: value = 991; break; /* and --> bic. */
22924 case 992: value = 993; break; /* orr --> mov. */
22925 case 995: value = 996; break; /* ands --> tst. */
22926 case 999: value = 1001; break; /* orr --> uxtw. */
22927 case 1002: value = 1003; break; /* orn --> mvn. */
22928 case 1006: value = 1007; break; /* ands --> tst. */
22929 case 1037: value = 1133; break; /* ldaddb --> staddb. */
22930 case 1038: value = 1134; break; /* ldaddh --> staddh. */
22931 case 1039: value = 1135; break; /* ldadd --> stadd. */
22932 case 1041: value = 1136; break; /* ldaddlb --> staddlb. */
22933 case 1044: value = 1137; break; /* ldaddlh --> staddlh. */
22934 case 1047: value = 1138; break; /* ldaddl --> staddl. */
22935 case 1049: value = 1139; break; /* ldclrb --> stclrb. */
22936 case 1050: value = 1140; break; /* ldclrh --> stclrh. */
22937 case 1051: value = 1141; break; /* ldclr --> stclr. */
22938 case 1053: value = 1142; break; /* ldclrlb --> stclrlb. */
22939 case 1056: value = 1143; break; /* ldclrlh --> stclrlh. */
22940 case 1059: value = 1144; break; /* ldclrl --> stclrl. */
22941 case 1061: value = 1145; break; /* ldeorb --> steorb. */
22942 case 1062: value = 1146; break; /* ldeorh --> steorh. */
22943 case 1063: value = 1147; break; /* ldeor --> steor. */
22944 case 1065: value = 1148; break; /* ldeorlb --> steorlb. */
22945 case 1068: value = 1149; break; /* ldeorlh --> steorlh. */
22946 case 1071: value = 1150; break; /* ldeorl --> steorl. */
22947 case 1073: value = 1151; break; /* ldsetb --> stsetb. */
22948 case 1074: value = 1152; break; /* ldseth --> stseth. */
22949 case 1075: value = 1153; break; /* ldset --> stset. */
22950 case 1077: value = 1154; break; /* ldsetlb --> stsetlb. */
22951 case 1080: value = 1155; break; /* ldsetlh --> stsetlh. */
22952 case 1083: value = 1156; break; /* ldsetl --> stsetl. */
22953 case 1085: value = 1157; break; /* ldsmaxb --> stsmaxb. */
22954 case 1086: value = 1158; break; /* ldsmaxh --> stsmaxh. */
22955 case 1087: value = 1159; break; /* ldsmax --> stsmax. */
22956 case 1089: value = 1160; break; /* ldsmaxlb --> stsmaxlb. */
22957 case 1092: value = 1161; break; /* ldsmaxlh --> stsmaxlh. */
22958 case 1095: value = 1162; break; /* ldsmaxl --> stsmaxl. */
22959 case 1097: value = 1163; break; /* ldsminb --> stsminb. */
22960 case 1098: value = 1164; break; /* ldsminh --> stsminh. */
22961 case 1099: value = 1165; break; /* ldsmin --> stsmin. */
22962 case 1101: value = 1166; break; /* ldsminlb --> stsminlb. */
22963 case 1104: value = 1167; break; /* ldsminlh --> stsminlh. */
22964 case 1107: value = 1168; break; /* ldsminl --> stsminl. */
22965 case 1109: value = 1169; break; /* ldumaxb --> stumaxb. */
22966 case 1110: value = 1170; break; /* ldumaxh --> stumaxh. */
22967 case 1111: value = 1171; break; /* ldumax --> stumax. */
22968 case 1113: value = 1172; break; /* ldumaxlb --> stumaxlb. */
22969 case 1116: value = 1173; break; /* ldumaxlh --> stumaxlh. */
22970 case 1119: value = 1174; break; /* ldumaxl --> stumaxl. */
22971 case 1121: value = 1175; break; /* lduminb --> stuminb. */
22972 case 1122: value = 1176; break; /* lduminh --> stuminh. */
22973 case 1123: value = 1177; break; /* ldumin --> stumin. */
22974 case 1125: value = 1178; break; /* lduminlb --> stuminlb. */
22975 case 1128: value = 1179; break; /* lduminlh --> stuminlh. */
22976 case 1131: value = 1180; break; /* lduminl --> stuminl. */
22977 case 1181: value = 1182; break; /* movn --> mov. */
22978 case 1183: value = 1184; break; /* movz --> mov. */
b83b4b13
SD
22979 case 1193: value = 1234; break; /* hint --> autibsp. */
22980 case 1210: value = 1212; break; /* dsb --> pssbb. */
22981 case 1216: value = 1223; break; /* sys --> cpp. */
22982 case 1282: value = 2032; break; /* and --> bic. */
22983 case 1284: value = 1265; break; /* and --> mov. */
22984 case 1285: value = 1269; break; /* ands --> movs. */
22985 case 1320: value = 2033; break; /* cmpge --> cmple. */
22986 case 1323: value = 2036; break; /* cmpgt --> cmplt. */
22987 case 1325: value = 2034; break; /* cmphi --> cmplo. */
22988 case 1328: value = 2035; break; /* cmphs --> cmpls. */
22989 case 1350: value = 1262; break; /* cpy --> mov. */
22990 case 1351: value = 1264; break; /* cpy --> mov. */
22991 case 1352: value = 2043; break; /* cpy --> fmov. */
22992 case 1364: value = 1257; break; /* dup --> mov. */
22993 case 1365: value = 1259; break; /* dup --> mov. */
22994 case 1366: value = 2042; break; /* dup --> fmov. */
22995 case 1367: value = 1260; break; /* dupm --> mov. */
22996 case 1369: value = 2037; break; /* eor --> eon. */
22997 case 1371: value = 1270; break; /* eor --> not. */
22998 case 1372: value = 1271; break; /* eors --> nots. */
22999 case 1377: value = 2038; break; /* facge --> facle. */
23000 case 1378: value = 2039; break; /* facgt --> faclt. */
23001 case 1391: value = 2040; break; /* fcmge --> fcmle. */
23002 case 1393: value = 2041; break; /* fcmgt --> fcmlt. */
23003 case 1399: value = 1254; break; /* fcpy --> fmov. */
23004 case 1422: value = 1253; break; /* fdup --> fmov. */
23005 case 1753: value = 1255; break; /* orr --> mov. */
23006 case 1754: value = 2044; break; /* orr --> orn. */
23007 case 1756: value = 1258; break; /* orr --> mov. */
23008 case 1757: value = 1268; break; /* orrs --> movs. */
23009 case 1819: value = 1263; break; /* sel --> mov. */
23010 case 1820: value = 1266; break; /* sel --> mov. */
a06ea964
NC
23011 default: return NULL;
23012 }
23013
23014 return aarch64_opcode_table + value;
23015}
23016
23017const aarch64_opcode *
23018aarch64_find_next_alias_opcode (const aarch64_opcode *opcode)
23019{
23020 /* Use the index as the key to locate the next opcode. */
23021 int key = opcode - aarch64_opcode_table;
23022 int value;
23023 switch (key)
23024 {
35822b38
MW
23025 case 3: value = 2; break; /* ngc --> sbc. */
23026 case 5: value = 4; break; /* ngcs --> sbcs. */
23027 case 8: value = 7; break; /* cmn --> adds. */
23028 case 11: value = 10; break; /* cmp --> subs. */
23029 case 13: value = 12; break; /* mov --> add. */
23030 case 15: value = 14; break; /* cmn --> adds. */
23031 case 18: value = 17; break; /* cmp --> subs. */
193614f2
SD
23032 case 23: value = 22; break; /* cmn --> adds. */
23033 case 25: value = 24; break; /* neg --> sub. */
23034 case 27: value = 28; break; /* cmp --> negs. */
23035 case 28: value = 26; break; /* negs --> subs. */
23036 case 153: value = 152; break; /* mov --> umov. */
c2c4ff8d 23037 case 155: value = 154; break; /* mov --> ins. */
193614f2
SD
23038 case 157: value = 156; break; /* mov --> ins. */
23039 case 243: value = 242; break; /* mvn --> not. */
23040 case 318: value = 317; break; /* mov --> orr. */
23041 case 389: value = 388; break; /* sxtl --> sshll. */
23042 case 391: value = 390; break; /* sxtl2 --> sshll2. */
23043 case 413: value = 412; break; /* uxtl --> ushll. */
23044 case 415: value = 414; break; /* uxtl2 --> ushll2. */
23045 case 536: value = 535; break; /* mov --> dup. */
23046 case 623: value = 622; break; /* sxtw --> sxth. */
23047 case 622: value = 621; break; /* sxth --> sxtb. */
23048 case 621: value = 624; break; /* sxtb --> asr. */
23049 case 624: value = 620; break; /* asr --> sbfx. */
23050 case 620: value = 619; break; /* sbfx --> sbfiz. */
23051 case 619: value = 618; break; /* sbfiz --> sbfm. */
23052 case 627: value = 628; break; /* bfc --> bfxil. */
23053 case 628: value = 626; break; /* bfxil --> bfi. */
23054 case 626: value = 625; break; /* bfi --> bfm. */
23055 case 633: value = 632; break; /* uxth --> uxtb. */
23056 case 632: value = 635; break; /* uxtb --> lsr. */
23057 case 635: value = 634; break; /* lsr --> lsl. */
23058 case 634: value = 631; break; /* lsl --> ubfx. */
23059 case 631: value = 630; break; /* ubfx --> ubfiz. */
23060 case 630: value = 629; break; /* ubfiz --> ubfm. */
23061 case 665: value = 664; break; /* cset --> cinc. */
23062 case 664: value = 663; break; /* cinc --> csinc. */
23063 case 668: value = 667; break; /* csetm --> cinv. */
23064 case 667: value = 666; break; /* cinv --> csinv. */
23065 case 670: value = 669; break; /* cneg --> csneg. */
23066 case 688: value = 689; break; /* rev --> rev64. */
23067 case 714: value = 713; break; /* lsl --> lslv. */
23068 case 716: value = 715; break; /* lsr --> lsrv. */
23069 case 718: value = 717; break; /* asr --> asrv. */
23070 case 720: value = 719; break; /* ror --> rorv. */
b731bc3b
SD
23071 case 723: value = 722; break; /* cmpp --> subps. */
23072 case 736: value = 735; break; /* mul --> madd. */
23073 case 738: value = 737; break; /* mneg --> msub. */
23074 case 740: value = 739; break; /* smull --> smaddl. */
23075 case 742: value = 741; break; /* smnegl --> smsubl. */
23076 case 745: value = 744; break; /* umull --> umaddl. */
23077 case 747: value = 746; break; /* umnegl --> umsubl. */
23078 case 758: value = 757; break; /* ror --> extr. */
e54010f1
SD
23079 case 991: value = 990; break; /* bic --> and. */
23080 case 993: value = 992; break; /* mov --> orr. */
23081 case 996: value = 995; break; /* tst --> ands. */
23082 case 1001: value = 1000; break; /* uxtw --> mov. */
23083 case 1000: value = 999; break; /* mov --> orr. */
23084 case 1003: value = 1002; break; /* mvn --> orn. */
23085 case 1007: value = 1006; break; /* tst --> ands. */
23086 case 1133: value = 1037; break; /* staddb --> ldaddb. */
23087 case 1134: value = 1038; break; /* staddh --> ldaddh. */
23088 case 1135: value = 1039; break; /* stadd --> ldadd. */
23089 case 1136: value = 1041; break; /* staddlb --> ldaddlb. */
23090 case 1137: value = 1044; break; /* staddlh --> ldaddlh. */
23091 case 1138: value = 1047; break; /* staddl --> ldaddl. */
23092 case 1139: value = 1049; break; /* stclrb --> ldclrb. */
23093 case 1140: value = 1050; break; /* stclrh --> ldclrh. */
23094 case 1141: value = 1051; break; /* stclr --> ldclr. */
23095 case 1142: value = 1053; break; /* stclrlb --> ldclrlb. */
23096 case 1143: value = 1056; break; /* stclrlh --> ldclrlh. */
23097 case 1144: value = 1059; break; /* stclrl --> ldclrl. */
23098 case 1145: value = 1061; break; /* steorb --> ldeorb. */
23099 case 1146: value = 1062; break; /* steorh --> ldeorh. */
23100 case 1147: value = 1063; break; /* steor --> ldeor. */
23101 case 1148: value = 1065; break; /* steorlb --> ldeorlb. */
23102 case 1149: value = 1068; break; /* steorlh --> ldeorlh. */
23103 case 1150: value = 1071; break; /* steorl --> ldeorl. */
23104 case 1151: value = 1073; break; /* stsetb --> ldsetb. */
23105 case 1152: value = 1074; break; /* stseth --> ldseth. */
23106 case 1153: value = 1075; break; /* stset --> ldset. */
23107 case 1154: value = 1077; break; /* stsetlb --> ldsetlb. */
23108 case 1155: value = 1080; break; /* stsetlh --> ldsetlh. */
23109 case 1156: value = 1083; break; /* stsetl --> ldsetl. */
23110 case 1157: value = 1085; break; /* stsmaxb --> ldsmaxb. */
23111 case 1158: value = 1086; break; /* stsmaxh --> ldsmaxh. */
23112 case 1159: value = 1087; break; /* stsmax --> ldsmax. */
23113 case 1160: value = 1089; break; /* stsmaxlb --> ldsmaxlb. */
23114 case 1161: value = 1092; break; /* stsmaxlh --> ldsmaxlh. */
23115 case 1162: value = 1095; break; /* stsmaxl --> ldsmaxl. */
23116 case 1163: value = 1097; break; /* stsminb --> ldsminb. */
23117 case 1164: value = 1098; break; /* stsminh --> ldsminh. */
23118 case 1165: value = 1099; break; /* stsmin --> ldsmin. */
23119 case 1166: value = 1101; break; /* stsminlb --> ldsminlb. */
23120 case 1167: value = 1104; break; /* stsminlh --> ldsminlh. */
23121 case 1168: value = 1107; break; /* stsminl --> ldsminl. */
23122 case 1169: value = 1109; break; /* stumaxb --> ldumaxb. */
23123 case 1170: value = 1110; break; /* stumaxh --> ldumaxh. */
23124 case 1171: value = 1111; break; /* stumax --> ldumax. */
23125 case 1172: value = 1113; break; /* stumaxlb --> ldumaxlb. */
23126 case 1173: value = 1116; break; /* stumaxlh --> ldumaxlh. */
23127 case 1174: value = 1119; break; /* stumaxl --> ldumaxl. */
23128 case 1175: value = 1121; break; /* stuminb --> lduminb. */
23129 case 1176: value = 1122; break; /* stuminh --> lduminh. */
23130 case 1177: value = 1123; break; /* stumin --> ldumin. */
23131 case 1178: value = 1125; break; /* stuminlb --> lduminlb. */
23132 case 1179: value = 1128; break; /* stuminlh --> lduminlh. */
23133 case 1180: value = 1131; break; /* stuminl --> lduminl. */
23134 case 1182: value = 1181; break; /* mov --> movn. */
23135 case 1184: value = 1183; break; /* mov --> movz. */
b83b4b13
SD
23136 case 1234: value = 1233; break; /* autibsp --> autibz. */
23137 case 1233: value = 1232; break; /* autibz --> autiasp. */
23138 case 1232: value = 1231; break; /* autiasp --> autiaz. */
23139 case 1231: value = 1230; break; /* autiaz --> pacibsp. */
23140 case 1230: value = 1229; break; /* pacibsp --> pacibz. */
23141 case 1229: value = 1228; break; /* pacibz --> paciasp. */
23142 case 1228: value = 1227; break; /* paciasp --> paciaz. */
23143 case 1227: value = 1208; break; /* paciaz --> psb. */
23144 case 1208: value = 1207; break; /* psb --> esb. */
23145 case 1207: value = 1206; break; /* esb --> autib1716. */
23146 case 1206: value = 1205; break; /* autib1716 --> autia1716. */
23147 case 1205: value = 1204; break; /* autia1716 --> pacib1716. */
23148 case 1204: value = 1203; break; /* pacib1716 --> pacia1716. */
23149 case 1203: value = 1202; break; /* pacia1716 --> xpaclri. */
23150 case 1202: value = 1201; break; /* xpaclri --> sevl. */
23151 case 1201: value = 1200; break; /* sevl --> sev. */
23152 case 1200: value = 1199; break; /* sev --> wfi. */
23153 case 1199: value = 1198; break; /* wfi --> wfe. */
23154 case 1198: value = 1197; break; /* wfe --> yield. */
23155 case 1197: value = 1196; break; /* yield --> bti. */
23156 case 1196: value = 1195; break; /* bti --> csdb. */
23157 case 1195: value = 1194; break; /* csdb --> nop. */
23158 case 1194: value = 1193; break; /* nop --> hint. */
23159 case 1212: value = 1211; break; /* pssbb --> ssbb. */
23160 case 1211: value = 1210; break; /* ssbb --> dsb. */
23161 case 1223: value = 1222; break; /* cpp --> dvp. */
23162 case 1222: value = 1221; break; /* dvp --> cfp. */
23163 case 1221: value = 1220; break; /* cfp --> tlbi. */
23164 case 1220: value = 1219; break; /* tlbi --> ic. */
23165 case 1219: value = 1218; break; /* ic --> dc. */
23166 case 1218: value = 1217; break; /* dc --> at. */
23167 case 1217: value = 1216; break; /* at --> sys. */
23168 case 2032: value = 1282; break; /* bic --> and. */
23169 case 1265: value = 1284; break; /* mov --> and. */
23170 case 1269: value = 1285; break; /* movs --> ands. */
23171 case 2033: value = 1320; break; /* cmple --> cmpge. */
23172 case 2036: value = 1323; break; /* cmplt --> cmpgt. */
23173 case 2034: value = 1325; break; /* cmplo --> cmphi. */
23174 case 2035: value = 1328; break; /* cmpls --> cmphs. */
23175 case 1262: value = 1350; break; /* mov --> cpy. */
23176 case 1264: value = 1351; break; /* mov --> cpy. */
23177 case 2043: value = 1267; break; /* fmov --> mov. */
23178 case 1267: value = 1352; break; /* mov --> cpy. */
23179 case 1257: value = 1364; break; /* mov --> dup. */
23180 case 1259: value = 1256; break; /* mov --> mov. */
23181 case 1256: value = 1365; break; /* mov --> dup. */
23182 case 2042: value = 1261; break; /* fmov --> mov. */
23183 case 1261: value = 1366; break; /* mov --> dup. */
23184 case 1260: value = 1367; break; /* mov --> dupm. */
23185 case 2037: value = 1369; break; /* eon --> eor. */
23186 case 1270: value = 1371; break; /* not --> eor. */
23187 case 1271: value = 1372; break; /* nots --> eors. */
23188 case 2038: value = 1377; break; /* facle --> facge. */
23189 case 2039: value = 1378; break; /* faclt --> facgt. */
23190 case 2040: value = 1391; break; /* fcmle --> fcmge. */
23191 case 2041: value = 1393; break; /* fcmlt --> fcmgt. */
23192 case 1254: value = 1399; break; /* fmov --> fcpy. */
23193 case 1253: value = 1422; break; /* fmov --> fdup. */
23194 case 1255: value = 1753; break; /* mov --> orr. */
23195 case 2044: value = 1754; break; /* orn --> orr. */
23196 case 1258: value = 1756; break; /* mov --> orr. */
23197 case 1268: value = 1757; break; /* movs --> orrs. */
23198 case 1263: value = 1819; break; /* mov --> sel. */
23199 case 1266: value = 1820; break; /* mov --> sel. */
a06ea964
NC
23200 default: return NULL;
23201 }
23202
23203 return aarch64_opcode_table + value;
23204}
23205
561a72d4 23206bfd_boolean
a06ea964
NC
23207aarch64_extract_operand (const aarch64_operand *self,
23208 aarch64_opnd_info *info,
561a72d4
TC
23209 aarch64_insn code, const aarch64_inst *inst,
23210 aarch64_operand_error *errors)
a06ea964
NC
23211{
23212 /* Use the index as the key. */
23213 int key = self - aarch64_operands;
23214 switch (key)
23215 {
23216 case 1:
23217 case 2:
23218 case 3:
23219 case 4:
23220 case 5:
23221 case 6:
23222 case 7:
bd7ceb8d 23223 case 8:
a06ea964 23224 case 10:
c84364ec 23225 case 11:
bd7ceb8d 23226 case 12:
a06ea964 23227 case 16:
ee804238 23228 case 17:
c84364ec 23229 case 18:
bd7ceb8d 23230 case 19:
a06ea964
NC
23231 case 21:
23232 case 22:
23233 case 23:
23234 case 24:
23235 case 25:
23236 case 26:
ee804238 23237 case 27:
c84364ec 23238 case 28:
f42f1a1d 23239 case 29:
bd7ceb8d 23240 case 30:
369c9167 23241 case 162:
2ac435d4 23242 case 163:
ff605452 23243 case 164:
193614f2
SD
23244 case 165:
23245 case 166:
fb3265b3
SD
23246 case 167:
23247 case 168:
bd7ceb8d 23248 case 169:
adccc507 23249 case 170:
c469c864 23250 case 171:
193614f2
SD
23251 case 186:
23252 case 187:
fb3265b3
SD
23253 case 188:
23254 case 189:
bd7ceb8d 23255 case 190:
adccc507 23256 case 191:
c469c864 23257 case 192:
3c17238b 23258 case 193:
28ed815a
MM
23259 case 194:
23260 case 200:
23261 case 203:
561a72d4 23262 return aarch64_ext_regno (self, info, code, inst, errors);
bd7ceb8d 23263 case 9:
561a72d4 23264 return aarch64_ext_regrt_sysins (self, info, code, inst, errors);
ee804238 23265 case 13:
bd7ceb8d 23266 return aarch64_ext_regno_pair (self, info, code, inst, errors);
c84364ec 23267 case 14:
bd7ceb8d
SD
23268 return aarch64_ext_reg_extended (self, info, code, inst, errors);
23269 case 15:
561a72d4 23270 return aarch64_ext_reg_shifted (self, info, code, inst, errors);
bd7ceb8d 23271 case 20:
561a72d4 23272 return aarch64_ext_ft (self, info, code, inst, errors);
a06ea964 23273 case 31:
a06ea964 23274 case 32:
a06ea964 23275 case 33:
ee804238 23276 case 34:
28ed815a 23277 case 206:
bd7ceb8d 23278 return aarch64_ext_reglane (self, info, code, inst, errors);
c84364ec 23279 case 35:
bd7ceb8d 23280 return aarch64_ext_reglist (self, info, code, inst, errors);
a6a51754 23281 case 36:
bd7ceb8d 23282 return aarch64_ext_ldst_reglist (self, info, code, inst, errors);
a6a51754 23283 case 37:
bd7ceb8d 23284 return aarch64_ext_ldst_reglist_r (self, info, code, inst, errors);
c84364ec 23285 case 38:
bd7ceb8d 23286 return aarch64_ext_ldst_elemlist (self, info, code, inst, errors);
f42f1a1d
TC
23287 case 39:
23288 case 40:
369c9167 23289 case 41:
bd7ceb8d 23290 case 42:
a06ea964
NC
23291 case 52:
23292 case 53:
23293 case 54:
23294 case 55:
23295 case 56:
23296 case 57:
ee804238 23297 case 58:
e950b345 23298 case 59:
c84364ec 23299 case 60:
f42f1a1d
TC
23300 case 61:
23301 case 62:
23302 case 63:
369c9167 23303 case 64:
193614f2
SD
23304 case 65:
23305 case 66:
bd7ceb8d 23306 case 67:
f42f1a1d 23307 case 78:
369c9167 23308 case 79:
193614f2
SD
23309 case 80:
23310 case 81:
bd7ceb8d 23311 case 82:
c469c864
MM
23312 case 159:
23313 case 161:
193614f2 23314 case 178:
fb3265b3
SD
23315 case 179:
23316 case 180:
bd7ceb8d 23317 case 181:
adccc507 23318 case 182:
c469c864 23319 case 183:
3c17238b 23320 case 184:
28ed815a
MM
23321 case 185:
23322 case 205:
561a72d4 23323 return aarch64_ext_imm (self, info, code, inst, errors);
ee804238 23324 case 43:
c84364ec 23325 case 44:
bd7ceb8d 23326 return aarch64_ext_advsimd_imm_shift (self, info, code, inst, errors);
f42f1a1d 23327 case 45:
f42f1a1d 23328 case 46:
369c9167 23329 case 47:
bd7ceb8d
SD
23330 return aarch64_ext_advsimd_imm_modified (self, info, code, inst, errors);
23331 case 48:
561a72d4 23332 return aarch64_ext_shll_imm (self, info, code, inst, errors);
bd7ceb8d 23333 case 51:
c469c864 23334 case 149:
561a72d4 23335 return aarch64_ext_fpimm (self, info, code, inst, errors);
193614f2 23336 case 68:
c469c864 23337 case 157:
bd7ceb8d 23338 return aarch64_ext_limm (self, info, code, inst, errors);
193614f2 23339 case 69:
bd7ceb8d 23340 return aarch64_ext_aimm (self, info, code, inst, errors);
c2c4ff8d 23341 case 70:
bd7ceb8d
SD
23342 return aarch64_ext_imm_half (self, info, code, inst, errors);
23343 case 71:
193614f2 23344 return aarch64_ext_fbits (self, info, code, inst, errors);
f42f1a1d 23345 case 73:
369c9167 23346 case 74:
c469c864 23347 case 154:
bd7ceb8d 23348 return aarch64_ext_imm_rotate2 (self, info, code, inst, errors);
193614f2 23349 case 75:
c469c864
MM
23350 case 153:
23351 case 155:
bd7ceb8d 23352 return aarch64_ext_imm_rotate1 (self, info, code, inst, errors);
193614f2 23353 case 76:
bd7ceb8d 23354 case 77:
561a72d4 23355 return aarch64_ext_cond (self, info, code, inst, errors);
f42f1a1d 23356 case 83:
bd7ceb8d
SD
23357 case 92:
23358 return aarch64_ext_addr_simple (self, info, code, inst, errors);
550fd7bf 23359 case 84:
bd7ceb8d 23360 return aarch64_ext_addr_regoff (self, info, code, inst, errors);
a06ea964 23361 case 85:
369c9167 23362 case 86:
550fd7bf 23363 case 87:
550fd7bf 23364 case 89:
bd7ceb8d
SD
23365 case 91:
23366 return aarch64_ext_addr_simm (self, info, code, inst, errors);
23367 case 88:
23368 return aarch64_ext_addr_simm10 (self, info, code, inst, errors);
23369 case 90:
193614f2 23370 return aarch64_ext_addr_uimm12 (self, info, code, inst, errors);
550fd7bf 23371 case 93:
bd7ceb8d 23372 return aarch64_ext_addr_offset (self, info, code, inst, errors);
550fd7bf 23373 case 94:
bd7ceb8d 23374 return aarch64_ext_simd_addr_post (self, info, code, inst, errors);
550fd7bf 23375 case 95:
bd7ceb8d 23376 return aarch64_ext_sysreg (self, info, code, inst, errors);
550fd7bf 23377 case 96:
bd7ceb8d 23378 return aarch64_ext_pstatefield (self, info, code, inst, errors);
4df068de
RS
23379 case 97:
23380 case 98:
23381 case 99:
23382 case 100:
550fd7bf 23383 case 101:
bd7ceb8d 23384 return aarch64_ext_sysins_op (self, info, code, inst, errors);
4df068de 23385 case 102:
550fd7bf 23386 case 103:
bd7ceb8d 23387 return aarch64_ext_barrier (self, info, code, inst, errors);
550fd7bf 23388 case 104:
bd7ceb8d 23389 return aarch64_ext_prfop (self, info, code, inst, errors);
4df068de 23390 case 105:
550fd7bf 23391 case 106:
bd7ceb8d 23392 return aarch64_ext_hint (self, info, code, inst, errors);
550fd7bf 23393 case 107:
bd7ceb8d 23394 return aarch64_ext_sve_addr_ri_s4 (self, info, code, inst, errors);
4df068de 23395 case 108:
2442d846 23396 case 109:
4df068de 23397 case 110:
550fd7bf 23398 case 111:
bd7ceb8d 23399 return aarch64_ext_sve_addr_ri_s4xvl (self, info, code, inst, errors);
550fd7bf 23400 case 112:
bd7ceb8d 23401 return aarch64_ext_sve_addr_ri_s6xvl (self, info, code, inst, errors);
550fd7bf 23402 case 113:
bd7ceb8d 23403 return aarch64_ext_sve_addr_ri_s9xvl (self, info, code, inst, errors);
4df068de
RS
23404 case 114:
23405 case 115:
23406 case 116:
550fd7bf 23407 case 117:
bd7ceb8d 23408 return aarch64_ext_sve_addr_ri_u6 (self, info, code, inst, errors);
4df068de 23409 case 118:
4df068de 23410 case 119:
98907a70 23411 case 120:
4df068de 23412 case 121:
98907a70 23413 case 122:
98907a70 23414 case 123:
98907a70 23415 case 124:
98907a70 23416 case 125:
e950b345 23417 case 126:
98907a70 23418 case 127:
e950b345 23419 case 128:
c84364ec 23420 case 129:
550fd7bf 23421 case 130:
c2c4ff8d 23422 case 131:
c469c864 23423 return aarch64_ext_sve_addr_rr_lsl (self, info, code, inst, errors);
165d4950 23424 case 132:
e950b345 23425 case 133:
582e12bf 23426 case 134:
f42f1a1d 23427 case 135:
c2c4ff8d 23428 case 136:
c2c4ff8d 23429 case 137:
550fd7bf 23430 case 138:
c8d59609 23431 case 139:
c469c864 23432 return aarch64_ext_sve_addr_rz_xtw (self, info, code, inst, errors);
369c9167 23433 case 140:
2ac435d4 23434 case 141:
550fd7bf 23435 case 142:
550fd7bf 23436 case 143:
c469c864 23437 return aarch64_ext_sve_addr_zi_u5 (self, info, code, inst, errors);
550fd7bf 23438 case 144:
c469c864 23439 return aarch64_ext_sve_addr_zz_lsl (self, info, code, inst, errors);
550fd7bf 23440 case 145:
c469c864 23441 return aarch64_ext_sve_addr_zz_sxtw (self, info, code, inst, errors);
550fd7bf 23442 case 146:
c469c864 23443 return aarch64_ext_sve_addr_zz_uxtw (self, info, code, inst, errors);
bd7ceb8d 23444 case 147:
c469c864
MM
23445 return aarch64_ext_sve_aimm (self, info, code, inst, errors);
23446 case 148:
fb3265b3 23447 return aarch64_ext_sve_asimm (self, info, code, inst, errors);
550fd7bf 23448 case 150:
c469c864 23449 return aarch64_ext_sve_float_half_one (self, info, code, inst, errors);
bd7ceb8d 23450 case 151:
c469c864
MM
23451 return aarch64_ext_sve_float_half_two (self, info, code, inst, errors);
23452 case 152:
561a72d4 23453 return aarch64_ext_sve_float_zero_one (self, info, code, inst, errors);
c469c864 23454 case 156:
fb3265b3 23455 return aarch64_ext_inv_limm (self, info, code, inst, errors);
c469c864 23456 case 158:
fb3265b3 23457 return aarch64_ext_sve_limm_mov (self, info, code, inst, errors);
c469c864 23458 case 160:
561a72d4 23459 return aarch64_ext_sve_scale (self, info, code, inst, errors);
fb3265b3 23460 case 172:
bd7ceb8d 23461 case 173:
adccc507 23462 case 174:
28ed815a 23463 return aarch64_ext_sve_shlimm (self, info, code, inst, errors);
c469c864 23464 case 175:
3c17238b 23465 case 176:
28ed815a 23466 case 177:
561a72d4 23467 return aarch64_ext_sve_shrimm (self, info, code, inst, errors);
116adc27 23468 case 195:
c469c864 23469 case 196:
3c17238b 23470 case 197:
31e36ab3 23471 case 198:
28ed815a 23472 case 199:
fb3265b3 23473 return aarch64_ext_sve_quad_index (self, info, code, inst, errors);
31e36ab3 23474 case 201:
28ed815a
MM
23475 return aarch64_ext_sve_index (self, info, code, inst, errors);
23476 case 202:
23477 case 204:
561a72d4 23478 return aarch64_ext_sve_reglist (self, info, code, inst, errors);
a06ea964
NC
23479 default: assert (0); abort ();
23480 }
23481}