# Makefile fragment for common parts of all simulators. # Copyright (C) 1997 Free Software Foundation, Inc. # Contributed by Cygnus Support. # This program is free software; you can redistribute it and/or modify # it under the terms of the GNU General Public License as published by # the Free Software Foundation; either version 2 of the License, or # (at your option) any later version. # # This program is distributed in the hope that it will be useful, # but WITHOUT ANY WARRANTY; without even the implied warranty of # MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the # GNU General Public License for more details. # # You should have received a copy of the GNU General Public License # along with this program; if not, write to the Free Software # Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA. # This Makefile fragment consists of two separate parts. # They are merged into the final Makefile at points denoted by # "## COMMON_PRE_CONFIG_FRAG" and "## COMMON_POST_CONFIG_FRAG". # # The target Makefile should look like: # #># Copyright blah blah #> #>## COMMON_PRE_CONFIG_FRAG #> #># Any overrides necessary for the SIM_FOO config vars. #>SIM_FOO = ... #> #>## COMMON_POST_CONFIG_FRAG #> #># Rules to build target specific .o's. ## COMMON_PRE_CONFIG_FRAG VPATH = @srcdir@ srcdir = @srcdir@ srccom = $(srcdir)/../common srcroot = $(srcdir)/../.. prefix = @prefix@ exec_prefix = @exec_prefix@ host_alias = @host_alias@ target_alias = @target_alias@ program_transform_name = @program_transform_name@ bindir = @bindir@ libdir = @libdir@ tooldir = $(libdir)/$(target_alias) datadir = @datadir@ mandir = @mandir@ man1dir = $(mandir)/man1 infodir = @infodir@ includedir = @includedir@ SHELL = @SHELL@ INSTALL = @INSTALL@ INSTALL_PROGRAM = @INSTALL_PROGRAM@ INSTALL_DATA = @INSTALL_DATA@ CC = @CC@ CC_FOR_BUILD = @CC_FOR_BUILD@ CFLAGS = @CFLAGS@ SIM_BSWAP = @sim_bswap@ SIM_CFLAGS = @sim_cflags@ SIM_DEBUG = @sim_debug@ SIM_TRACE = @sim_trace@ SIM_PROFILE = @sim_profile@ SIM_ASSERT = @sim_assert@ SIM_ALIGNMENT = @sim_alignment@ SIM_BITSIZE = @sim_bitsize@ SIM_DEFAULT_MODEL = @sim_default_model@ SIM_ENDIAN = @sim_endian@ SIM_ENVIRONMENT = @sim_environment@ SIM_FLOAT = @sim_float@ SIM_HARDWARE = @sim_hardware@ SIM_HW_OBJS = @sim_hw_obj@ SIM_DV_OBJS = @sim_dv_obj@ SIM_DV_SRC = @sim_dv_src@ SIM_HOSTENDIAN = @sim_hostendian@ SIM_INLINE = @sim_inline@ SIM_PACKAGES = @sim_packages@ SIM_REGPARM = @sim_regparm@ SIM_RESERVED_BITS = @sim_reserved_bits@ SIM_SCACHE = @sim_scache@ SIM_SMP = @sim_smp@ SIM_STDCALL = @sim_stdcall@ SIM_WARNINGS = @sim_warnings@ SIM_XOR_ENDIAN = @sim_xor_endian@ HDEFINES = @HDEFINES@ TDEFINES = AR = @AR@ AR_FLAGS = rc RANLIB = @RANLIB@ MAKEINFO = makeinfo DEP = $(srcroot)/mkdep # Each simulator's Makefile.in defines one or more of these variables # to override our settings as necessary. There is no need to define these # in the simulator's Makefile.in if one is using the default value. In fact # it's preferable not to. # List of object files, less common parts. SIM_OBJS = # List of extra dependencies. # Generally this consists of simulator specific files included by sim-main.h. SIM_EXTRA_DEPS = # List of flags to always pass to $(CC). SIM_EXTRA_CFLAGS = # List of extra libraries to link with. SIM_EXTRA_LIBS = # List of extra program dependencies. SIM_EXTRA_LIBDEPS = # List of main object files for `run'. SIM_RUN_OBJS = run.o # Dependency of `all' to build any extra files. SIM_EXTRA_ALL = # Dependency of `install' to install any extra files. SIM_EXTRA_INSTALL = # Dependency of `clean' to clean any extra files. SIM_EXTRA_CLEAN = # Every time a new general purpose source file was added every target's # Makefile.in needed to be updated to include the file in SIM_OBJS. # This doesn't scale. # This variable specifies all the generic stuff common to the newer simulators. # Things like sim-reason.o can't go here as the cpu may provide its own # (though hopefully in time that won't be so). Things like sim-bits.o can go # here. Some files are used by all simulators (e.g. callback.o). # Those files are specified in LIB_OBJS below. SIM_NEW_COMMON_OBJS = \ sim-bits.o \ sim-break.o \ sim-config.o \ sim-core.o \ sim-endian.o \ sim-events.o \ sim-fpu.o \ sim-io.o \ sim-info.o \ sim-load.o \ sim-memopt.o \ sim-module.o \ sim-options.o \ sim-profile.o \ sim-signal.o \ sim-trace.o \ sim-utils.o \ sim-watch.o \ \ $(SIM_HW_OBJS) \ $(SIM_DV_OBJS) \ ## End COMMON_PRE_CONFIG_FRAG ## COMMON_POST_CONFIG_FRAG CONFIG_CFLAGS = \ @DEFS@ \ $(SIM_CFLAGS) \ $(SIM_DEBUG) \ $(SIM_DEFAULT_MODEL) \ $(SIM_TRACE) \ $(SIM_PROFILE) \ $(SIM_BSWAP) \ $(SIM_ASSERT) \ $(SIM_ALIGNMENT) \ $(SIM_BITSIZE) \ $(SIM_ENDIAN) \ $(SIM_ENVIRONMENT) \ $(SIM_FLOAT) \ $(SIM_HARDWARE) \ $(SIM_HOSTENDIAN) \ $(SIM_INLINE) \ $(SIM_PACKAGES) \ $(SIM_REGPARM) \ $(SIM_RESERVED_BITS) \ $(SIM_SCACHE) \ $(SIM_SMP) \ $(SIM_STDCALL) \ $(SIM_WARNINGS) \ $(SIM_XOR_ENDIAN) \ $(SIM_HARDWARE) \ $(SIM_EXTRA_CFLAGS) \ $(HDEFINES) $(TDEFINES) CSEARCH = -I. -I$(srcdir) -I../common -I$(srccom) \ -I../../include -I$(srcroot)/include \ -I../../bfd -I$(srcroot)/bfd \ -I../../opcodes -I$(srcroot)/opcodes ALL_CFLAGS = $(CONFIG_CFLAGS) $(CSEARCH) $(CFLAGS) BUILD_CFLAGS = -g -O $(CSEARCH) COMMON_DEP_CFLAGS = $(CONFIG_CFLAGS) $(CSEARCH) LIBIBERTY_LIB = ../../libiberty/libiberty.a BFD_LIB = ../../bfd/libbfd.a OPCODES_LIB = ../../opcodes/libopcodes.a CONFIG_LIBS = @LIBS@ LIBDEPS = $(BFD_LIB) $(OPCODES_LIB) $(LIBIBERTY_LIB) \ $(SIM_EXTRA_LIBDEPS) EXTRA_LIBS = $(BFD_LIB) $(OPCODES_LIB) $(LIBIBERTY_LIB) \ $(CONFIG_LIBS) $(SIM_EXTRA_LIBS) LIB_OBJS = callback.o syscall.o targ-map.o $(SIM_OBJS) all: $(SIM_EXTRA_ALL) libsim.a run .gdbinit libsim.a: $(LIB_OBJS) rm -f libsim.a $(AR) $(AR_FLAGS) libsim.a $(LIB_OBJS) $(RANLIB) libsim.a run: $(SIM_RUN_OBJS) libsim.a $(LIBDEPS) $(CC) $(ALL_CFLAGS) -o run \ $(SIM_RUN_OBJS) libsim.a $(EXTRA_LIBS) run.o: $(srccom)/run.c config.h tconfig.h \ $(srcroot)/include/remote-sim.h $(srcroot)/include/callback.h $(CC) -c $(srccom)/run.c $(ALL_CFLAGS) # FIXME: Ideally, callback.o and friends live in a library outside of # both the gdb and simulator source trees (e.g. devo/remote. Not # devo/libremote because this directory would contain more than just # a library). callback.o: $(srccom)/callback.c config.h tconfig.h \ $(srcroot)/include/callback.h targ-vals.h $(CC) -c $(srccom)/callback.c $(ALL_CFLAGS) syscall.o: $(srccom)/syscall.c config.h tconfig.h \ $(srcroot)/include/callback.h targ-vals.h $(CC) -c $(srccom)/syscall.c $(ALL_CFLAGS) targ-map.o: targ-map.c targ-vals.h gentmap: Makefile $(srccom)/gentmap.c targ-vals.def $(CC_FOR_BUILD) $(srccom)/gentmap.c -o gentmap $(BUILD_CFLAGS) $(NL_TARGET) targ-vals.h targ-map.c: stamp-tvals stamp-tvals: gentmap rm -f tmp-tvals.h tmp-tmap.c ./gentmap -h >tmp-tvals.h $(SHELL) $(srcroot)/move-if-change tmp-tvals.h targ-vals.h ./gentmap -c >tmp-tmap.c $(SHELL) $(srcroot)/move-if-change tmp-tmap.c targ-map.c touch stamp-tvals # # Rules for building sim-* components. Triggered by listing the corresponding # .o file in the list of simulator targets. # sim_main_headers = \ sim-main.h \ $(srccom)/sim-config.h \ $(srccom)/sim-base.h \ $(srccom)/sim-basics.h \ $(srccom)/sim-signal.h \ $(srccom)/sim-memopt.h \ $(srccom)/sim-model.h \ $(srccom)/sim-module.h \ $(srccom)/sim-trace.h \ $(srccom)/sim-profile.h \ $(srccom)/sim-engine.h \ $(srccom)/sim-events.h \ $(srccom)/sim-watch.h \ $(srccom)/sim-assert.h \ tconfig.h \ $(SIM_EXTRA_DEPS) sim-assert_h = $(srccom)/sim-assert.h sim-endian_h = $(srccom)/sim-endian.h sim-n-endian_h = $(srccom)/sim-n-endian.h sim-bits_h = $(srccom)/sim-bits.h sim-config_h = $(srccom)/sim-config.h sim-n-bits_h = $(srccom)/sim-n-bits.h sim-core_h = $(srccom)/sim-core.h sim-n-core_h = $(srccom)/sim-n-core.h sim-engine_h = $(srccom)/sim-engine.h sim-events_h = $(srccom)/sim-events.h sim-fpu_h = $(srccom)/sim-fpu.h sim-io_h = $(srccom)/sim-io.h sim-options_h = $(srccom)/sim-options.h sim-break_h = $(srccom)/sim-break.h sim-signal_h = $(srccom)/sim-signal.h hw-base_h = $(srccom)/hw-base.h hw-device_h = $(srccom)/hw-device.h hw-handles_h = #$(srccom)/hw-handles.h hw-instances_h = #$(srccom)/hw-instances.h hw-ports_h = $(srccom)/hw-ports.h hw-properties_h = $(srccom)/hw-properties.h hw-tree_h = $(srccom)/hw-tree.h hw_base_headers = \ $(hw-base_h) \ $(hw-device_h) \ $(hw-instances_h) \ $(hw-handles_h) \ $(hw-ports_h) \ $(hw-properties_h) \ # FIXME: If this complicated way of building .o files from ../common is # necessary, the reason should be documented here. BUILT_SRC_FROM_COMMON= \ sim-inline.c sim-abort.o: $(srccom)/sim-abort.c \ $(SIM_EXTRA_DEPS) $(CC) -c $(srccom)/sim-abort.c $(ALL_CFLAGS) sim-bits.o: $(srccom)/sim-bits.c $(sim-bits_h) $(sim-n-bits_h) \ $(SIM_EXTRA_DEPS) $(CC) -c $(srccom)/sim-bits.c $(ALL_CFLAGS) sim-config.o: $(srccom)/sim-config.c $(sim-config_h) \ $(SIM_EXTRA_DEPS) $(CC) -c $(srccom)/sim-config.c $(ALL_CFLAGS) sim-core.o: $(srccom)/sim-core.c $(sim_main_headers) \ $(sim-core_h) $(sim-n-core_h) \ $(SIM_EXTRA_DEPS) $(CC) -c $(srccom)/sim-core.c $(ALL_CFLAGS) sim-endian.o: $(srccom)/sim-endian.c $(sim-endian_h) $(sim-n-endian_h) \ $(SIM_EXTRA_DEPS) $(CC) -c $(srccom)/sim-endian.c $(ALL_CFLAGS) sim-engine.o: $(srccom)/sim-engine.c $(sim_main_headers) $(sim-engine_h) $(CC) -c $(srccom)/sim-engine.c $(ALL_CFLAGS) sim-events.o: $(srccom)/sim-events.c $(sim-events_h) \ $(SIM_EXTRA_DEPS) $(CC) -c $(srccom)/sim-events.c $(ALL_CFLAGS) sim-fpu.o: $(srccom)/sim-fpu.c $(sim-fpu_h) \ $(SIM_EXTRA_DEPS) $(CC) -c $(srccom)/sim-fpu.c $(ALL_CFLAGS) sim-hload.o: $(srccom)/sim-hload.c $(sim-assert_h) \ $(srcroot)/include/remote-sim.h \ $(SIM_EXTRA_DEPS) $(CC) -c $(srccom)/sim-hload.c $(ALL_CFLAGS) sim-hrw.o: $(srccom)/sim-hrw.c $(sim-assert_h) $(sim_core_h) \ $(srcroot)/include/remote-sim.h \ $(SIM_EXTRA_DEPS) $(CC) -c $(srccom)/sim-hrw.c $(ALL_CFLAGS) sim-info.o: $(srccom)/sim-info.c $(sim-assert_h) \ $(srcroot)/include/remote-sim.h \ $(SIM_EXTRA_DEPS) $(CC) -c $(srccom)/sim-info.c $(ALL_CFLAGS) sim-inline.c: $(srccom)/sim-inline.c rm -f $@ tmp-$@ echo "# 1 \"$(srccom)/$@\"" > tmp-$@ cat $(srccom)/$@ >> tmp-$@ $(SHELL) $(srcdir)/../../move-if-change tmp-$@ $@ sim-io.o: $(srccom)/sim-io.c $(sim_main_headers) $(sim-io_h) \ $(srcroot)/include/remote-sim.h $(CC) -c $(srccom)/sim-io.c $(ALL_CFLAGS) sim-memopt.o: $(srccom)/sim-memopt.c $(sim_main_headers) \ $(sim-io_h) $(CC) -c $(srccom)/sim-memopt.c $(ALL_CFLAGS) sim-module.o: $(srccom)/sim-module.c $(sim_main_headers) \ $(sim-io_h) $(CC) -c $(srccom)/sim-module.c $(ALL_CFLAGS) sim-options.o: $(srccom)/sim-options.c $(sim_main_headers) \ $(sim-options_h) $(sim-io_h) $(CC) -c $(srccom)/sim-options.c $(ALL_CFLAGS) sim-reason.o: $(srccom)/sim-reason.c $(sim_main_headers) \ $(srcroot)/include/remote-sim.h $(CC) -c $(srccom)/sim-reason.c $(ALL_CFLAGS) sim-resume.o: $(srccom)/sim-resume.c $(sim_main_headers) \ $(srcroot)/include/remote-sim.h $(CC) -c $(srccom)/sim-resume.c $(ALL_CFLAGS) sim-run.o: $(srccom)/sim-run.c $(sim_main_headers) $(CC) -c $(srccom)/sim-run.c $(ALL_CFLAGS) sim-signal.o: $(srccom)/sim-signal.c $(sim_main_headers) $(sim-signal_h) $(CC) -c $(srccom)/sim-signal.c $(ALL_CFLAGS) sim-stop.o: $(srccom)/sim-stop.c $(sim_main_headers) $(CC) -c $(srccom)/sim-stop.c $(ALL_CFLAGS) sim-trace.o: $(srccom)/sim-trace.c $(sim_main_headers) \ $(sim-options_h) $(sim-io_h) $(CC) -c $(srccom)/sim-trace.c $(ALL_CFLAGS) sim-profile.o: $(srccom)/sim-profile.c $(sim_main_headers) \ $(sim-options_h) $(sim-io_h) $(CC) -c $(srccom)/sim-profile.c $(ALL_CFLAGS) sim-model.o: $(srccom)/sim-model.c $(sim_main_headers) \ $(sim-io_h) $(CC) -c $(srccom)/sim-model.c $(ALL_CFLAGS) sim-utils.o: $(srccom)/sim-utils.c $(sim_main_headers) $(CC) -c $(srccom)/sim-utils.c $(ALL_CFLAGS) sim-watch.o: $(srccom)/sim-watch.c $(sim_main_headers) $(CC) -c $(srccom)/sim-watch.c $(ALL_CFLAGS) sim-load.o: $(srccom)/sim-load.c $(srcroot)/include/callback.h $(CC) -c $(srccom)/sim-load.c $(ALL_CFLAGS) sim-break.o: $(srccom)/sim-break.c $(sim_main_headers) \ $(sim_break_h) $(CC) -c $(srccom)/sim-break.c $(ALL_CFLAGS) hw-base.o: $(srccom)/hw-base.c $(sim_main_headers) \ $(hw_base_headers) $(CC) -c $(srccom)/hw-base.c $(ALL_CFLAGS) hw-device.o: $(srccom)/hw-device.c $(sim_main_headers) \ $(hw-device_h) $(CC) -c $(srccom)/hw-device.c $(ALL_CFLAGS) hw-instances.o: $(srccom)/hw-instances.c $(sim_main_headers) \ $(hw_base_headers) $(CC) -c $(srccom)/hw-instances.c $(ALL_CFLAGS) hw-handles.o: $(srccom)/hw-handles.c $(sim_main_headers) \ $(hw_base_headers) $(CC) -c $(srccom)/hw-handles.c $(ALL_CFLAGS) hw-ports.o: $(srccom)/hw-ports.c $(sim_main_headers) \ $(hw-ports_h) $(CC) -c $(srccom)/hw-ports.c $(ALL_CFLAGS) hw-properties.o: $(srccom)/hw-properties.c $(sim_main_headers) \ $(hw-properties_h) $(CC) -c $(srccom)/hw-properties.c $(ALL_CFLAGS) hw-tree.o: $(srccom)/hw-tree.c $(sim_main_headers) \ $(hw-tree_h) $(hw_base_headers) $(CC) -c $(srccom)/hw-tree.c $(ALL_CFLAGS) dv-core.o: $(srccom)/dv-core.c $(sim_main_headers) \ $(sim-main_h) $(hw_base_headers) $(CC) -c $(srccom)/dv-core.c $(ALL_CFLAGS) dv-glue.o: $(srccom)/dv-glue.c $(sim_main_headers) \ $(sim-main_h) $(hw_base_headers) $(CC) -c $(srccom)/dv-glue.c $(ALL_CFLAGS) dv-pal.o: $(srccom)/dv-pal.c $(sim_main_headers) \ $(sim-main_h) $(hw_base_headers) $(CC) -c $(srccom)/dv-pal.c $(ALL_CFLAGS) nrun.o: $(srccom)/nrun.c config.h tconfig.h \ $(srcroot)/include/remote-sim.h $(srcroot)/include/callback.h \ $(sim_main_headers) $(CC) -c $(srccom)/nrun.c $(ALL_CFLAGS) # Devices. dv-sockser.o: $(srccom)/dv-sockser.h $(sim_main_headers) $(CC) -c $(srccom)/dv-sockser.c $(ALL_CFLAGS) # CGEN support. cgen-run.o: $(srccom)/cgen-run.c $(sim_main_headers) \ $(srccom)/cgen-mem.h $(srccom)/cgen-ops.h $(CC) -c $(srccom)/cgen-run.c $(ALL_CFLAGS) cgen-scache.o: $(srccom)/cgen-scache.c $(sim_main_headers) $(CC) -c $(srccom)/cgen-scache.c $(ALL_CFLAGS) cgen-trace.o: $(srccom)/cgen-trace.c $(sim_main_headers) \ $(srccom)/cgen-trace.h $(CC) -c $(srccom)/cgen-trace.c $(ALL_CFLAGS) cgen-utils.o: $(srccom)/cgen-utils.c $(sim_main_headers) \ $(srccom)/cgen-mem.h $(srccom)/cgen-ops.h $(CC) -c $(srccom)/cgen-utils.c $(ALL_CFLAGS) # Support targets. install: install-common $(SIM_EXTRA_INSTALL) install-common: installdirs n=`echo run | sed '$(program_transform_name)'`; \ $(INSTALL_PROGRAM) run $(bindir)/$$n installdirs: $(SHELL) $(srcdir)/../../mkinstalldirs $(bindir) check: cd ../testsuite && $(MAKE) check info: clean-info: install-info: .NOEXPORT: MAKEOVERRIDES= tags etags: TAGS # Macros like EXTERN_SIM_CORE confuse tags. # And the sim-n-foo.h files create functions that can't be found either. TAGS: force cd $(srcdir) && \ etags --regex '/^\([a-z_]+\) (/\1/' --regex '/^\/[*] TAGS: .*/' \ *.[ch] ../common/*.[ch] clean: $(SIM_EXTRA_CLEAN) rm -f *.[oa] *~ core rm -f run libsim.a rm -f gentmap targ-map.c targ-vals.h stamp-tvals if [ ! -f Make-common.in ] ; then \ rm -f $(BUILT_SRC_FROM_COMMON) ; \ fi distclean mostlyclean maintainer-clean realclean: clean rm -f TAGS rm -f Makefile config.cache config.log config.status .gdbinit rm -f tconfig.h config.h stamp-h rm -f targ-vals.def .c.o: $(CC) -c $(ALL_CFLAGS) $< # Dummy target to force execution of dependent targets. force: Makefile: Makefile.in $(srccom)/Make-common.in config.status CONFIG_HEADERS= $(SHELL) ./config.status config.status: configure $(SHELL) ./config.status --recheck config.h: stamp-h ; @true stamp-h: config.in config.status CONFIG_FILES= CONFIG_HEADERS=config.h:config.in $(SHELL) ./config.status .gdbinit: # config.status $(srccom)/gdbinit.in CONFIG_FILES=$@:../common/gdbinit.in CONFIG_HEADERS= $(SHELL) ./config.status # start-sanitize-cygnus # CGEN support SCHEME = @SCHEME@ SCHEMEFLAGS = -s srccgen = $(srcroot)/cgen CGEN_VERBOSE = -v CGEN_MAIN_SCM = $(srccgen)/object.scm $(srccgen)/utils.scm \ $(srccgen)/attr.scm $(srccgen)/enum.scm $(srccgen)/types.scm \ $(srccgen)/utils-cgen.scm $(srccgen)/cpu.scm \ $(srccgen)/mode.scm $(srccgen)/mach.scm \ $(srccgen)/model.scm $(srccgen)/hardware.scm \ $(srccgen)/ifield.scm $(srccgen)/iformat.scm \ $(srccgen)/operand.scm $(srccgen)/insn.scm \ $(srccgen)/cdl-c.scm $(srccgen)/sim.scm CGEN_CPU_SCM = $(srccgen)/sim-cpu.scm $(srccgen)/sim-model.scm CGEN_DECODE_SCM = $(srccgen)/sim-decode.scm # Various choices for which cpu specific files to generate. CGEN_CPU_EXTR = -E tmp-ext.c1 CGEN_CPU_READ = -R tmp-read.c1 CGEN_CPU_SEM = -S tmp-sem.c1 CGEN_CPU_SEMSW = -W tmp-semsw.c1 # We store the generated files in the source directory until we decide to # ship a Scheme interpreter with gdb/binutils. Maybe we never will. cgen-arch: force $(SHELL) $(srccom)/cgen.sh arch $(srcdir) \ $(SCHEME) $(SCHEMEFLAGS) \ $(srccgen) $(CGEN_VERBOSE) \ $(arch) "$(FLAGS)" ignored ignored ignored ignored cgen-cpu: force $(SHELL) $(srccom)/cgen.sh cpu $(srcdir) \ $(SCHEME) $(SCHEMEFLAGS) \ $(srccgen) $(CGEN_VERBOSE) \ $(arch) "$(FLAGS)" $(cpu) $(mach) "$(SUFFIX)" "$(EXTRAFILES)" cgen-decode: force $(SHELL) $(srccom)/cgen.sh decode $(srcdir) \ $(SCHEME) $(SCHEMEFLAGS) \ $(srccgen) $(CGEN_VERBOSE) \ $(arch) "$(FLAGS)" $(cpu) $(mach) "$(SUFFIX)" ignored # end-sanitize-cygnus ## End COMMON_POST_CONFIG_FRAG