]> git.ipfire.org Git - thirdparty/binutils-gdb.git/blobdiff - sim/Makefile.in
sim: m32r: enable warnings in traps.c
[thirdparty/binutils-gdb.git] / sim / Makefile.in
index bdb407f8446ec2e8a325338331648af4cb8f3889..6da4ea2a9466ea67094743064f43519971ffb88f 100644 (file)
@@ -107,22 +107,22 @@ POST_UNINSTALL = :
 build_triplet = @build@
 host_triplet = @host@
 target_triplet = @target@
-check_PROGRAMS = $(am__EXEEXT_8) $(am__EXEEXT_9)
-noinst_PROGRAMS = $(am__EXEEXT_10) $(am__EXEEXT_11) $(am__EXEEXT_12) \
-       $(am__EXEEXT_13) $(am__EXEEXT_14) $(am__EXEEXT_15) \
-       $(am__EXEEXT_16) $(am__EXEEXT_17) $(am__EXEEXT_18) \
-       $(am__EXEEXT_19) $(am__EXEEXT_20) $(am__EXEEXT_21) \
-       $(am__EXEEXT_22) $(am__EXEEXT_23) $(am__EXEEXT_24) \
-       $(am__EXEEXT_25) $(am__EXEEXT_26) $(am__EXEEXT_27) \
-       $(am__EXEEXT_28) $(am__EXEEXT_29) $(am__EXEEXT_30) \
-       $(am__EXEEXT_31) $(am__EXEEXT_32) $(am__EXEEXT_33) \
-       $(am__EXEEXT_34) $(am__EXEEXT_35) $(am__EXEEXT_36) \
-       $(am__EXEEXT_37) $(am__EXEEXT_38) $(am__EXEEXT_39) \
-       $(am__EXEEXT_40) $(am__EXEEXT_41)
-EXTRA_PROGRAMS = $(am__EXEEXT_2) testsuite/common/bits-gen$(EXEEXT) \
-       testsuite/common/fpu-tst$(EXEEXT) $(am__EXEEXT_3) \
-       $(am__EXEEXT_4) $(am__EXEEXT_5) $(am__EXEEXT_6) \
-       $(am__EXEEXT_7)
+check_PROGRAMS = $(am__EXEEXT_9) $(am__EXEEXT_10)
+noinst_PROGRAMS = $(am__EXEEXT_11) $(am__EXEEXT_12) $(am__EXEEXT_13) \
+       $(am__EXEEXT_14) $(am__EXEEXT_15) $(am__EXEEXT_16) \
+       $(am__EXEEXT_17) $(am__EXEEXT_18) $(am__EXEEXT_19) \
+       $(am__EXEEXT_20) $(am__EXEEXT_21) $(am__EXEEXT_22) \
+       $(am__EXEEXT_23) $(am__EXEEXT_24) $(am__EXEEXT_25) \
+       $(am__EXEEXT_26) $(am__EXEEXT_27) $(am__EXEEXT_28) \
+       $(am__EXEEXT_29) $(am__EXEEXT_30) $(am__EXEEXT_31) \
+       $(am__EXEEXT_32) $(am__EXEEXT_33) $(am__EXEEXT_34) \
+       $(am__EXEEXT_35) $(am__EXEEXT_36) $(am__EXEEXT_37) \
+       $(am__EXEEXT_38) $(am__EXEEXT_39) $(am__EXEEXT_40) \
+       $(am__EXEEXT_41) $(am__EXEEXT_42)
+EXTRA_PROGRAMS = $(am__EXEEXT_1) testsuite/common/bits-gen$(EXEEXT) \
+       testsuite/common/fpu-tst$(EXEEXT) $(am__EXEEXT_2) \
+       $(am__EXEEXT_3) $(am__EXEEXT_4) $(am__EXEEXT_5) \
+       $(am__EXEEXT_7) $(am__EXEEXT_8)
 @ENABLE_SIM_TRUE@am__append_1 = \
 @ENABLE_SIM_TRUE@      $(srcroot)/include/sim/callback.h \
 @ENABLE_SIM_TRUE@      $(srcroot)/include/sim/sim.h
@@ -131,111 +131,93 @@ EXTRA_PROGRAMS = $(am__EXEEXT_2) testsuite/common/bits-gen$(EXEEXT) \
 @SIM_ENABLE_HW_TRUE@   $(SIM_COMMON_HW_OBJS) \
 @SIM_ENABLE_HW_TRUE@   $(SIM_HW_SOCKSER)
 
-@SIM_ENABLE_HW_TRUE@am__append_3 = SIM_HW_DEVICES_="$(SIM_HW_DEVICES)"
-@SIM_ENABLE_IGEN_TRUE@am__append_4 = $(IGEN)
-@SIM_ENABLE_IGEN_TRUE@am__append_5 = igen/libigen.a
-@SIM_ENABLE_IGEN_TRUE@am__append_6 = $(igen_IGEN_TOOLS)
-@SIM_ENABLE_IGEN_TRUE@am__append_7 = $(igen_IGEN_TOOLS)
 TESTS = testsuite/common/bits32m0$(EXEEXT) \
        testsuite/common/bits32m31$(EXEEXT) \
        testsuite/common/bits64m0$(EXEEXT) \
        testsuite/common/bits64m63$(EXEEXT) \
        testsuite/common/alu-tst$(EXEEXT)
-@SIM_ENABLE_ARCH_aarch64_TRUE@am__append_8 = aarch64/libsim.a
-@SIM_ENABLE_ARCH_aarch64_TRUE@am__append_9 = aarch64/run
-@SIM_ENABLE_ARCH_arm_TRUE@am__append_10 = arm/libsim.a
-@SIM_ENABLE_ARCH_arm_TRUE@am__append_11 = arm/run
-@SIM_ENABLE_ARCH_avr_TRUE@am__append_12 = avr/libsim.a
-@SIM_ENABLE_ARCH_avr_TRUE@am__append_13 = avr/run
-@SIM_ENABLE_ARCH_bfin_TRUE@am__append_14 = bfin/libsim.a
-@SIM_ENABLE_ARCH_bfin_TRUE@am__append_15 = bfin/run
-@SIM_ENABLE_ARCH_bfin_TRUE@am__append_16 = bfin_SIM_EXTRA_HW_DEVICES="$(bfin_SIM_EXTRA_HW_DEVICES)"
-@SIM_ENABLE_ARCH_bpf_TRUE@am__append_17 = bpf/libsim.a
-@SIM_ENABLE_ARCH_bpf_TRUE@am__append_18 = bpf/run
-@SIM_ENABLE_ARCH_bpf_TRUE@am__append_19 = \
-@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/eng-le.h \
-@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/eng-be.h
-
-@SIM_ENABLE_ARCH_bpf_TRUE@am__append_20 = $(bpf_BUILD_OUTPUTS)
-@SIM_ENABLE_ARCH_bpf_TRUE@am__append_21 = $(bpf_BUILD_OUTPUTS)
-@SIM_ENABLE_ARCH_cr16_TRUE@am__append_22 = cr16/libsim.a
-@SIM_ENABLE_ARCH_cr16_TRUE@am__append_23 = cr16/run
-@SIM_ENABLE_ARCH_cr16_TRUE@am__append_24 = cr16/simops.h
-@SIM_ENABLE_ARCH_cr16_TRUE@am__append_25 = $(cr16_BUILD_OUTPUTS)
-@SIM_ENABLE_ARCH_cr16_TRUE@am__append_26 = cr16/gencode
-@SIM_ENABLE_ARCH_cr16_TRUE@am__append_27 = $(cr16_BUILD_OUTPUTS)
-@SIM_ENABLE_ARCH_cris_TRUE@am__append_28 = cris/libsim.a
-@SIM_ENABLE_ARCH_cris_TRUE@am__append_29 = cris/run
-@SIM_ENABLE_ARCH_cris_TRUE@am__append_30 = cris_SIM_EXTRA_HW_DEVICES="$(cris_SIM_EXTRA_HW_DEVICES)"
-@SIM_ENABLE_ARCH_cris_TRUE@am__append_31 = cris/rvdummy
-@SIM_ENABLE_ARCH_cris_TRUE@am__append_32 = \
+@SIM_ENABLE_ARCH_aarch64_TRUE@am__append_3 = aarch64/libsim.a
+@SIM_ENABLE_ARCH_aarch64_TRUE@am__append_4 = aarch64/run
+@SIM_ENABLE_ARCH_arm_TRUE@am__append_5 = arm/libsim.a
+@SIM_ENABLE_ARCH_arm_TRUE@am__append_6 = arm/run
+@SIM_ENABLE_ARCH_avr_TRUE@am__append_7 = avr/libsim.a
+@SIM_ENABLE_ARCH_avr_TRUE@am__append_8 = avr/run
+@SIM_ENABLE_ARCH_bfin_TRUE@am__append_9 = bfin/libsim.a
+@SIM_ENABLE_ARCH_bfin_TRUE@am__append_10 = bfin/run
+@SIM_ENABLE_ARCH_bpf_TRUE@am__append_11 = bpf/libsim.a
+@SIM_ENABLE_ARCH_bpf_TRUE@am__append_12 = bpf/run
+@SIM_ENABLE_ARCH_cr16_TRUE@am__append_13 = cr16/libsim.a
+@SIM_ENABLE_ARCH_cr16_TRUE@am__append_14 = cr16/run
+@SIM_ENABLE_ARCH_cr16_TRUE@am__append_15 = cr16/simops.h
+@SIM_ENABLE_ARCH_cr16_TRUE@am__append_16 = cr16/gencode
+@SIM_ENABLE_ARCH_cr16_TRUE@am__append_17 = cr16/simops.h
+@SIM_ENABLE_ARCH_cr16_TRUE@am__append_18 = $(cr16_BUILD_OUTPUTS)
+@SIM_ENABLE_ARCH_cris_TRUE@am__append_19 = cris/libsim.a
+@SIM_ENABLE_ARCH_cris_TRUE@am__append_20 = cris/run
+@SIM_ENABLE_ARCH_cris_TRUE@am__append_21 = cris/rvdummy
+@SIM_ENABLE_ARCH_cris_TRUE@am__append_22 = \
 @SIM_ENABLE_ARCH_cris_TRUE@    cris/engv10.h \
 @SIM_ENABLE_ARCH_cris_TRUE@    cris/engv32.h
 
-@SIM_ENABLE_ARCH_cris_TRUE@am__append_33 = $(cris_BUILD_OUTPUTS)
-@SIM_ENABLE_ARCH_cris_TRUE@am__append_34 = $(cris_BUILD_OUTPUTS)
-@SIM_ENABLE_ARCH_d10v_TRUE@am__append_35 = d10v/libsim.a
-@SIM_ENABLE_ARCH_d10v_TRUE@am__append_36 = d10v/run
-@SIM_ENABLE_ARCH_d10v_TRUE@am__append_37 = d10v/simops.h
-@SIM_ENABLE_ARCH_d10v_TRUE@am__append_38 = $(d10v_BUILD_OUTPUTS)
-@SIM_ENABLE_ARCH_d10v_TRUE@am__append_39 = d10v/gencode
-@SIM_ENABLE_ARCH_d10v_TRUE@am__append_40 = $(d10v_BUILD_OUTPUTS)
-@SIM_ENABLE_ARCH_erc32_TRUE@am__append_41 = erc32/libsim.a
-@SIM_ENABLE_ARCH_erc32_TRUE@am__append_42 = erc32/run erc32/sis
-@SIM_ENABLE_ARCH_erc32_TRUE@am__append_43 = sim-%D-install-exec-local
-@SIM_ENABLE_ARCH_erc32_TRUE@am__append_44 = sim-erc32-uninstall-local
-@SIM_ENABLE_ARCH_examples_TRUE@am__append_45 = example-synacor/libsim.a
-@SIM_ENABLE_ARCH_examples_TRUE@am__append_46 = example-synacor/run
-@SIM_ENABLE_ARCH_frv_TRUE@am__append_47 = frv/libsim.a
-@SIM_ENABLE_ARCH_frv_TRUE@am__append_48 = frv/run
-@SIM_ENABLE_ARCH_frv_TRUE@am__append_49 = frv/eng.h
-@SIM_ENABLE_ARCH_frv_TRUE@am__append_50 = $(frv_BUILD_OUTPUTS)
-@SIM_ENABLE_ARCH_frv_TRUE@am__append_51 = $(frv_BUILD_OUTPUTS)
-@SIM_ENABLE_ARCH_ft32_TRUE@am__append_52 = ft32/libsim.a
-@SIM_ENABLE_ARCH_ft32_TRUE@am__append_53 = ft32/run
-@SIM_ENABLE_ARCH_h8300_TRUE@am__append_54 = h8300/libsim.a
-@SIM_ENABLE_ARCH_h8300_TRUE@am__append_55 = h8300/run
-@SIM_ENABLE_ARCH_iq2000_TRUE@am__append_56 = iq2000/libsim.a
-@SIM_ENABLE_ARCH_iq2000_TRUE@am__append_57 = iq2000/run
-@SIM_ENABLE_ARCH_iq2000_TRUE@am__append_58 = iq2000/eng.h
-@SIM_ENABLE_ARCH_iq2000_TRUE@am__append_59 = $(iq2000_BUILD_OUTPUTS)
-@SIM_ENABLE_ARCH_iq2000_TRUE@am__append_60 = $(iq2000_BUILD_OUTPUTS)
-@SIM_ENABLE_ARCH_lm32_TRUE@am__append_61 = lm32/libsim.a
-@SIM_ENABLE_ARCH_lm32_TRUE@am__append_62 = lm32/run
-@SIM_ENABLE_ARCH_lm32_TRUE@am__append_63 = lm32_SIM_EXTRA_HW_DEVICES="$(lm32_SIM_EXTRA_HW_DEVICES)"
-@SIM_ENABLE_ARCH_lm32_TRUE@am__append_64 = lm32/eng.h
-@SIM_ENABLE_ARCH_lm32_TRUE@am__append_65 = $(lm32_BUILD_OUTPUTS)
-@SIM_ENABLE_ARCH_lm32_TRUE@am__append_66 = $(lm32_BUILD_OUTPUTS)
-@SIM_ENABLE_ARCH_m32c_TRUE@am__append_67 = m32c/libsim.a
-@SIM_ENABLE_ARCH_m32c_TRUE@am__append_68 = m32c/run
-@SIM_ENABLE_ARCH_m32c_TRUE@am__append_69 = $(m32c_BUILD_OUTPUTS)
-@SIM_ENABLE_ARCH_m32c_TRUE@am__append_70 = m32c/opc2c
-@SIM_ENABLE_ARCH_m32c_TRUE@am__append_71 = \
+@SIM_ENABLE_ARCH_cris_TRUE@am__append_23 = cris/engv10.h cris/engv32.h
+@SIM_ENABLE_ARCH_cris_TRUE@am__append_24 = $(cris_BUILD_OUTPUTS)
+@SIM_ENABLE_ARCH_d10v_TRUE@am__append_25 = d10v/libsim.a
+@SIM_ENABLE_ARCH_d10v_TRUE@am__append_26 = d10v/run
+@SIM_ENABLE_ARCH_d10v_TRUE@am__append_27 = d10v/simops.h
+@SIM_ENABLE_ARCH_d10v_TRUE@am__append_28 = d10v/gencode
+@SIM_ENABLE_ARCH_d10v_TRUE@am__append_29 = d10v/simops.h
+@SIM_ENABLE_ARCH_d10v_TRUE@am__append_30 = $(d10v_BUILD_OUTPUTS)
+@SIM_ENABLE_ARCH_erc32_TRUE@am__append_31 = erc32/libsim.a
+@SIM_ENABLE_ARCH_erc32_TRUE@am__append_32 = erc32/run erc32/sis
+@SIM_ENABLE_ARCH_erc32_TRUE@am__append_33 = sim-%D-install-exec-local
+@SIM_ENABLE_ARCH_erc32_TRUE@am__append_34 = sim-erc32-uninstall-local
+@SIM_ENABLE_ARCH_examples_TRUE@am__append_35 = example-synacor/libsim.a
+@SIM_ENABLE_ARCH_examples_TRUE@am__append_36 = example-synacor/run
+@SIM_ENABLE_ARCH_frv_TRUE@am__append_37 = frv/libsim.a
+@SIM_ENABLE_ARCH_frv_TRUE@am__append_38 = frv/run
+@SIM_ENABLE_ARCH_frv_TRUE@am__append_39 = frv/eng.h
+@SIM_ENABLE_ARCH_frv_TRUE@am__append_40 = frv/eng.h
+@SIM_ENABLE_ARCH_frv_TRUE@am__append_41 = $(frv_BUILD_OUTPUTS)
+@SIM_ENABLE_ARCH_ft32_TRUE@am__append_42 = ft32/libsim.a
+@SIM_ENABLE_ARCH_ft32_TRUE@am__append_43 = ft32/run
+@SIM_ENABLE_ARCH_h8300_TRUE@am__append_44 = h8300/libsim.a
+@SIM_ENABLE_ARCH_h8300_TRUE@am__append_45 = h8300/run
+@SIM_ENABLE_ARCH_iq2000_TRUE@am__append_46 = iq2000/libsim.a
+@SIM_ENABLE_ARCH_iq2000_TRUE@am__append_47 = iq2000/run
+@SIM_ENABLE_ARCH_iq2000_TRUE@am__append_48 = iq2000/eng.h
+@SIM_ENABLE_ARCH_iq2000_TRUE@am__append_49 = iq2000/eng.h
+@SIM_ENABLE_ARCH_iq2000_TRUE@am__append_50 = $(iq2000_BUILD_OUTPUTS)
+@SIM_ENABLE_ARCH_lm32_TRUE@am__append_51 = lm32/libsim.a
+@SIM_ENABLE_ARCH_lm32_TRUE@am__append_52 = lm32/run
+@SIM_ENABLE_ARCH_lm32_TRUE@am__append_53 = lm32/eng.h
+@SIM_ENABLE_ARCH_lm32_TRUE@am__append_54 = lm32/eng.h
+@SIM_ENABLE_ARCH_lm32_TRUE@am__append_55 = $(lm32_BUILD_OUTPUTS)
+@SIM_ENABLE_ARCH_m32c_TRUE@am__append_56 = m32c/libsim.a
+@SIM_ENABLE_ARCH_m32c_TRUE@am__append_57 = m32c/run
+@SIM_ENABLE_ARCH_m32c_TRUE@am__append_58 = m32c/opc2c
+@SIM_ENABLE_ARCH_m32c_TRUE@am__append_59 = \
 @SIM_ENABLE_ARCH_m32c_TRUE@    $(m32c_BUILD_OUTPUTS) \
 @SIM_ENABLE_ARCH_m32c_TRUE@    m32c/m32c.c.log \
 @SIM_ENABLE_ARCH_m32c_TRUE@    m32c/r8c.c.log
 
-@SIM_ENABLE_ARCH_m32r_TRUE@am__append_72 = m32r/libsim.a
-@SIM_ENABLE_ARCH_m32r_TRUE@am__append_73 = m32r/run
-@SIM_ENABLE_ARCH_m32r_TRUE@am__append_74 = m32r_SIM_EXTRA_HW_DEVICES="$(m32r_SIM_EXTRA_HW_DEVICES)"
-@SIM_ENABLE_ARCH_m32r_TRUE@am__append_75 = \
+@SIM_ENABLE_ARCH_m32r_TRUE@am__append_60 = m32r/libsim.a
+@SIM_ENABLE_ARCH_m32r_TRUE@am__append_61 = m32r/run
+@SIM_ENABLE_ARCH_m32r_TRUE@am__append_62 = \
 @SIM_ENABLE_ARCH_m32r_TRUE@    m32r/eng.h \
 @SIM_ENABLE_ARCH_m32r_TRUE@    m32r/engx.h \
 @SIM_ENABLE_ARCH_m32r_TRUE@    m32r/eng2.h
 
-@SIM_ENABLE_ARCH_m32r_TRUE@am__append_76 = $(m32r_BUILD_OUTPUTS)
-@SIM_ENABLE_ARCH_m32r_TRUE@am__append_77 = $(m32r_BUILD_OUTPUTS)
-@SIM_ENABLE_ARCH_m68hc11_TRUE@am__append_78 = m68hc11/libsim.a
-@SIM_ENABLE_ARCH_m68hc11_TRUE@am__append_79 = m68hc11/run
-@SIM_ENABLE_ARCH_m68hc11_TRUE@am__append_80 = m68hc11_SIM_EXTRA_HW_DEVICES="$(m68hc11_SIM_EXTRA_HW_DEVICES)"
-@SIM_ENABLE_ARCH_m68hc11_TRUE@am__append_81 = $(m68hc11_BUILD_OUTPUTS)
-@SIM_ENABLE_ARCH_m68hc11_TRUE@am__append_82 = m68hc11/gencode
-@SIM_ENABLE_ARCH_m68hc11_TRUE@am__append_83 = $(m68hc11_BUILD_OUTPUTS)
-@SIM_ENABLE_ARCH_mcore_TRUE@am__append_84 = mcore/libsim.a
-@SIM_ENABLE_ARCH_mcore_TRUE@am__append_85 = mcore/run
-@SIM_ENABLE_ARCH_microblaze_TRUE@am__append_86 = microblaze/libsim.a
-@SIM_ENABLE_ARCH_microblaze_TRUE@am__append_87 = microblaze/run
-@SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_SINGLE_TRUE@am__append_88 = \
+@SIM_ENABLE_ARCH_m32r_TRUE@am__append_63 = m32r/eng.h m32r/engx.h m32r/eng2.h
+@SIM_ENABLE_ARCH_m32r_TRUE@am__append_64 = $(m32r_BUILD_OUTPUTS)
+@SIM_ENABLE_ARCH_m68hc11_TRUE@am__append_65 = m68hc11/libsim.a
+@SIM_ENABLE_ARCH_m68hc11_TRUE@am__append_66 = m68hc11/run
+@SIM_ENABLE_ARCH_m68hc11_TRUE@am__append_67 = m68hc11/gencode
+@SIM_ENABLE_ARCH_m68hc11_TRUE@am__append_68 = $(m68hc11_BUILD_OUTPUTS)
+@SIM_ENABLE_ARCH_mcore_TRUE@am__append_69 = mcore/libsim.a
+@SIM_ENABLE_ARCH_mcore_TRUE@am__append_70 = mcore/run
+@SIM_ENABLE_ARCH_microblaze_TRUE@am__append_71 = microblaze/libsim.a
+@SIM_ENABLE_ARCH_microblaze_TRUE@am__append_72 = microblaze/run
+@SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_SINGLE_TRUE@am__append_73 = \
 @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_SINGLE_TRUE@     mips/support.o \
 @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_SINGLE_TRUE@     mips/itable.o \
 @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_SINGLE_TRUE@     mips/semantics.o \
@@ -244,7 +226,7 @@ TESTS = testsuite/common/bits32m0$(EXEEXT) \
 @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_SINGLE_TRUE@     mips/engine.o \
 @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_SINGLE_TRUE@     mips/irun.o
 
-@SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_M16_TRUE@am__append_89 = \
+@SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_M16_TRUE@am__append_74 = \
 @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_M16_TRUE@        mips/m16_support.o \
 @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_M16_TRUE@        mips/m16_semantics.o \
 @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_M16_TRUE@        mips/m16_idecode.o \
@@ -258,38 +240,35 @@ TESTS = testsuite/common/bits32m0$(EXEEXT) \
 @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_M16_TRUE@        mips/itable.o \
 @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_M16_TRUE@        mips/m16run.o
 
-@SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_MULTI_TRUE@am__append_90 = \
+@SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_MULTI_TRUE@am__append_75 = \
 @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_MULTI_TRUE@      $(SIM_MIPS_MULTI_OBJ) \
 @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_MULTI_TRUE@      mips/itable.o \
 @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_MULTI_TRUE@      mips/multi-run.o
 
-@SIM_ENABLE_ARCH_mips_TRUE@am__append_91 = mips/libsim.a
-@SIM_ENABLE_ARCH_mips_TRUE@am__append_92 = mips/run
-@SIM_ENABLE_ARCH_mips_TRUE@am__append_93 = mips_SIM_EXTRA_HW_DEVICES="$(mips_SIM_EXTRA_HW_DEVICES)"
-@SIM_ENABLE_ARCH_mips_TRUE@am__append_94 = mips/itable.h \
+@SIM_ENABLE_ARCH_mips_TRUE@am__append_76 = mips/libsim.a
+@SIM_ENABLE_ARCH_mips_TRUE@am__append_77 = mips/run
+@SIM_ENABLE_ARCH_mips_TRUE@am__append_78 = mips/itable.h \
 @SIM_ENABLE_ARCH_mips_TRUE@    $(SIM_MIPS_MULTI_SRC)
-@SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_SINGLE_TRUE@am__append_95 = \
+@SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_SINGLE_TRUE@am__append_79 = \
 @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_SINGLE_TRUE@     $(mips_BUILT_SRC_FROM_GEN_MODE_SINGLE) \
 @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_SINGLE_TRUE@     mips/stamp-gen-mode-single
 
-@SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_M16_TRUE@am__append_96 = \
+@SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_M16_TRUE@am__append_80 = \
 @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_M16_TRUE@        $(mips_BUILT_SRC_FROM_GEN_MODE_M16_M16) \
 @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_M16_TRUE@        $(mips_BUILT_SRC_FROM_GEN_MODE_M16_M32) \
 @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_M16_TRUE@        mips/stamp-gen-mode-m16-m16 \
 @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_M16_TRUE@        mips/stamp-gen-mode-m16-m32
 
-@SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_MULTI_TRUE@am__append_97 = \
+@SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_MULTI_TRUE@am__append_81 = \
 @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_MULTI_TRUE@      $(SIM_MIPS_MULTI_SRC) \
 @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_MULTI_TRUE@      mips/stamp-gen-mode-multi-igen \
 @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_MULTI_TRUE@      mips/stamp-gen-mode-multi-run
 
-@SIM_ENABLE_ARCH_mips_TRUE@am__append_98 = $(mips_BUILD_OUTPUTS)
-@SIM_ENABLE_ARCH_mips_TRUE@am__append_99 = $(mips_BUILD_OUTPUTS)
-@SIM_ENABLE_ARCH_mips_TRUE@am__append_100 = mips/multi-include.h mips/multi-run.c
-@SIM_ENABLE_ARCH_mn10300_TRUE@am__append_101 = mn10300/libsim.a
-@SIM_ENABLE_ARCH_mn10300_TRUE@am__append_102 = mn10300/run
-@SIM_ENABLE_ARCH_mn10300_TRUE@am__append_103 = mn10300_SIM_EXTRA_HW_DEVICES="$(mn10300_SIM_EXTRA_HW_DEVICES)"
-@SIM_ENABLE_ARCH_mn10300_TRUE@am__append_104 = \
+@SIM_ENABLE_ARCH_mips_TRUE@am__append_82 = $(mips_BUILD_OUTPUTS)
+@SIM_ENABLE_ARCH_mips_TRUE@am__append_83 = mips/multi-include.h mips/multi-run.c
+@SIM_ENABLE_ARCH_mn10300_TRUE@am__append_84 = mn10300/libsim.a
+@SIM_ENABLE_ARCH_mn10300_TRUE@am__append_85 = mn10300/run
+@SIM_ENABLE_ARCH_mn10300_TRUE@am__append_86 = \
 @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/icache.h \
 @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/idecode.h \
 @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/semantics.h \
@@ -298,33 +277,47 @@ TESTS = testsuite/common/bits32m0$(EXEEXT) \
 @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/itable.h \
 @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/engine.h
 
-@SIM_ENABLE_ARCH_mn10300_TRUE@am__append_105 = $(mn10300_BUILD_OUTPUTS)
-@SIM_ENABLE_ARCH_mn10300_TRUE@am__append_106 = $(mn10300_BUILD_OUTPUTS)
-@SIM_ENABLE_ARCH_moxie_TRUE@am__append_107 = moxie/libsim.a
-@SIM_ENABLE_ARCH_moxie_TRUE@am__append_108 = moxie/run
-@SIM_ENABLE_ARCH_msp430_TRUE@am__append_109 = msp430/libsim.a
-@SIM_ENABLE_ARCH_msp430_TRUE@am__append_110 = msp430/run
-@SIM_ENABLE_ARCH_or1k_TRUE@am__append_111 = or1k/libsim.a
-@SIM_ENABLE_ARCH_or1k_TRUE@am__append_112 = or1k/run
-@SIM_ENABLE_ARCH_or1k_TRUE@am__append_113 = or1k/eng.h
-@SIM_ENABLE_ARCH_or1k_TRUE@am__append_114 = $(or1k_BUILD_OUTPUTS)
-@SIM_ENABLE_ARCH_or1k_TRUE@am__append_115 = $(or1k_BUILD_OUTPUTS)
-@SIM_ENABLE_ARCH_ppc_TRUE@am__append_116 = ppc/run ppc/psim
-@SIM_ENABLE_ARCH_pru_TRUE@am__append_117 = pru/libsim.a
-@SIM_ENABLE_ARCH_pru_TRUE@am__append_118 = pru/run
-@SIM_ENABLE_ARCH_riscv_TRUE@am__append_119 = riscv/run
-@SIM_ENABLE_ARCH_rl78_TRUE@am__append_120 = rl78/run
-@SIM_ENABLE_ARCH_rx_TRUE@am__append_121 = rx/run
-@SIM_ENABLE_ARCH_sh_TRUE@am__append_122 = sh/run
-@SIM_ENABLE_ARCH_sh_TRUE@am__append_123 = \
+@SIM_ENABLE_ARCH_mn10300_TRUE@am__append_87 = $(mn10300_BUILD_OUTPUTS)
+@SIM_ENABLE_ARCH_moxie_TRUE@am__append_88 = moxie/libsim.a
+@SIM_ENABLE_ARCH_moxie_TRUE@am__append_89 = moxie/run
+@SIM_ENABLE_ARCH_msp430_TRUE@am__append_90 = msp430/libsim.a
+@SIM_ENABLE_ARCH_msp430_TRUE@am__append_91 = msp430/run
+@SIM_ENABLE_ARCH_or1k_TRUE@am__append_92 = or1k/libsim.a
+@SIM_ENABLE_ARCH_or1k_TRUE@am__append_93 = or1k/run
+@SIM_ENABLE_ARCH_or1k_TRUE@am__append_94 = or1k/eng.h
+@SIM_ENABLE_ARCH_or1k_TRUE@am__append_95 = or1k/eng.h
+@SIM_ENABLE_ARCH_or1k_TRUE@am__append_96 = $(or1k_BUILD_OUTPUTS)
+@SIM_ENABLE_ARCH_ppc_TRUE@am__append_97 = ppc/libsim.a
+@SIM_ENABLE_ARCH_ppc_TRUE@am__append_98 = ppc/run
+@SIM_ENABLE_ARCH_ppc_TRUE@am__append_99 = ppc/defines.h ppc/icache.h \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/idecode.h ppc/semantics.h \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/model.h ppc/support.h \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/itable.h ppc/hw.h
+@SIM_ENABLE_ARCH_ppc_TRUE@am__append_100 = ppc/defines.h \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/stamp-defines \
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(ppc_BUILD_OUTPUTS) \
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(ppc_IGEN_TOOLS) ppc/libigen.a
+@SIM_ENABLE_ARCH_ppc_TRUE@am__append_101 = ppc/libigen.a
+@SIM_ENABLE_ARCH_ppc_TRUE@am__append_102 = $(ppc_IGEN_TOOLS)
+@SIM_ENABLE_ARCH_pru_TRUE@am__append_103 = pru/libsim.a
+@SIM_ENABLE_ARCH_pru_TRUE@am__append_104 = pru/run
+@SIM_ENABLE_ARCH_riscv_TRUE@am__append_105 = riscv/libsim.a
+@SIM_ENABLE_ARCH_riscv_TRUE@am__append_106 = riscv/run
+@SIM_ENABLE_ARCH_rl78_TRUE@am__append_107 = rl78/libsim.a
+@SIM_ENABLE_ARCH_rl78_TRUE@am__append_108 = rl78/run
+@SIM_ENABLE_ARCH_rx_TRUE@am__append_109 = rx/libsim.a
+@SIM_ENABLE_ARCH_rx_TRUE@am__append_110 = rx/run
+@SIM_ENABLE_ARCH_sh_TRUE@am__append_111 = sh/libsim.a
+@SIM_ENABLE_ARCH_sh_TRUE@am__append_112 = sh/run
+@SIM_ENABLE_ARCH_sh_TRUE@am__append_113 = \
 @SIM_ENABLE_ARCH_sh_TRUE@      sh/code.c \
 @SIM_ENABLE_ARCH_sh_TRUE@      sh/ppi.c
 
-@SIM_ENABLE_ARCH_sh_TRUE@am__append_124 = $(sh_BUILD_OUTPUTS)
-@SIM_ENABLE_ARCH_sh_TRUE@am__append_125 = sh/gencode
-@SIM_ENABLE_ARCH_sh_TRUE@am__append_126 = $(sh_BUILD_OUTPUTS)
-@SIM_ENABLE_ARCH_v850_TRUE@am__append_127 = v850/run
-@SIM_ENABLE_ARCH_v850_TRUE@am__append_128 = \
+@SIM_ENABLE_ARCH_sh_TRUE@am__append_114 = sh/gencode
+@SIM_ENABLE_ARCH_sh_TRUE@am__append_115 = $(sh_BUILD_OUTPUTS)
+@SIM_ENABLE_ARCH_v850_TRUE@am__append_116 = v850/libsim.a
+@SIM_ENABLE_ARCH_v850_TRUE@am__append_117 = v850/run
+@SIM_ENABLE_ARCH_v850_TRUE@am__append_118 = \
 @SIM_ENABLE_ARCH_v850_TRUE@    v850/icache.h \
 @SIM_ENABLE_ARCH_v850_TRUE@    v850/idecode.h \
 @SIM_ENABLE_ARCH_v850_TRUE@    v850/semantics.h \
@@ -333,8 +326,7 @@ TESTS = testsuite/common/bits32m0$(EXEEXT) \
 @SIM_ENABLE_ARCH_v850_TRUE@    v850/itable.h \
 @SIM_ENABLE_ARCH_v850_TRUE@    v850/engine.h
 
-@SIM_ENABLE_ARCH_v850_TRUE@am__append_129 = $(v850_BUILD_OUTPUTS)
-@SIM_ENABLE_ARCH_v850_TRUE@am__append_130 = $(v850_BUILD_OUTPUTS)
+@SIM_ENABLE_ARCH_v850_TRUE@am__append_119 = $(v850_BUILD_OUTPUTS)
 subdir = .
 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
 am__aclocal_m4_deps = $(top_srcdir)/../config/acx.m4 \
@@ -361,7 +353,9 @@ am__aclocal_m4_deps = $(top_srcdir)/../config/acx.m4 \
        $(top_srcdir)/m4/sim_ac_option_warnings.m4 \
        $(top_srcdir)/m4/sim_ac_platform.m4 \
        $(top_srcdir)/m4/sim_ac_toolchain.m4 \
+       $(top_srcdir)/../gdbsupport/libiberty.m4 \
        $(top_srcdir)/frv/acinclude.m4 $(top_srcdir)/mips/acinclude.m4 \
+       $(top_srcdir)/ppc/acinclude.m4 \
        $(top_srcdir)/riscv/acinclude.m4 $(top_srcdir)/rx/acinclude.m4 \
        $(top_srcdir)/configure.ac
 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
@@ -372,27 +366,15 @@ am__CONFIG_DISTCLEAN_FILES = config.status config.cache config.log \
  configure.lineno config.status.lineno
 mkinstalldirs = $(SHELL) $(top_srcdir)/../mkinstalldirs
 CONFIG_HEADER = config.h
-CONFIG_CLEAN_FILES = Make-common.sim aarch64/Makefile.sim \
-       aarch64/.gdbinit arm/Makefile.sim arm/.gdbinit \
-       avr/Makefile.sim avr/.gdbinit bfin/Makefile.sim bfin/.gdbinit \
-       bpf/Makefile.sim bpf/.gdbinit cr16/Makefile.sim cr16/.gdbinit \
-       cris/Makefile.sim cris/.gdbinit d10v/Makefile.sim \
-       d10v/.gdbinit frv/Makefile.sim frv/.gdbinit ft32/Makefile.sim \
-       ft32/.gdbinit h8300/Makefile.sim h8300/.gdbinit \
-       iq2000/Makefile.sim iq2000/.gdbinit lm32/Makefile.sim \
-       lm32/.gdbinit m32c/Makefile.sim m32c/.gdbinit \
-       m32r/Makefile.sim m32r/.gdbinit m68hc11/Makefile.sim \
-       m68hc11/.gdbinit mcore/Makefile.sim mcore/.gdbinit \
-       microblaze/Makefile.sim microblaze/.gdbinit mips/Makefile.sim \
-       mips/.gdbinit mn10300/Makefile.sim mn10300/.gdbinit \
-       moxie/Makefile.sim moxie/.gdbinit msp430/Makefile.sim \
-       msp430/.gdbinit or1k/Makefile.sim or1k/.gdbinit ppc/.gdbinit \
-       pru/Makefile.sim pru/.gdbinit riscv/Makefile.sim \
-       riscv/.gdbinit rl78/Makefile.sim rl78/.gdbinit rx/Makefile.sim \
-       rx/.gdbinit sh/Makefile.sim sh/.gdbinit erc32/Makefile.sim \
-       erc32/.gdbinit v850/Makefile.sim v850/.gdbinit \
-       example-synacor/Makefile.sim example-synacor/.gdbinit \
-       arch-subdir.mk .gdbinit
+CONFIG_CLEAN_FILES = aarch64/.gdbinit arm/.gdbinit avr/.gdbinit \
+       bfin/.gdbinit bpf/.gdbinit cr16/.gdbinit cris/.gdbinit \
+       d10v/.gdbinit frv/.gdbinit ft32/.gdbinit h8300/.gdbinit \
+       iq2000/.gdbinit lm32/.gdbinit m32c/.gdbinit m32r/.gdbinit \
+       m68hc11/.gdbinit mcore/.gdbinit microblaze/.gdbinit \
+       mips/.gdbinit mn10300/.gdbinit moxie/.gdbinit msp430/.gdbinit \
+       or1k/.gdbinit ppc/.gdbinit pru/.gdbinit riscv/.gdbinit \
+       rl78/.gdbinit rx/.gdbinit sh/.gdbinit erc32/.gdbinit \
+       v850/.gdbinit example-synacor/.gdbinit .gdbinit
 CONFIG_CLEAN_VPATH_FILES =
 LIBRARIES = $(noinst_LIBRARIES)
 ARFLAGS = cru
@@ -409,12 +391,22 @@ aarch64_libsim_a_AR = $(AR) $(ARFLAGS)
 @SIM_ENABLE_ARCH_aarch64_TRUE@ aarch64/cpustate.o \
 @SIM_ENABLE_ARCH_aarch64_TRUE@ aarch64/interp.o \
 @SIM_ENABLE_ARCH_aarch64_TRUE@ aarch64/memory.o \
-@SIM_ENABLE_ARCH_aarch64_TRUE@ aarch64/modules.o \
 @SIM_ENABLE_ARCH_aarch64_TRUE@ aarch64/sim-resume.o \
 @SIM_ENABLE_ARCH_aarch64_TRUE@ aarch64/simulator.o
-am_aarch64_libsim_a_OBJECTS =
-aarch64_libsim_a_OBJECTS = $(am_aarch64_libsim_a_OBJECTS)
 am__dirstamp = $(am__leading_dot)dirstamp
+am__objects_1 = common/callback.$(OBJEXT) common/portability.$(OBJEXT) \
+       common/sim-load.$(OBJEXT) common/sim-signal.$(OBJEXT) \
+       common/syscall.$(OBJEXT) common/target-newlib-errno.$(OBJEXT) \
+       common/target-newlib-open.$(OBJEXT) \
+       common/target-newlib-signal.$(OBJEXT) \
+       common/target-newlib-syscall.$(OBJEXT) \
+       common/version.$(OBJEXT)
+@SIM_ENABLE_ARCH_aarch64_TRUE@am_aarch64_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_aarch64_TRUE@ $(am__objects_1)
+@SIM_ENABLE_ARCH_aarch64_TRUE@nodist_aarch64_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_aarch64_TRUE@ aarch64/modules.$(OBJEXT)
+aarch64_libsim_a_OBJECTS = $(am_aarch64_libsim_a_OBJECTS) \
+       $(nodist_aarch64_libsim_a_OBJECTS)
 arm_libsim_a_AR = $(AR) $(ARFLAGS)
 @SIM_ENABLE_ARCH_arm_TRUE@arm_libsim_a_DEPENDENCIES = arm/wrapper.o \
 @SIM_ENABLE_ARCH_arm_TRUE@     $(patsubst \
@@ -425,19 +417,24 @@ arm_libsim_a_AR = $(AR) $(ARFLAGS)
 @SIM_ENABLE_ARCH_arm_TRUE@     arm/arminit.o arm/armos.o \
 @SIM_ENABLE_ARCH_arm_TRUE@     arm/armsupp.o arm/armvirt.o \
 @SIM_ENABLE_ARCH_arm_TRUE@     arm/thumbemu.o arm/armcopro.o \
-@SIM_ENABLE_ARCH_arm_TRUE@     arm/maverick.o arm/iwmmxt.o \
-@SIM_ENABLE_ARCH_arm_TRUE@     arm/modules.o
-am_arm_libsim_a_OBJECTS =
-arm_libsim_a_OBJECTS = $(am_arm_libsim_a_OBJECTS)
+@SIM_ENABLE_ARCH_arm_TRUE@     arm/maverick.o arm/iwmmxt.o
+@SIM_ENABLE_ARCH_arm_TRUE@am_arm_libsim_a_OBJECTS = $(am__objects_1)
+@SIM_ENABLE_ARCH_arm_TRUE@nodist_arm_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_arm_TRUE@     arm/modules.$(OBJEXT)
+arm_libsim_a_OBJECTS = $(am_arm_libsim_a_OBJECTS) \
+       $(nodist_arm_libsim_a_OBJECTS)
 avr_libsim_a_AR = $(AR) $(ARFLAGS)
 @SIM_ENABLE_ARCH_avr_TRUE@avr_libsim_a_DEPENDENCIES = avr/interp.o \
 @SIM_ENABLE_ARCH_avr_TRUE@     $(patsubst \
 @SIM_ENABLE_ARCH_avr_TRUE@     %,avr/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_avr_TRUE@     $(patsubst \
 @SIM_ENABLE_ARCH_avr_TRUE@     %,avr/dv-%.o,$(SIM_HW_DEVICES)) \
-@SIM_ENABLE_ARCH_avr_TRUE@     avr/modules.o avr/sim-resume.o
-am_avr_libsim_a_OBJECTS =
-avr_libsim_a_OBJECTS = $(am_avr_libsim_a_OBJECTS)
+@SIM_ENABLE_ARCH_avr_TRUE@     avr/sim-resume.o
+@SIM_ENABLE_ARCH_avr_TRUE@am_avr_libsim_a_OBJECTS = $(am__objects_1)
+@SIM_ENABLE_ARCH_avr_TRUE@nodist_avr_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_avr_TRUE@     avr/modules.$(OBJEXT)
+avr_libsim_a_OBJECTS = $(am_avr_libsim_a_OBJECTS) \
+       $(nodist_avr_libsim_a_OBJECTS)
 bfin_libsim_a_AR = $(AR) $(ARFLAGS)
 @SIM_ENABLE_ARCH_bfin_TRUE@bfin_libsim_a_DEPENDENCIES = $(patsubst \
 @SIM_ENABLE_ARCH_bfin_TRUE@    %,bfin/%,$(SIM_NEW_COMMON_OBJS)) \
@@ -447,59 +444,57 @@ bfin_libsim_a_AR = $(AR) $(ARFLAGS)
 @SIM_ENABLE_ARCH_bfin_TRUE@    %,bfin/dv-%.o,$(bfin_SIM_EXTRA_HW_DEVICES)) \
 @SIM_ENABLE_ARCH_bfin_TRUE@    bfin/bfin-sim.o bfin/devices.o \
 @SIM_ENABLE_ARCH_bfin_TRUE@    bfin/gui.o bfin/interp.o \
-@SIM_ENABLE_ARCH_bfin_TRUE@    bfin/machs.o bfin/modules.o \
-@SIM_ENABLE_ARCH_bfin_TRUE@    bfin/sim-resume.o
-am_bfin_libsim_a_OBJECTS =
-bfin_libsim_a_OBJECTS = $(am_bfin_libsim_a_OBJECTS)
+@SIM_ENABLE_ARCH_bfin_TRUE@    bfin/machs.o bfin/sim-resume.o
+@SIM_ENABLE_ARCH_bfin_TRUE@am_bfin_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_bfin_TRUE@    $(am__objects_1)
+@SIM_ENABLE_ARCH_bfin_TRUE@nodist_bfin_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_bfin_TRUE@    bfin/modules.$(OBJEXT)
+bfin_libsim_a_OBJECTS = $(am_bfin_libsim_a_OBJECTS) \
+       $(nodist_bfin_libsim_a_OBJECTS)
 bpf_libsim_a_AR = $(AR) $(ARFLAGS)
-@SIM_ENABLE_ARCH_bpf_TRUE@bpf_libsim_a_DEPENDENCIES = $(patsubst \
+@SIM_ENABLE_ARCH_bpf_TRUE@bpf_libsim_a_DEPENDENCIES = bpf/bpf-sim.o \
+@SIM_ENABLE_ARCH_bpf_TRUE@     $(patsubst \
 @SIM_ENABLE_ARCH_bpf_TRUE@     %,bpf/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_bpf_TRUE@     $(patsubst \
 @SIM_ENABLE_ARCH_bpf_TRUE@     %,bpf/dv-%.o,$(SIM_HW_DEVICES)) \
-@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/modules.o bpf/cgen-run.o \
-@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/cgen-scache.o bpf/cgen-trace.o \
-@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/cgen-utils.o bpf/arch.o \
-@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/cpu.o bpf/decode-le.o \
-@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/decode-be.o bpf/sem-le.o \
-@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/sem-be.o bpf/mloop-le.o \
-@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/mloop-be.o bpf/bpf.o \
-@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/bpf-helpers.o bpf/sim-if.o \
-@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/traps.o
-am_bpf_libsim_a_OBJECTS =
-bpf_libsim_a_OBJECTS = $(am_bpf_libsim_a_OBJECTS)
+@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/sim-resume.o
+@SIM_ENABLE_ARCH_bpf_TRUE@am_bpf_libsim_a_OBJECTS = $(am__objects_1)
+@SIM_ENABLE_ARCH_bpf_TRUE@nodist_bpf_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/modules.$(OBJEXT)
+bpf_libsim_a_OBJECTS = $(am_bpf_libsim_a_OBJECTS) \
+       $(nodist_bpf_libsim_a_OBJECTS)
 common_libcommon_a_AR = $(AR) $(ARFLAGS)
 common_libcommon_a_LIBADD =
 am_common_libcommon_a_OBJECTS = common/callback.$(OBJEXT) \
        common/portability.$(OBJEXT) common/sim-load.$(OBJEXT) \
-       common/syscall.$(OBJEXT) common/target-newlib-errno.$(OBJEXT) \
+       common/sim-signal.$(OBJEXT) common/syscall.$(OBJEXT) \
+       common/target-newlib-errno.$(OBJEXT) \
        common/target-newlib-open.$(OBJEXT) \
        common/target-newlib-signal.$(OBJEXT) \
        common/target-newlib-syscall.$(OBJEXT) \
        common/version.$(OBJEXT)
 common_libcommon_a_OBJECTS = $(am_common_libcommon_a_OBJECTS)
 cr16_libsim_a_AR = $(AR) $(ARFLAGS)
-@SIM_ENABLE_ARCH_cr16_TRUE@cr16_libsim_a_DEPENDENCIES =  \
-@SIM_ENABLE_ARCH_cr16_TRUE@    $(common_libcommon_a_OBJECTS) \
-@SIM_ENABLE_ARCH_cr16_TRUE@    $(patsubst \
+@SIM_ENABLE_ARCH_cr16_TRUE@cr16_libsim_a_DEPENDENCIES = $(patsubst \
 @SIM_ENABLE_ARCH_cr16_TRUE@    %,cr16/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_cr16_TRUE@    $(patsubst \
 @SIM_ENABLE_ARCH_cr16_TRUE@    %,cr16/dv-%.o,$(SIM_HW_DEVICES)) \
-@SIM_ENABLE_ARCH_cr16_TRUE@    cr16/interp.o cr16/modules.o \
-@SIM_ENABLE_ARCH_cr16_TRUE@    cr16/sim-resume.o cr16/simops.o \
-@SIM_ENABLE_ARCH_cr16_TRUE@    cr16/table.o
-am_cr16_libsim_a_OBJECTS =
-cr16_libsim_a_OBJECTS = $(am_cr16_libsim_a_OBJECTS)
+@SIM_ENABLE_ARCH_cr16_TRUE@    cr16/interp.o cr16/sim-resume.o \
+@SIM_ENABLE_ARCH_cr16_TRUE@    cr16/simops.o cr16/table.o
+@SIM_ENABLE_ARCH_cr16_TRUE@am_cr16_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_cr16_TRUE@    $(am__objects_1)
+@SIM_ENABLE_ARCH_cr16_TRUE@nodist_cr16_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_cr16_TRUE@    cr16/modules.$(OBJEXT)
+cr16_libsim_a_OBJECTS = $(am_cr16_libsim_a_OBJECTS) \
+       $(nodist_cr16_libsim_a_OBJECTS)
 cris_libsim_a_AR = $(AR) $(ARFLAGS)
-@SIM_ENABLE_ARCH_cris_TRUE@cris_libsim_a_DEPENDENCIES =  \
-@SIM_ENABLE_ARCH_cris_TRUE@    $(common_libcommon_a_OBJECTS) \
-@SIM_ENABLE_ARCH_cris_TRUE@    $(patsubst \
+@SIM_ENABLE_ARCH_cris_TRUE@cris_libsim_a_DEPENDENCIES = $(patsubst \
 @SIM_ENABLE_ARCH_cris_TRUE@    %,cris/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_cris_TRUE@    $(patsubst \
 @SIM_ENABLE_ARCH_cris_TRUE@    %,cris/dv-%.o,$(SIM_HW_DEVICES)) \
 @SIM_ENABLE_ARCH_cris_TRUE@    $(patsubst \
 @SIM_ENABLE_ARCH_cris_TRUE@    %,cris/dv-%.o,$(cris_SIM_EXTRA_HW_DEVICES)) \
-@SIM_ENABLE_ARCH_cris_TRUE@    cris/modules.o cris/cgen-run.o \
-@SIM_ENABLE_ARCH_cris_TRUE@    cris/cgen-scache.o \
+@SIM_ENABLE_ARCH_cris_TRUE@    cris/cgen-run.o cris/cgen-scache.o \
 @SIM_ENABLE_ARCH_cris_TRUE@    cris/cgen-trace.o cris/cgen-utils.o \
 @SIM_ENABLE_ARCH_cris_TRUE@    cris/arch.o cris/crisv10f.o \
 @SIM_ENABLE_ARCH_cris_TRUE@    cris/cpuv10.o cris/decodev10.o \
@@ -508,55 +503,61 @@ cris_libsim_a_AR = $(AR) $(ARFLAGS)
 @SIM_ENABLE_ARCH_cris_TRUE@    cris/decodev32.o cris/modelv32.o \
 @SIM_ENABLE_ARCH_cris_TRUE@    cris/mloopv32f.o cris/sim-if.o \
 @SIM_ENABLE_ARCH_cris_TRUE@    cris/traps.o
-am_cris_libsim_a_OBJECTS =
-cris_libsim_a_OBJECTS = $(am_cris_libsim_a_OBJECTS)
+@SIM_ENABLE_ARCH_cris_TRUE@am_cris_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_cris_TRUE@    $(am__objects_1)
+@SIM_ENABLE_ARCH_cris_TRUE@nodist_cris_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_cris_TRUE@    cris/modules.$(OBJEXT)
+cris_libsim_a_OBJECTS = $(am_cris_libsim_a_OBJECTS) \
+       $(nodist_cris_libsim_a_OBJECTS)
 d10v_libsim_a_AR = $(AR) $(ARFLAGS)
-@SIM_ENABLE_ARCH_d10v_TRUE@d10v_libsim_a_DEPENDENCIES =  \
-@SIM_ENABLE_ARCH_d10v_TRUE@    $(common_libcommon_a_OBJECTS) \
-@SIM_ENABLE_ARCH_d10v_TRUE@    d10v/interp.o $(patsubst \
+@SIM_ENABLE_ARCH_d10v_TRUE@d10v_libsim_a_DEPENDENCIES = d10v/interp.o \
+@SIM_ENABLE_ARCH_d10v_TRUE@    $(patsubst \
 @SIM_ENABLE_ARCH_d10v_TRUE@    %,d10v/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_d10v_TRUE@    $(patsubst \
 @SIM_ENABLE_ARCH_d10v_TRUE@    %,d10v/dv-%.o,$(SIM_HW_DEVICES)) \
-@SIM_ENABLE_ARCH_d10v_TRUE@    d10v/endian.o d10v/modules.o \
-@SIM_ENABLE_ARCH_d10v_TRUE@    d10v/sim-resume.o d10v/simops.o \
-@SIM_ENABLE_ARCH_d10v_TRUE@    d10v/table.o
-am_d10v_libsim_a_OBJECTS =
-d10v_libsim_a_OBJECTS = $(am_d10v_libsim_a_OBJECTS)
+@SIM_ENABLE_ARCH_d10v_TRUE@    d10v/endian.o d10v/sim-resume.o \
+@SIM_ENABLE_ARCH_d10v_TRUE@    d10v/simops.o d10v/table.o
+@SIM_ENABLE_ARCH_d10v_TRUE@am_d10v_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_d10v_TRUE@    $(am__objects_1)
+@SIM_ENABLE_ARCH_d10v_TRUE@nodist_d10v_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_d10v_TRUE@    d10v/modules.$(OBJEXT)
+d10v_libsim_a_OBJECTS = $(am_d10v_libsim_a_OBJECTS) \
+       $(nodist_d10v_libsim_a_OBJECTS)
 erc32_libsim_a_AR = $(AR) $(ARFLAGS)
 @SIM_ENABLE_ARCH_erc32_TRUE@erc32_libsim_a_DEPENDENCIES =  \
-@SIM_ENABLE_ARCH_erc32_TRUE@   $(common_libcommon_a_OBJECTS) \
 @SIM_ENABLE_ARCH_erc32_TRUE@   erc32/erc32.o erc32/exec.o \
 @SIM_ENABLE_ARCH_erc32_TRUE@   erc32/float.o erc32/func.o \
-@SIM_ENABLE_ARCH_erc32_TRUE@   erc32/help.o erc32/interf.o \
-@SIM_ENABLE_ARCH_erc32_TRUE@   erc32/modules.o
-am_erc32_libsim_a_OBJECTS =
-erc32_libsim_a_OBJECTS = $(am_erc32_libsim_a_OBJECTS)
+@SIM_ENABLE_ARCH_erc32_TRUE@   erc32/help.o erc32/interf.o
+@SIM_ENABLE_ARCH_erc32_TRUE@am_erc32_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_erc32_TRUE@   $(am__objects_1)
+@SIM_ENABLE_ARCH_erc32_TRUE@nodist_erc32_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_erc32_TRUE@   erc32/modules.$(OBJEXT)
+erc32_libsim_a_OBJECTS = $(am_erc32_libsim_a_OBJECTS) \
+       $(nodist_erc32_libsim_a_OBJECTS)
 example_synacor_libsim_a_AR = $(AR) $(ARFLAGS)
 @SIM_ENABLE_ARCH_examples_TRUE@example_synacor_libsim_a_DEPENDENCIES =  \
-@SIM_ENABLE_ARCH_examples_TRUE@        $(common_libcommon_a_OBJECTS) \
 @SIM_ENABLE_ARCH_examples_TRUE@        $(patsubst \
 @SIM_ENABLE_ARCH_examples_TRUE@        %,example-synacor/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_examples_TRUE@        $(patsubst \
 @SIM_ENABLE_ARCH_examples_TRUE@        %,example-synacor/dv-%.o,$(SIM_HW_DEVICES)) \
 @SIM_ENABLE_ARCH_examples_TRUE@        example-synacor/interp.o \
-@SIM_ENABLE_ARCH_examples_TRUE@        example-synacor/modules.o \
 @SIM_ENABLE_ARCH_examples_TRUE@        example-synacor/sim-main.o \
 @SIM_ENABLE_ARCH_examples_TRUE@        example-synacor/sim-resume.o
-am_example_synacor_libsim_a_OBJECTS =
+@SIM_ENABLE_ARCH_examples_TRUE@am_example_synacor_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_examples_TRUE@        $(am__objects_1)
+@SIM_ENABLE_ARCH_examples_TRUE@nodist_example_synacor_libsim_a_OBJECTS = example-synacor/modules.$(OBJEXT)
 example_synacor_libsim_a_OBJECTS =  \
-       $(am_example_synacor_libsim_a_OBJECTS)
+       $(am_example_synacor_libsim_a_OBJECTS) \
+       $(nodist_example_synacor_libsim_a_OBJECTS)
 frv_libsim_a_AR = $(AR) $(ARFLAGS)
-@SIM_ENABLE_ARCH_frv_TRUE@frv_libsim_a_DEPENDENCIES =  \
-@SIM_ENABLE_ARCH_frv_TRUE@     $(common_libcommon_a_OBJECTS) \
-@SIM_ENABLE_ARCH_frv_TRUE@     $(patsubst \
+@SIM_ENABLE_ARCH_frv_TRUE@frv_libsim_a_DEPENDENCIES = $(patsubst \
 @SIM_ENABLE_ARCH_frv_TRUE@     %,frv/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_frv_TRUE@     $(patsubst \
 @SIM_ENABLE_ARCH_frv_TRUE@     %,frv/dv-%.o,$(SIM_HW_DEVICES)) \
-@SIM_ENABLE_ARCH_frv_TRUE@     frv/modules.o frv/cgen-accfp.o \
-@SIM_ENABLE_ARCH_frv_TRUE@     frv/cgen-fpu.o frv/cgen-run.o \
-@SIM_ENABLE_ARCH_frv_TRUE@     frv/cgen-scache.o frv/cgen-trace.o \
-@SIM_ENABLE_ARCH_frv_TRUE@     frv/cgen-utils.o frv/arch.o \
-@SIM_ENABLE_ARCH_frv_TRUE@     frv/cgen-par.o frv/cpu.o \
+@SIM_ENABLE_ARCH_frv_TRUE@     frv/cgen-accfp.o frv/cgen-fpu.o \
+@SIM_ENABLE_ARCH_frv_TRUE@     frv/cgen-run.o frv/cgen-scache.o \
+@SIM_ENABLE_ARCH_frv_TRUE@     frv/cgen-trace.o frv/cgen-utils.o \
+@SIM_ENABLE_ARCH_frv_TRUE@     frv/arch.o frv/cgen-par.o frv/cpu.o \
 @SIM_ENABLE_ARCH_frv_TRUE@     frv/decode.o frv/frv.o frv/mloop.o \
 @SIM_ENABLE_ARCH_frv_TRUE@     frv/model.o frv/sem.o frv/cache.o \
 @SIM_ENABLE_ARCH_frv_TRUE@     frv/interrupts.o frv/memory.o \
@@ -566,56 +567,53 @@ frv_libsim_a_AR = $(AR) $(ARFLAGS)
 @SIM_ENABLE_ARCH_frv_TRUE@     frv/profile-fr500.o \
 @SIM_ENABLE_ARCH_frv_TRUE@     frv/profile-fr550.o frv/registers.o \
 @SIM_ENABLE_ARCH_frv_TRUE@     frv/reset.o frv/sim-if.o frv/traps.o
-am_frv_libsim_a_OBJECTS =
-frv_libsim_a_OBJECTS = $(am_frv_libsim_a_OBJECTS)
+@SIM_ENABLE_ARCH_frv_TRUE@am_frv_libsim_a_OBJECTS = $(am__objects_1)
+@SIM_ENABLE_ARCH_frv_TRUE@nodist_frv_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_frv_TRUE@     frv/modules.$(OBJEXT)
+frv_libsim_a_OBJECTS = $(am_frv_libsim_a_OBJECTS) \
+       $(nodist_frv_libsim_a_OBJECTS)
 ft32_libsim_a_AR = $(AR) $(ARFLAGS)
-@SIM_ENABLE_ARCH_ft32_TRUE@ft32_libsim_a_DEPENDENCIES =  \
-@SIM_ENABLE_ARCH_ft32_TRUE@    $(common_libcommon_a_OBJECTS) \
-@SIM_ENABLE_ARCH_ft32_TRUE@    $(patsubst \
+@SIM_ENABLE_ARCH_ft32_TRUE@ft32_libsim_a_DEPENDENCIES = $(patsubst \
 @SIM_ENABLE_ARCH_ft32_TRUE@    %,ft32/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_ft32_TRUE@    $(patsubst \
 @SIM_ENABLE_ARCH_ft32_TRUE@    %,ft32/dv-%.o,$(SIM_HW_DEVICES)) \
-@SIM_ENABLE_ARCH_ft32_TRUE@    ft32/interp.o ft32/modules.o \
-@SIM_ENABLE_ARCH_ft32_TRUE@    ft32/sim-resume.o
-am_ft32_libsim_a_OBJECTS =
-ft32_libsim_a_OBJECTS = $(am_ft32_libsim_a_OBJECTS)
+@SIM_ENABLE_ARCH_ft32_TRUE@    ft32/interp.o ft32/sim-resume.o
+@SIM_ENABLE_ARCH_ft32_TRUE@am_ft32_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_ft32_TRUE@    $(am__objects_1)
+@SIM_ENABLE_ARCH_ft32_TRUE@nodist_ft32_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_ft32_TRUE@    ft32/modules.$(OBJEXT)
+ft32_libsim_a_OBJECTS = $(am_ft32_libsim_a_OBJECTS) \
+       $(nodist_ft32_libsim_a_OBJECTS)
 h8300_libsim_a_AR = $(AR) $(ARFLAGS)
 @SIM_ENABLE_ARCH_h8300_TRUE@h8300_libsim_a_DEPENDENCIES =  \
-@SIM_ENABLE_ARCH_h8300_TRUE@   $(common_libcommon_a_OBJECTS) \
 @SIM_ENABLE_ARCH_h8300_TRUE@   h8300/compile.o $(patsubst \
 @SIM_ENABLE_ARCH_h8300_TRUE@   %,h8300/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_h8300_TRUE@   $(patsubst \
 @SIM_ENABLE_ARCH_h8300_TRUE@   %,h8300/dv-%.o,$(SIM_HW_DEVICES)) \
-@SIM_ENABLE_ARCH_h8300_TRUE@   h8300/modules.o h8300/sim-resume.o
-am_h8300_libsim_a_OBJECTS =
-h8300_libsim_a_OBJECTS = $(am_h8300_libsim_a_OBJECTS)
+@SIM_ENABLE_ARCH_h8300_TRUE@   h8300/sim-resume.o
+@SIM_ENABLE_ARCH_h8300_TRUE@am_h8300_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_h8300_TRUE@   $(am__objects_1)
+@SIM_ENABLE_ARCH_h8300_TRUE@nodist_h8300_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_h8300_TRUE@   h8300/modules.$(OBJEXT)
+h8300_libsim_a_OBJECTS = $(am_h8300_libsim_a_OBJECTS) \
+       $(nodist_h8300_libsim_a_OBJECTS)
 igen_libigen_a_AR = $(AR) $(ARFLAGS)
 igen_libigen_a_LIBADD =
-@SIM_ENABLE_IGEN_TRUE@am_igen_libigen_a_OBJECTS =  \
-@SIM_ENABLE_IGEN_TRUE@ igen/table.$(OBJEXT) igen/lf.$(OBJEXT) \
-@SIM_ENABLE_IGEN_TRUE@ igen/misc.$(OBJEXT) \
-@SIM_ENABLE_IGEN_TRUE@ igen/filter_host.$(OBJEXT) \
-@SIM_ENABLE_IGEN_TRUE@ igen/ld-decode.$(OBJEXT) \
-@SIM_ENABLE_IGEN_TRUE@ igen/ld-cache.$(OBJEXT) \
-@SIM_ENABLE_IGEN_TRUE@ igen/filter.$(OBJEXT) \
-@SIM_ENABLE_IGEN_TRUE@ igen/ld-insn.$(OBJEXT) \
-@SIM_ENABLE_IGEN_TRUE@ igen/gen-model.$(OBJEXT) \
-@SIM_ENABLE_IGEN_TRUE@ igen/gen-itable.$(OBJEXT) \
-@SIM_ENABLE_IGEN_TRUE@ igen/gen-icache.$(OBJEXT) \
-@SIM_ENABLE_IGEN_TRUE@ igen/gen-semantics.$(OBJEXT) \
-@SIM_ENABLE_IGEN_TRUE@ igen/gen-idecode.$(OBJEXT) \
-@SIM_ENABLE_IGEN_TRUE@ igen/gen-support.$(OBJEXT) \
-@SIM_ENABLE_IGEN_TRUE@ igen/gen-engine.$(OBJEXT) \
-@SIM_ENABLE_IGEN_TRUE@ igen/gen.$(OBJEXT)
+am_igen_libigen_a_OBJECTS = igen/table.$(OBJEXT) igen/lf.$(OBJEXT) \
+       igen/misc.$(OBJEXT) igen/filter_host.$(OBJEXT) \
+       igen/ld-decode.$(OBJEXT) igen/ld-cache.$(OBJEXT) \
+       igen/filter.$(OBJEXT) igen/ld-insn.$(OBJEXT) \
+       igen/gen-model.$(OBJEXT) igen/gen-itable.$(OBJEXT) \
+       igen/gen-icache.$(OBJEXT) igen/gen-semantics.$(OBJEXT) \
+       igen/gen-idecode.$(OBJEXT) igen/gen-support.$(OBJEXT) \
+       igen/gen-engine.$(OBJEXT) igen/gen.$(OBJEXT)
 igen_libigen_a_OBJECTS = $(am_igen_libigen_a_OBJECTS)
 iq2000_libsim_a_AR = $(AR) $(ARFLAGS)
 @SIM_ENABLE_ARCH_iq2000_TRUE@iq2000_libsim_a_DEPENDENCIES =  \
-@SIM_ENABLE_ARCH_iq2000_TRUE@  $(common_libcommon_a_OBJECTS) \
 @SIM_ENABLE_ARCH_iq2000_TRUE@  $(patsubst \
 @SIM_ENABLE_ARCH_iq2000_TRUE@  %,iq2000/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_iq2000_TRUE@  $(patsubst \
 @SIM_ENABLE_ARCH_iq2000_TRUE@  %,iq2000/dv-%.o,$(SIM_HW_DEVICES)) \
-@SIM_ENABLE_ARCH_iq2000_TRUE@  iq2000/modules.o \
 @SIM_ENABLE_ARCH_iq2000_TRUE@  iq2000/cgen-run.o \
 @SIM_ENABLE_ARCH_iq2000_TRUE@  iq2000/cgen-scache.o \
 @SIM_ENABLE_ARCH_iq2000_TRUE@  iq2000/cgen-trace.o \
@@ -624,49 +622,52 @@ iq2000_libsim_a_AR = $(AR) $(ARFLAGS)
 @SIM_ENABLE_ARCH_iq2000_TRUE@  iq2000/iq2000.o iq2000/sem.o \
 @SIM_ENABLE_ARCH_iq2000_TRUE@  iq2000/mloop.o iq2000/model.o \
 @SIM_ENABLE_ARCH_iq2000_TRUE@  iq2000/sim-if.o
-am_iq2000_libsim_a_OBJECTS =
-iq2000_libsim_a_OBJECTS = $(am_iq2000_libsim_a_OBJECTS)
+@SIM_ENABLE_ARCH_iq2000_TRUE@am_iq2000_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_iq2000_TRUE@  $(am__objects_1)
+@SIM_ENABLE_ARCH_iq2000_TRUE@nodist_iq2000_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_iq2000_TRUE@  iq2000/modules.$(OBJEXT)
+iq2000_libsim_a_OBJECTS = $(am_iq2000_libsim_a_OBJECTS) \
+       $(nodist_iq2000_libsim_a_OBJECTS)
 lm32_libsim_a_AR = $(AR) $(ARFLAGS)
-@SIM_ENABLE_ARCH_lm32_TRUE@lm32_libsim_a_DEPENDENCIES =  \
-@SIM_ENABLE_ARCH_lm32_TRUE@    $(common_libcommon_a_OBJECTS) \
-@SIM_ENABLE_ARCH_lm32_TRUE@    $(patsubst \
+@SIM_ENABLE_ARCH_lm32_TRUE@lm32_libsim_a_DEPENDENCIES = $(patsubst \
 @SIM_ENABLE_ARCH_lm32_TRUE@    %,lm32/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_lm32_TRUE@    $(patsubst \
 @SIM_ENABLE_ARCH_lm32_TRUE@    %,lm32/dv-%.o,$(SIM_HW_DEVICES)) \
 @SIM_ENABLE_ARCH_lm32_TRUE@    $(patsubst \
 @SIM_ENABLE_ARCH_lm32_TRUE@    %,lm32/dv-%.o,$(lm32_SIM_EXTRA_HW_DEVICES)) \
-@SIM_ENABLE_ARCH_lm32_TRUE@    lm32/modules.o lm32/cgen-run.o \
-@SIM_ENABLE_ARCH_lm32_TRUE@    lm32/cgen-scache.o \
+@SIM_ENABLE_ARCH_lm32_TRUE@    lm32/cgen-run.o lm32/cgen-scache.o \
 @SIM_ENABLE_ARCH_lm32_TRUE@    lm32/cgen-trace.o lm32/cgen-utils.o \
 @SIM_ENABLE_ARCH_lm32_TRUE@    lm32/arch.o lm32/cpu.o \
 @SIM_ENABLE_ARCH_lm32_TRUE@    lm32/decode.o lm32/sem.o \
 @SIM_ENABLE_ARCH_lm32_TRUE@    lm32/mloop.o lm32/model.o \
 @SIM_ENABLE_ARCH_lm32_TRUE@    lm32/lm32.o lm32/sim-if.o \
 @SIM_ENABLE_ARCH_lm32_TRUE@    lm32/traps.o lm32/user.o
-am_lm32_libsim_a_OBJECTS =
-lm32_libsim_a_OBJECTS = $(am_lm32_libsim_a_OBJECTS)
+@SIM_ENABLE_ARCH_lm32_TRUE@am_lm32_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_lm32_TRUE@    $(am__objects_1)
+@SIM_ENABLE_ARCH_lm32_TRUE@nodist_lm32_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_lm32_TRUE@    lm32/modules.$(OBJEXT)
+lm32_libsim_a_OBJECTS = $(am_lm32_libsim_a_OBJECTS) \
+       $(nodist_lm32_libsim_a_OBJECTS)
 m32c_libsim_a_AR = $(AR) $(ARFLAGS)
-@SIM_ENABLE_ARCH_m32c_TRUE@m32c_libsim_a_DEPENDENCIES =  \
-@SIM_ENABLE_ARCH_m32c_TRUE@    $(common_libcommon_a_OBJECTS) \
-@SIM_ENABLE_ARCH_m32c_TRUE@    m32c/gdb-if.o m32c/int.o \
-@SIM_ENABLE_ARCH_m32c_TRUE@    m32c/load.o m32c/m32c.o m32c/mem.o \
-@SIM_ENABLE_ARCH_m32c_TRUE@    m32c/misc.o m32c/modules.o \
-@SIM_ENABLE_ARCH_m32c_TRUE@    m32c/r8c.o m32c/reg.o \
-@SIM_ENABLE_ARCH_m32c_TRUE@    m32c/srcdest.o m32c/syscalls.o \
-@SIM_ENABLE_ARCH_m32c_TRUE@    m32c/trace.o
-am_m32c_libsim_a_OBJECTS =
-m32c_libsim_a_OBJECTS = $(am_m32c_libsim_a_OBJECTS)
+@SIM_ENABLE_ARCH_m32c_TRUE@m32c_libsim_a_DEPENDENCIES = m32c/gdb-if.o \
+@SIM_ENABLE_ARCH_m32c_TRUE@    m32c/int.o m32c/load.o m32c/m32c.o \
+@SIM_ENABLE_ARCH_m32c_TRUE@    m32c/mem.o m32c/misc.o m32c/r8c.o \
+@SIM_ENABLE_ARCH_m32c_TRUE@    m32c/reg.o m32c/srcdest.o \
+@SIM_ENABLE_ARCH_m32c_TRUE@    m32c/syscalls.o m32c/trace.o
+@SIM_ENABLE_ARCH_m32c_TRUE@am_m32c_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_m32c_TRUE@    $(am__objects_1)
+@SIM_ENABLE_ARCH_m32c_TRUE@nodist_m32c_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_m32c_TRUE@    m32c/modules.$(OBJEXT)
+m32c_libsim_a_OBJECTS = $(am_m32c_libsim_a_OBJECTS) \
+       $(nodist_m32c_libsim_a_OBJECTS)
 m32r_libsim_a_AR = $(AR) $(ARFLAGS)
-@SIM_ENABLE_ARCH_m32r_TRUE@m32r_libsim_a_DEPENDENCIES =  \
-@SIM_ENABLE_ARCH_m32r_TRUE@    $(common_libcommon_a_OBJECTS) \
-@SIM_ENABLE_ARCH_m32r_TRUE@    $(patsubst \
+@SIM_ENABLE_ARCH_m32r_TRUE@m32r_libsim_a_DEPENDENCIES = $(patsubst \
 @SIM_ENABLE_ARCH_m32r_TRUE@    %,m32r/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_m32r_TRUE@    $(patsubst \
 @SIM_ENABLE_ARCH_m32r_TRUE@    %,m32r/dv-%.o,$(SIM_HW_DEVICES)) \
 @SIM_ENABLE_ARCH_m32r_TRUE@    $(patsubst \
 @SIM_ENABLE_ARCH_m32r_TRUE@    %,m32r/dv-%.o,$(m32r_SIM_EXTRA_HW_DEVICES)) \
-@SIM_ENABLE_ARCH_m32r_TRUE@    m32r/modules.o m32r/cgen-run.o \
-@SIM_ENABLE_ARCH_m32r_TRUE@    m32r/cgen-scache.o \
+@SIM_ENABLE_ARCH_m32r_TRUE@    m32r/cgen-run.o m32r/cgen-scache.o \
 @SIM_ENABLE_ARCH_m32r_TRUE@    m32r/cgen-trace.o m32r/cgen-utils.o \
 @SIM_ENABLE_ARCH_m32r_TRUE@    m32r/arch.o m32r/m32r.o m32r/cpu.o \
 @SIM_ENABLE_ARCH_m32r_TRUE@    m32r/decode.o m32r/sem.o \
@@ -677,11 +678,14 @@ m32r_libsim_a_AR = $(AR) $(ARFLAGS)
 @SIM_ENABLE_ARCH_m32r_TRUE@    m32r/cpu2.o m32r/decode2.o \
 @SIM_ENABLE_ARCH_m32r_TRUE@    m32r/model2.o m32r/mloop2.o \
 @SIM_ENABLE_ARCH_m32r_TRUE@    m32r/sim-if.o m32r/traps.o
-am_m32r_libsim_a_OBJECTS =
-m32r_libsim_a_OBJECTS = $(am_m32r_libsim_a_OBJECTS)
+@SIM_ENABLE_ARCH_m32r_TRUE@am_m32r_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_m32r_TRUE@    $(am__objects_1)
+@SIM_ENABLE_ARCH_m32r_TRUE@nodist_m32r_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_m32r_TRUE@    m32r/modules.$(OBJEXT)
+m32r_libsim_a_OBJECTS = $(am_m32r_libsim_a_OBJECTS) \
+       $(nodist_m32r_libsim_a_OBJECTS)
 m68hc11_libsim_a_AR = $(AR) $(ARFLAGS)
 @SIM_ENABLE_ARCH_m68hc11_TRUE@m68hc11_libsim_a_DEPENDENCIES =  \
-@SIM_ENABLE_ARCH_m68hc11_TRUE@ $(common_libcommon_a_OBJECTS) \
 @SIM_ENABLE_ARCH_m68hc11_TRUE@ m68hc11/interp.o \
 @SIM_ENABLE_ARCH_m68hc11_TRUE@ m68hc11/m68hc11int.o \
 @SIM_ENABLE_ARCH_m68hc11_TRUE@ m68hc11/m68hc12int.o \
@@ -693,56 +697,65 @@ m68hc11_libsim_a_AR = $(AR) $(ARFLAGS)
 @SIM_ENABLE_ARCH_m68hc11_TRUE@ %,m68hc11/dv-%.o,$(SIM_HW_DEVICES)) \
 @SIM_ENABLE_ARCH_m68hc11_TRUE@ $(patsubst \
 @SIM_ENABLE_ARCH_m68hc11_TRUE@ %,m68hc11/dv-%.o,$(m68hc11_SIM_EXTRA_HW_DEVICES)) \
-@SIM_ENABLE_ARCH_m68hc11_TRUE@ m68hc11/modules.o \
 @SIM_ENABLE_ARCH_m68hc11_TRUE@ m68hc11/sim-resume.o
-am_m68hc11_libsim_a_OBJECTS =
-m68hc11_libsim_a_OBJECTS = $(am_m68hc11_libsim_a_OBJECTS)
+@SIM_ENABLE_ARCH_m68hc11_TRUE@am_m68hc11_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_m68hc11_TRUE@ $(am__objects_1)
+@SIM_ENABLE_ARCH_m68hc11_TRUE@nodist_m68hc11_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_m68hc11_TRUE@ m68hc11/modules.$(OBJEXT)
+m68hc11_libsim_a_OBJECTS = $(am_m68hc11_libsim_a_OBJECTS) \
+       $(nodist_m68hc11_libsim_a_OBJECTS)
 mcore_libsim_a_AR = $(AR) $(ARFLAGS)
 @SIM_ENABLE_ARCH_mcore_TRUE@mcore_libsim_a_DEPENDENCIES =  \
-@SIM_ENABLE_ARCH_mcore_TRUE@   $(common_libcommon_a_OBJECTS) \
 @SIM_ENABLE_ARCH_mcore_TRUE@   mcore/interp.o $(patsubst \
 @SIM_ENABLE_ARCH_mcore_TRUE@   %,mcore/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_mcore_TRUE@   $(patsubst \
 @SIM_ENABLE_ARCH_mcore_TRUE@   %,mcore/dv-%.o,$(SIM_HW_DEVICES)) \
-@SIM_ENABLE_ARCH_mcore_TRUE@   mcore/modules.o mcore/sim-resume.o
-am_mcore_libsim_a_OBJECTS =
-mcore_libsim_a_OBJECTS = $(am_mcore_libsim_a_OBJECTS)
+@SIM_ENABLE_ARCH_mcore_TRUE@   mcore/sim-resume.o
+@SIM_ENABLE_ARCH_mcore_TRUE@am_mcore_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_mcore_TRUE@   $(am__objects_1)
+@SIM_ENABLE_ARCH_mcore_TRUE@nodist_mcore_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_mcore_TRUE@   mcore/modules.$(OBJEXT)
+mcore_libsim_a_OBJECTS = $(am_mcore_libsim_a_OBJECTS) \
+       $(nodist_mcore_libsim_a_OBJECTS)
 microblaze_libsim_a_AR = $(AR) $(ARFLAGS)
-@SIM_ENABLE_ARCH_microblaze_TRUE@microblaze_libsim_a_DEPENDENCIES = $(common_libcommon_a_OBJECTS) \
+@SIM_ENABLE_ARCH_microblaze_TRUE@microblaze_libsim_a_DEPENDENCIES =  \
 @SIM_ENABLE_ARCH_microblaze_TRUE@      microblaze/interp.o \
 @SIM_ENABLE_ARCH_microblaze_TRUE@      $(patsubst \
 @SIM_ENABLE_ARCH_microblaze_TRUE@      %,microblaze/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_microblaze_TRUE@      $(patsubst \
 @SIM_ENABLE_ARCH_microblaze_TRUE@      %,microblaze/dv-%.o,$(SIM_HW_DEVICES)) \
-@SIM_ENABLE_ARCH_microblaze_TRUE@      microblaze/modules.o \
 @SIM_ENABLE_ARCH_microblaze_TRUE@      microblaze/sim-resume.o
-am_microblaze_libsim_a_OBJECTS =
-microblaze_libsim_a_OBJECTS = $(am_microblaze_libsim_a_OBJECTS)
+@SIM_ENABLE_ARCH_microblaze_TRUE@am_microblaze_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_microblaze_TRUE@      $(am__objects_1)
+@SIM_ENABLE_ARCH_microblaze_TRUE@nodist_microblaze_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_microblaze_TRUE@      microblaze/modules.$(OBJEXT)
+microblaze_libsim_a_OBJECTS = $(am_microblaze_libsim_a_OBJECTS) \
+       $(nodist_microblaze_libsim_a_OBJECTS)
 mips_libsim_a_AR = $(AR) $(ARFLAGS)
 am__DEPENDENCIES_1 =
 @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_MULTI_TRUE@am__DEPENDENCIES_2 = $(am__DEPENDENCIES_1) \
 @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_MULTI_TRUE@      mips/itable.o \
 @SIM_ENABLE_ARCH_mips_TRUE@@SIM_MIPS_GEN_MODE_MULTI_TRUE@      mips/multi-run.o
-@SIM_ENABLE_ARCH_mips_TRUE@am__DEPENDENCIES_3 = $(am__append_88) \
-@SIM_ENABLE_ARCH_mips_TRUE@    $(am__append_89) \
+@SIM_ENABLE_ARCH_mips_TRUE@am__DEPENDENCIES_3 = $(am__append_73) \
+@SIM_ENABLE_ARCH_mips_TRUE@    $(am__append_74) \
 @SIM_ENABLE_ARCH_mips_TRUE@    $(am__DEPENDENCIES_2)
-@SIM_ENABLE_ARCH_mips_TRUE@mips_libsim_a_DEPENDENCIES =  \
-@SIM_ENABLE_ARCH_mips_TRUE@    $(common_libcommon_a_OBJECTS) \
-@SIM_ENABLE_ARCH_mips_TRUE@    mips/interp.o $(am__DEPENDENCIES_3) \
-@SIM_ENABLE_ARCH_mips_TRUE@    $(patsubst \
+@SIM_ENABLE_ARCH_mips_TRUE@mips_libsim_a_DEPENDENCIES = mips/interp.o \
+@SIM_ENABLE_ARCH_mips_TRUE@    $(am__DEPENDENCIES_3) $(patsubst \
 @SIM_ENABLE_ARCH_mips_TRUE@    %,mips/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_mips_TRUE@    $(patsubst \
 @SIM_ENABLE_ARCH_mips_TRUE@    %,mips/dv-%.o,$(SIM_HW_DEVICES)) \
 @SIM_ENABLE_ARCH_mips_TRUE@    $(patsubst \
 @SIM_ENABLE_ARCH_mips_TRUE@    %,mips/dv-%.o,$(mips_SIM_EXTRA_HW_DEVICES)) \
 @SIM_ENABLE_ARCH_mips_TRUE@    mips/cp1.o mips/dsp.o mips/mdmx.o \
-@SIM_ENABLE_ARCH_mips_TRUE@    mips/modules.o mips/sim-main.o \
-@SIM_ENABLE_ARCH_mips_TRUE@    mips/sim-resume.o
-am_mips_libsim_a_OBJECTS =
-mips_libsim_a_OBJECTS = $(am_mips_libsim_a_OBJECTS)
+@SIM_ENABLE_ARCH_mips_TRUE@    mips/sim-main.o mips/sim-resume.o
+@SIM_ENABLE_ARCH_mips_TRUE@am_mips_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_mips_TRUE@    $(am__objects_1)
+@SIM_ENABLE_ARCH_mips_TRUE@nodist_mips_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_mips_TRUE@    mips/modules.$(OBJEXT)
+mips_libsim_a_OBJECTS = $(am_mips_libsim_a_OBJECTS) \
+       $(nodist_mips_libsim_a_OBJECTS)
 mn10300_libsim_a_AR = $(AR) $(ARFLAGS)
 @SIM_ENABLE_ARCH_mn10300_TRUE@mn10300_libsim_a_DEPENDENCIES =  \
-@SIM_ENABLE_ARCH_mn10300_TRUE@ $(common_libcommon_a_OBJECTS) \
 @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/itable.o \
 @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/semantics.o \
 @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/idecode.o \
@@ -755,115 +768,226 @@ mn10300_libsim_a_AR = $(AR) $(ARFLAGS)
 @SIM_ENABLE_ARCH_mn10300_TRUE@ $(patsubst \
 @SIM_ENABLE_ARCH_mn10300_TRUE@ %,mn10300/dv-%.o,$(mn10300_SIM_EXTRA_HW_DEVICES)) \
 @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/interp.o \
-@SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/modules.o \
 @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/op_utils.o \
 @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/sim-resume.o
-am_mn10300_libsim_a_OBJECTS =
-mn10300_libsim_a_OBJECTS = $(am_mn10300_libsim_a_OBJECTS)
+@SIM_ENABLE_ARCH_mn10300_TRUE@am_mn10300_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_mn10300_TRUE@ $(am__objects_1)
+@SIM_ENABLE_ARCH_mn10300_TRUE@nodist_mn10300_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/modules.$(OBJEXT)
+mn10300_libsim_a_OBJECTS = $(am_mn10300_libsim_a_OBJECTS) \
+       $(nodist_mn10300_libsim_a_OBJECTS)
 moxie_libsim_a_AR = $(AR) $(ARFLAGS)
-@SIM_ENABLE_ARCH_moxie_TRUE@moxie_libsim_a_DEPENDENCIES =  \
-@SIM_ENABLE_ARCH_moxie_TRUE@   $(common_libcommon_a_OBJECTS) \
-@SIM_ENABLE_ARCH_moxie_TRUE@   $(patsubst \
+@SIM_ENABLE_ARCH_moxie_TRUE@moxie_libsim_a_DEPENDENCIES = $(patsubst \
 @SIM_ENABLE_ARCH_moxie_TRUE@   %,moxie/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_moxie_TRUE@   $(patsubst \
 @SIM_ENABLE_ARCH_moxie_TRUE@   %,moxie/dv-%.o,$(SIM_HW_DEVICES)) \
-@SIM_ENABLE_ARCH_moxie_TRUE@   moxie/interp.o moxie/modules.o \
-@SIM_ENABLE_ARCH_moxie_TRUE@   moxie/sim-resume.o
-am_moxie_libsim_a_OBJECTS =
-moxie_libsim_a_OBJECTS = $(am_moxie_libsim_a_OBJECTS)
+@SIM_ENABLE_ARCH_moxie_TRUE@   moxie/interp.o moxie/sim-resume.o
+@SIM_ENABLE_ARCH_moxie_TRUE@am_moxie_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_moxie_TRUE@   $(am__objects_1)
+@SIM_ENABLE_ARCH_moxie_TRUE@nodist_moxie_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_moxie_TRUE@   moxie/modules.$(OBJEXT)
+moxie_libsim_a_OBJECTS = $(am_moxie_libsim_a_OBJECTS) \
+       $(nodist_moxie_libsim_a_OBJECTS)
 msp430_libsim_a_AR = $(AR) $(ARFLAGS)
 @SIM_ENABLE_ARCH_msp430_TRUE@msp430_libsim_a_DEPENDENCIES =  \
-@SIM_ENABLE_ARCH_msp430_TRUE@  $(common_libcommon_a_OBJECTS) \
 @SIM_ENABLE_ARCH_msp430_TRUE@  $(patsubst \
 @SIM_ENABLE_ARCH_msp430_TRUE@  %,msp430/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_msp430_TRUE@  $(patsubst \
 @SIM_ENABLE_ARCH_msp430_TRUE@  %,msp430/dv-%.o,$(SIM_HW_DEVICES)) \
 @SIM_ENABLE_ARCH_msp430_TRUE@  msp430/msp430-sim.o \
-@SIM_ENABLE_ARCH_msp430_TRUE@  msp430/modules.o \
 @SIM_ENABLE_ARCH_msp430_TRUE@  msp430/sim-resume.o
-am_msp430_libsim_a_OBJECTS =
-msp430_libsim_a_OBJECTS = $(am_msp430_libsim_a_OBJECTS)
+@SIM_ENABLE_ARCH_msp430_TRUE@am_msp430_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_msp430_TRUE@  $(am__objects_1)
+@SIM_ENABLE_ARCH_msp430_TRUE@nodist_msp430_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_msp430_TRUE@  msp430/modules.$(OBJEXT)
+msp430_libsim_a_OBJECTS = $(am_msp430_libsim_a_OBJECTS) \
+       $(nodist_msp430_libsim_a_OBJECTS)
 or1k_libsim_a_AR = $(AR) $(ARFLAGS)
-@SIM_ENABLE_ARCH_or1k_TRUE@or1k_libsim_a_DEPENDENCIES =  \
-@SIM_ENABLE_ARCH_or1k_TRUE@    $(common_libcommon_a_OBJECTS) \
-@SIM_ENABLE_ARCH_or1k_TRUE@    $(patsubst \
+@SIM_ENABLE_ARCH_or1k_TRUE@or1k_libsim_a_DEPENDENCIES = $(patsubst \
 @SIM_ENABLE_ARCH_or1k_TRUE@    %,or1k/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_or1k_TRUE@    $(patsubst \
 @SIM_ENABLE_ARCH_or1k_TRUE@    %,or1k/dv-%.o,$(SIM_HW_DEVICES)) \
-@SIM_ENABLE_ARCH_or1k_TRUE@    or1k/modules.o or1k/cgen-accfp.o \
-@SIM_ENABLE_ARCH_or1k_TRUE@    or1k/cgen-fpu.o or1k/cgen-run.o \
-@SIM_ENABLE_ARCH_or1k_TRUE@    or1k/cgen-scache.o \
+@SIM_ENABLE_ARCH_or1k_TRUE@    or1k/cgen-accfp.o or1k/cgen-fpu.o \
+@SIM_ENABLE_ARCH_or1k_TRUE@    or1k/cgen-run.o or1k/cgen-scache.o \
 @SIM_ENABLE_ARCH_or1k_TRUE@    or1k/cgen-trace.o or1k/cgen-utils.o \
 @SIM_ENABLE_ARCH_or1k_TRUE@    or1k/arch.o or1k/cpu.o \
 @SIM_ENABLE_ARCH_or1k_TRUE@    or1k/decode.o or1k/mloop.o \
 @SIM_ENABLE_ARCH_or1k_TRUE@    or1k/model.o or1k/sem.o or1k/or1k.o \
 @SIM_ENABLE_ARCH_or1k_TRUE@    or1k/sim-if.o or1k/traps.o
-am_or1k_libsim_a_OBJECTS =
-or1k_libsim_a_OBJECTS = $(am_or1k_libsim_a_OBJECTS)
+@SIM_ENABLE_ARCH_or1k_TRUE@am_or1k_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_or1k_TRUE@    $(am__objects_1)
+@SIM_ENABLE_ARCH_or1k_TRUE@nodist_or1k_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_or1k_TRUE@    or1k/modules.$(OBJEXT)
+or1k_libsim_a_OBJECTS = $(am_or1k_libsim_a_OBJECTS) \
+       $(nodist_or1k_libsim_a_OBJECTS)
+ppc_libigen_a_AR = $(AR) $(ARFLAGS)
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc_libigen_a_DEPENDENCIES = igen/filter.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     igen/filter_host.o igen/lf.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     igen/misc.o
+@SIM_ENABLE_ARCH_ppc_TRUE@am_ppc_libigen_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/table.$(OBJEXT) \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/lf-ppc.$(OBJEXT) \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/dumpf.$(OBJEXT) \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/ld-decode.$(OBJEXT) \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/ld-cache.$(OBJEXT) \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/filter-ppc.$(OBJEXT) \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/ld-insn.$(OBJEXT) \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/gen-model.$(OBJEXT) \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/gen-itable.$(OBJEXT) \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/gen-icache.$(OBJEXT) \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/gen-semantics.$(OBJEXT) \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/gen-idecode.$(OBJEXT) \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/gen-support.$(OBJEXT)
+ppc_libigen_a_OBJECTS = $(am_ppc_libigen_a_OBJECTS)
+ppc_libsim_a_AR = $(AR) $(ARFLAGS)
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc_libsim_a_DEPENDENCIES = ppc/debug.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/bits.o ppc/sim-endian.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/os_emul.o ppc/emul_generic.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/emul_bugapi.o ppc/emul_chirp.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/emul_netbsd.o ppc/emul_unix.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/registers.o ppc/vm.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/corefile.o ppc/model.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/spreg.o ppc/cpu.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/interrupts.o ppc/events.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/cap.o ppc/device.o ppc/tree.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/device_table.o ppc/itable.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/mon.o ppc/icache.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/semantics.o ppc/idecode.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/support.o ppc/sim-fpu.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/psim.o ppc/pk_disklabel.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(patsubst \
+@SIM_ENABLE_ARCH_ppc_TRUE@     %,ppc/%,$(sim_ppc_hw_obj)) \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/options.o ppc/gdb-sim.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/sim_calls.o
+@SIM_ENABLE_ARCH_ppc_TRUE@am_ppc_libsim_a_OBJECTS = $(am__objects_1)
+ppc_libsim_a_OBJECTS = $(am_ppc_libsim_a_OBJECTS)
 pru_libsim_a_AR = $(AR) $(ARFLAGS)
-@SIM_ENABLE_ARCH_pru_TRUE@pru_libsim_a_DEPENDENCIES =  \
-@SIM_ENABLE_ARCH_pru_TRUE@     $(common_libcommon_a_OBJECTS) \
-@SIM_ENABLE_ARCH_pru_TRUE@     $(patsubst \
+@SIM_ENABLE_ARCH_pru_TRUE@pru_libsim_a_DEPENDENCIES = $(patsubst \
 @SIM_ENABLE_ARCH_pru_TRUE@     %,pru/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_pru_TRUE@     $(patsubst \
 @SIM_ENABLE_ARCH_pru_TRUE@     %,pru/dv-%.o,$(SIM_HW_DEVICES)) \
-@SIM_ENABLE_ARCH_pru_TRUE@     pru/interp.o pru/modules.o \
-@SIM_ENABLE_ARCH_pru_TRUE@     pru/sim-resume.o
-am_pru_libsim_a_OBJECTS =
-pru_libsim_a_OBJECTS = $(am_pru_libsim_a_OBJECTS)
-@SIM_ENABLE_IGEN_TRUE@am__EXEEXT_1 = $(IGEN) igen/filter$(EXEEXT) \
-@SIM_ENABLE_IGEN_TRUE@ igen/gen$(EXEEXT) igen/ld-cache$(EXEEXT) \
-@SIM_ENABLE_IGEN_TRUE@ igen/ld-decode$(EXEEXT) \
-@SIM_ENABLE_IGEN_TRUE@ igen/ld-insn$(EXEEXT) \
-@SIM_ENABLE_IGEN_TRUE@ igen/table$(EXEEXT)
-@SIM_ENABLE_IGEN_TRUE@am__EXEEXT_2 = $(am__EXEEXT_1)
-@SIM_ENABLE_ARCH_cr16_TRUE@am__EXEEXT_3 = cr16/gencode$(EXEEXT)
-@SIM_ENABLE_ARCH_d10v_TRUE@am__EXEEXT_4 = d10v/gencode$(EXEEXT)
-@SIM_ENABLE_ARCH_m32c_TRUE@am__EXEEXT_5 = m32c/opc2c$(EXEEXT)
-@SIM_ENABLE_ARCH_m68hc11_TRUE@am__EXEEXT_6 = m68hc11/gencode$(EXEEXT)
-@SIM_ENABLE_ARCH_sh_TRUE@am__EXEEXT_7 = sh/gencode$(EXEEXT)
-am__EXEEXT_8 = testsuite/common/bits32m0$(EXEEXT) \
+@SIM_ENABLE_ARCH_pru_TRUE@     pru/interp.o pru/sim-resume.o
+@SIM_ENABLE_ARCH_pru_TRUE@am_pru_libsim_a_OBJECTS = $(am__objects_1)
+@SIM_ENABLE_ARCH_pru_TRUE@nodist_pru_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_pru_TRUE@     pru/modules.$(OBJEXT)
+pru_libsim_a_OBJECTS = $(am_pru_libsim_a_OBJECTS) \
+       $(nodist_pru_libsim_a_OBJECTS)
+riscv_libsim_a_AR = $(AR) $(ARFLAGS)
+@SIM_ENABLE_ARCH_riscv_TRUE@riscv_libsim_a_DEPENDENCIES = $(patsubst \
+@SIM_ENABLE_ARCH_riscv_TRUE@   %,riscv/%,$(SIM_NEW_COMMON_OBJS)) \
+@SIM_ENABLE_ARCH_riscv_TRUE@   $(patsubst \
+@SIM_ENABLE_ARCH_riscv_TRUE@   %,riscv/dv-%.o,$(SIM_HW_DEVICES)) \
+@SIM_ENABLE_ARCH_riscv_TRUE@   riscv/interp.o riscv/machs.o \
+@SIM_ENABLE_ARCH_riscv_TRUE@   riscv/sim-main.o \
+@SIM_ENABLE_ARCH_riscv_TRUE@   riscv/sim-resume.o
+@SIM_ENABLE_ARCH_riscv_TRUE@am_riscv_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_riscv_TRUE@   $(am__objects_1)
+@SIM_ENABLE_ARCH_riscv_TRUE@nodist_riscv_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_riscv_TRUE@   riscv/modules.$(OBJEXT)
+riscv_libsim_a_OBJECTS = $(am_riscv_libsim_a_OBJECTS) \
+       $(nodist_riscv_libsim_a_OBJECTS)
+rl78_libsim_a_AR = $(AR) $(ARFLAGS)
+@SIM_ENABLE_ARCH_rl78_TRUE@rl78_libsim_a_DEPENDENCIES = rl78/load.o \
+@SIM_ENABLE_ARCH_rl78_TRUE@    rl78/mem.o rl78/cpu.o rl78/rl78.o \
+@SIM_ENABLE_ARCH_rl78_TRUE@    rl78/gdb-if.o rl78/trace.o
+@SIM_ENABLE_ARCH_rl78_TRUE@am_rl78_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_rl78_TRUE@    $(am__objects_1)
+@SIM_ENABLE_ARCH_rl78_TRUE@nodist_rl78_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_rl78_TRUE@    rl78/modules.$(OBJEXT)
+rl78_libsim_a_OBJECTS = $(am_rl78_libsim_a_OBJECTS) \
+       $(nodist_rl78_libsim_a_OBJECTS)
+rx_libsim_a_AR = $(AR) $(ARFLAGS)
+@SIM_ENABLE_ARCH_rx_TRUE@rx_libsim_a_DEPENDENCIES = rx/fpu.o rx/load.o \
+@SIM_ENABLE_ARCH_rx_TRUE@      rx/mem.o rx/misc.o rx/reg.o rx/rx.o \
+@SIM_ENABLE_ARCH_rx_TRUE@      rx/syscalls.o rx/trace.o rx/gdb-if.o \
+@SIM_ENABLE_ARCH_rx_TRUE@      rx/err.o
+@SIM_ENABLE_ARCH_rx_TRUE@am_rx_libsim_a_OBJECTS = $(am__objects_1)
+@SIM_ENABLE_ARCH_rx_TRUE@nodist_rx_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_rx_TRUE@      rx/modules.$(OBJEXT)
+rx_libsim_a_OBJECTS = $(am_rx_libsim_a_OBJECTS) \
+       $(nodist_rx_libsim_a_OBJECTS)
+sh_libsim_a_AR = $(AR) $(ARFLAGS)
+@SIM_ENABLE_ARCH_sh_TRUE@sh_libsim_a_DEPENDENCIES = sh/interp.o \
+@SIM_ENABLE_ARCH_sh_TRUE@      $(patsubst \
+@SIM_ENABLE_ARCH_sh_TRUE@      %,sh/%,$(SIM_NEW_COMMON_OBJS)) \
+@SIM_ENABLE_ARCH_sh_TRUE@      $(patsubst \
+@SIM_ENABLE_ARCH_sh_TRUE@      %,sh/dv-%.o,$(SIM_HW_DEVICES)) \
+@SIM_ENABLE_ARCH_sh_TRUE@      sh/table.o
+@SIM_ENABLE_ARCH_sh_TRUE@am_sh_libsim_a_OBJECTS = $(am__objects_1)
+@SIM_ENABLE_ARCH_sh_TRUE@nodist_sh_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_sh_TRUE@      sh/modules.$(OBJEXT)
+sh_libsim_a_OBJECTS = $(am_sh_libsim_a_OBJECTS) \
+       $(nodist_sh_libsim_a_OBJECTS)
+v850_libsim_a_AR = $(AR) $(ARFLAGS)
+@SIM_ENABLE_ARCH_v850_TRUE@v850_libsim_a_DEPENDENCIES = $(patsubst \
+@SIM_ENABLE_ARCH_v850_TRUE@    %,v850/%,$(SIM_NEW_COMMON_OBJS)) \
+@SIM_ENABLE_ARCH_v850_TRUE@    $(patsubst \
+@SIM_ENABLE_ARCH_v850_TRUE@    %,v850/dv-%.o,$(SIM_HW_DEVICES)) \
+@SIM_ENABLE_ARCH_v850_TRUE@    v850/simops.o v850/interp.o \
+@SIM_ENABLE_ARCH_v850_TRUE@    v850/itable.o v850/semantics.o \
+@SIM_ENABLE_ARCH_v850_TRUE@    v850/idecode.o v850/icache.o \
+@SIM_ENABLE_ARCH_v850_TRUE@    v850/engine.o v850/irun.o \
+@SIM_ENABLE_ARCH_v850_TRUE@    v850/support.o v850/sim-resume.o
+@SIM_ENABLE_ARCH_v850_TRUE@am_v850_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_v850_TRUE@    $(am__objects_1)
+@SIM_ENABLE_ARCH_v850_TRUE@nodist_v850_libsim_a_OBJECTS =  \
+@SIM_ENABLE_ARCH_v850_TRUE@    v850/modules.$(OBJEXT)
+v850_libsim_a_OBJECTS = $(am_v850_libsim_a_OBJECTS) \
+       $(nodist_v850_libsim_a_OBJECTS)
+am__EXEEXT_1 = $(IGEN) igen/filter$(EXEEXT) igen/gen$(EXEEXT) \
+       igen/ld-cache$(EXEEXT) igen/ld-decode$(EXEEXT) \
+       igen/ld-insn$(EXEEXT) igen/table$(EXEEXT)
+@SIM_ENABLE_ARCH_cr16_TRUE@am__EXEEXT_2 = cr16/gencode$(EXEEXT)
+@SIM_ENABLE_ARCH_d10v_TRUE@am__EXEEXT_3 = d10v/gencode$(EXEEXT)
+@SIM_ENABLE_ARCH_m32c_TRUE@am__EXEEXT_4 = m32c/opc2c$(EXEEXT)
+@SIM_ENABLE_ARCH_m68hc11_TRUE@am__EXEEXT_5 = m68hc11/gencode$(EXEEXT)
+@SIM_ENABLE_ARCH_ppc_TRUE@am__EXEEXT_6 = $(PPC_IGEN) \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/ld-cache$(EXEEXT) \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/ld-decode$(EXEEXT) \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/ld-insn$(EXEEXT)
+@SIM_ENABLE_ARCH_ppc_TRUE@am__EXEEXT_7 = $(am__EXEEXT_6)
+@SIM_ENABLE_ARCH_sh_TRUE@am__EXEEXT_8 = sh/gencode$(EXEEXT)
+am__EXEEXT_9 = testsuite/common/bits32m0$(EXEEXT) \
        testsuite/common/bits32m31$(EXEEXT) \
        testsuite/common/bits64m0$(EXEEXT) \
        testsuite/common/bits64m63$(EXEEXT) \
        testsuite/common/alu-tst$(EXEEXT)
-@SIM_ENABLE_ARCH_cris_TRUE@am__EXEEXT_9 = cris/rvdummy$(EXEEXT)
-@SIM_ENABLE_ARCH_aarch64_TRUE@am__EXEEXT_10 = aarch64/run$(EXEEXT)
-@SIM_ENABLE_ARCH_arm_TRUE@am__EXEEXT_11 = arm/run$(EXEEXT)
-@SIM_ENABLE_ARCH_avr_TRUE@am__EXEEXT_12 = avr/run$(EXEEXT)
-@SIM_ENABLE_ARCH_bfin_TRUE@am__EXEEXT_13 = bfin/run$(EXEEXT)
-@SIM_ENABLE_ARCH_bpf_TRUE@am__EXEEXT_14 = bpf/run$(EXEEXT)
-@SIM_ENABLE_ARCH_cr16_TRUE@am__EXEEXT_15 = cr16/run$(EXEEXT)
-@SIM_ENABLE_ARCH_cris_TRUE@am__EXEEXT_16 = cris/run$(EXEEXT)
-@SIM_ENABLE_ARCH_d10v_TRUE@am__EXEEXT_17 = d10v/run$(EXEEXT)
-@SIM_ENABLE_ARCH_erc32_TRUE@am__EXEEXT_18 = erc32/run$(EXEEXT) \
+@SIM_ENABLE_ARCH_cris_TRUE@am__EXEEXT_10 = cris/rvdummy$(EXEEXT)
+@SIM_ENABLE_ARCH_aarch64_TRUE@am__EXEEXT_11 = aarch64/run$(EXEEXT)
+@SIM_ENABLE_ARCH_arm_TRUE@am__EXEEXT_12 = arm/run$(EXEEXT)
+@SIM_ENABLE_ARCH_avr_TRUE@am__EXEEXT_13 = avr/run$(EXEEXT)
+@SIM_ENABLE_ARCH_bfin_TRUE@am__EXEEXT_14 = bfin/run$(EXEEXT)
+@SIM_ENABLE_ARCH_bpf_TRUE@am__EXEEXT_15 = bpf/run$(EXEEXT)
+@SIM_ENABLE_ARCH_cr16_TRUE@am__EXEEXT_16 = cr16/run$(EXEEXT)
+@SIM_ENABLE_ARCH_cris_TRUE@am__EXEEXT_17 = cris/run$(EXEEXT)
+@SIM_ENABLE_ARCH_d10v_TRUE@am__EXEEXT_18 = d10v/run$(EXEEXT)
+@SIM_ENABLE_ARCH_erc32_TRUE@am__EXEEXT_19 = erc32/run$(EXEEXT) \
 @SIM_ENABLE_ARCH_erc32_TRUE@   erc32/sis$(EXEEXT)
-@SIM_ENABLE_ARCH_examples_TRUE@am__EXEEXT_19 =  \
+@SIM_ENABLE_ARCH_examples_TRUE@am__EXEEXT_20 =  \
 @SIM_ENABLE_ARCH_examples_TRUE@        example-synacor/run$(EXEEXT)
-@SIM_ENABLE_ARCH_frv_TRUE@am__EXEEXT_20 = frv/run$(EXEEXT)
-@SIM_ENABLE_ARCH_ft32_TRUE@am__EXEEXT_21 = ft32/run$(EXEEXT)
-@SIM_ENABLE_ARCH_h8300_TRUE@am__EXEEXT_22 = h8300/run$(EXEEXT)
-@SIM_ENABLE_ARCH_iq2000_TRUE@am__EXEEXT_23 = iq2000/run$(EXEEXT)
-@SIM_ENABLE_ARCH_lm32_TRUE@am__EXEEXT_24 = lm32/run$(EXEEXT)
-@SIM_ENABLE_ARCH_m32c_TRUE@am__EXEEXT_25 = m32c/run$(EXEEXT)
-@SIM_ENABLE_ARCH_m32r_TRUE@am__EXEEXT_26 = m32r/run$(EXEEXT)
-@SIM_ENABLE_ARCH_m68hc11_TRUE@am__EXEEXT_27 = m68hc11/run$(EXEEXT)
-@SIM_ENABLE_ARCH_mcore_TRUE@am__EXEEXT_28 = mcore/run$(EXEEXT)
-@SIM_ENABLE_ARCH_microblaze_TRUE@am__EXEEXT_29 =  \
+@SIM_ENABLE_ARCH_frv_TRUE@am__EXEEXT_21 = frv/run$(EXEEXT)
+@SIM_ENABLE_ARCH_ft32_TRUE@am__EXEEXT_22 = ft32/run$(EXEEXT)
+@SIM_ENABLE_ARCH_h8300_TRUE@am__EXEEXT_23 = h8300/run$(EXEEXT)
+@SIM_ENABLE_ARCH_iq2000_TRUE@am__EXEEXT_24 = iq2000/run$(EXEEXT)
+@SIM_ENABLE_ARCH_lm32_TRUE@am__EXEEXT_25 = lm32/run$(EXEEXT)
+@SIM_ENABLE_ARCH_m32c_TRUE@am__EXEEXT_26 = m32c/run$(EXEEXT)
+@SIM_ENABLE_ARCH_m32r_TRUE@am__EXEEXT_27 = m32r/run$(EXEEXT)
+@SIM_ENABLE_ARCH_m68hc11_TRUE@am__EXEEXT_28 = m68hc11/run$(EXEEXT)
+@SIM_ENABLE_ARCH_mcore_TRUE@am__EXEEXT_29 = mcore/run$(EXEEXT)
+@SIM_ENABLE_ARCH_microblaze_TRUE@am__EXEEXT_30 =  \
 @SIM_ENABLE_ARCH_microblaze_TRUE@      microblaze/run$(EXEEXT)
-@SIM_ENABLE_ARCH_mips_TRUE@am__EXEEXT_30 = mips/run$(EXEEXT)
-@SIM_ENABLE_ARCH_mn10300_TRUE@am__EXEEXT_31 = mn10300/run$(EXEEXT)
-@SIM_ENABLE_ARCH_moxie_TRUE@am__EXEEXT_32 = moxie/run$(EXEEXT)
-@SIM_ENABLE_ARCH_msp430_TRUE@am__EXEEXT_33 = msp430/run$(EXEEXT)
-@SIM_ENABLE_ARCH_or1k_TRUE@am__EXEEXT_34 = or1k/run$(EXEEXT)
-@SIM_ENABLE_ARCH_ppc_TRUE@am__EXEEXT_35 = ppc/run$(EXEEXT) \
-@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/psim$(EXEEXT)
-@SIM_ENABLE_ARCH_pru_TRUE@am__EXEEXT_36 = pru/run$(EXEEXT)
-@SIM_ENABLE_ARCH_riscv_TRUE@am__EXEEXT_37 = riscv/run$(EXEEXT)
-@SIM_ENABLE_ARCH_rl78_TRUE@am__EXEEXT_38 = rl78/run$(EXEEXT)
-@SIM_ENABLE_ARCH_rx_TRUE@am__EXEEXT_39 = rx/run$(EXEEXT)
-@SIM_ENABLE_ARCH_sh_TRUE@am__EXEEXT_40 = sh/run$(EXEEXT)
-@SIM_ENABLE_ARCH_v850_TRUE@am__EXEEXT_41 = v850/run$(EXEEXT)
+@SIM_ENABLE_ARCH_mips_TRUE@am__EXEEXT_31 = mips/run$(EXEEXT)
+@SIM_ENABLE_ARCH_mn10300_TRUE@am__EXEEXT_32 = mn10300/run$(EXEEXT)
+@SIM_ENABLE_ARCH_moxie_TRUE@am__EXEEXT_33 = moxie/run$(EXEEXT)
+@SIM_ENABLE_ARCH_msp430_TRUE@am__EXEEXT_34 = msp430/run$(EXEEXT)
+@SIM_ENABLE_ARCH_or1k_TRUE@am__EXEEXT_35 = or1k/run$(EXEEXT)
+@SIM_ENABLE_ARCH_ppc_TRUE@am__EXEEXT_36 = ppc/run$(EXEEXT)
+@SIM_ENABLE_ARCH_pru_TRUE@am__EXEEXT_37 = pru/run$(EXEEXT)
+@SIM_ENABLE_ARCH_riscv_TRUE@am__EXEEXT_38 = riscv/run$(EXEEXT)
+@SIM_ENABLE_ARCH_rl78_TRUE@am__EXEEXT_39 = rl78/run$(EXEEXT)
+@SIM_ENABLE_ARCH_rx_TRUE@am__EXEEXT_40 = rx/run$(EXEEXT)
+@SIM_ENABLE_ARCH_sh_TRUE@am__EXEEXT_41 = sh/run$(EXEEXT)
+@SIM_ENABLE_ARCH_v850_TRUE@am__EXEEXT_42 = v850/run$(EXEEXT)
 PROGRAMS = $(noinst_PROGRAMS)
 am_aarch64_run_OBJECTS =
 aarch64_run_OBJECTS = $(am_aarch64_run_OBJECTS)
@@ -949,31 +1073,25 @@ h8300_run_OBJECTS = $(am_h8300_run_OBJECTS)
 @SIM_ENABLE_ARCH_h8300_TRUE@   $(am__DEPENDENCIES_4)
 am_igen_filter_OBJECTS =
 igen_filter_OBJECTS = $(am_igen_filter_OBJECTS)
-@SIM_ENABLE_IGEN_TRUE@igen_filter_DEPENDENCIES = igen/filter-main.o \
-@SIM_ENABLE_IGEN_TRUE@ igen/libigen.a
+igen_filter_DEPENDENCIES = igen/filter-main.o igen/libigen.a
 am_igen_gen_OBJECTS =
 igen_gen_OBJECTS = $(am_igen_gen_OBJECTS)
-@SIM_ENABLE_IGEN_TRUE@igen_gen_DEPENDENCIES = igen/gen-main.o \
-@SIM_ENABLE_IGEN_TRUE@ igen/libigen.a
-@SIM_ENABLE_IGEN_TRUE@am_igen_igen_OBJECTS = igen/igen.$(OBJEXT)
+igen_gen_DEPENDENCIES = igen/gen-main.o igen/libigen.a
+am_igen_igen_OBJECTS = igen/igen.$(OBJEXT)
 igen_igen_OBJECTS = $(am_igen_igen_OBJECTS)
-@SIM_ENABLE_IGEN_TRUE@igen_igen_DEPENDENCIES = igen/libigen.a
+igen_igen_DEPENDENCIES = igen/libigen.a
 am_igen_ld_cache_OBJECTS =
 igen_ld_cache_OBJECTS = $(am_igen_ld_cache_OBJECTS)
-@SIM_ENABLE_IGEN_TRUE@igen_ld_cache_DEPENDENCIES =  \
-@SIM_ENABLE_IGEN_TRUE@ igen/ld-cache-main.o igen/libigen.a
+igen_ld_cache_DEPENDENCIES = igen/ld-cache-main.o igen/libigen.a
 am_igen_ld_decode_OBJECTS =
 igen_ld_decode_OBJECTS = $(am_igen_ld_decode_OBJECTS)
-@SIM_ENABLE_IGEN_TRUE@igen_ld_decode_DEPENDENCIES =  \
-@SIM_ENABLE_IGEN_TRUE@ igen/ld-decode-main.o igen/libigen.a
+igen_ld_decode_DEPENDENCIES = igen/ld-decode-main.o igen/libigen.a
 am_igen_ld_insn_OBJECTS =
 igen_ld_insn_OBJECTS = $(am_igen_ld_insn_OBJECTS)
-@SIM_ENABLE_IGEN_TRUE@igen_ld_insn_DEPENDENCIES = igen/ld-insn-main.o \
-@SIM_ENABLE_IGEN_TRUE@ igen/libigen.a
+igen_ld_insn_DEPENDENCIES = igen/ld-insn-main.o igen/libigen.a
 am_igen_table_OBJECTS =
 igen_table_OBJECTS = $(am_igen_table_OBJECTS)
-@SIM_ENABLE_IGEN_TRUE@igen_table_DEPENDENCIES = igen/table-main.o \
-@SIM_ENABLE_IGEN_TRUE@ igen/libigen.a
+igen_table_DEPENDENCIES = igen/table-main.o igen/libigen.a
 am_iq2000_run_OBJECTS =
 iq2000_run_OBJECTS = $(am_iq2000_run_OBJECTS)
 @SIM_ENABLE_ARCH_iq2000_TRUE@iq2000_run_DEPENDENCIES = iq2000/nrun.o \
@@ -1038,13 +1156,25 @@ am_or1k_run_OBJECTS =
 or1k_run_OBJECTS = $(am_or1k_run_OBJECTS)
 @SIM_ENABLE_ARCH_or1k_TRUE@or1k_run_DEPENDENCIES = or1k/nrun.o \
 @SIM_ENABLE_ARCH_or1k_TRUE@    or1k/libsim.a $(am__DEPENDENCIES_4)
-ppc_psim_SOURCES = ppc/psim.c
-ppc_psim_OBJECTS = ppc/psim.$(OBJEXT)
-ppc_psim_LDADD = $(LDADD)
-am_ppc_run_OBJECTS =
+@SIM_ENABLE_ARCH_ppc_TRUE@am_ppc_igen_OBJECTS = ppc/igen.$(OBJEXT)
+ppc_igen_OBJECTS = $(am_ppc_igen_OBJECTS)
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc_igen_DEPENDENCIES = ppc/libigen.a
+am_ppc_ld_cache_OBJECTS =
+ppc_ld_cache_OBJECTS = $(am_ppc_ld_cache_OBJECTS)
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc_ld_cache_DEPENDENCIES =  \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/ld-cache-main.o ppc/libigen.a
+am_ppc_ld_decode_OBJECTS =
+ppc_ld_decode_OBJECTS = $(am_ppc_ld_decode_OBJECTS)
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc_ld_decode_DEPENDENCIES =  \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/ld-decode-main.o ppc/libigen.a
+am_ppc_ld_insn_OBJECTS =
+ppc_ld_insn_OBJECTS = $(am_ppc_ld_insn_OBJECTS)
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc_ld_insn_DEPENDENCIES =  \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/ld-insn-main.o ppc/libigen.a
+@SIM_ENABLE_ARCH_ppc_TRUE@am_ppc_run_OBJECTS = ppc/main.$(OBJEXT)
 ppc_run_OBJECTS = $(am_ppc_run_OBJECTS)
-@SIM_ENABLE_ARCH_ppc_TRUE@ppc_run_DEPENDENCIES = ppc/main.o \
-@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/libsim.a $(am__DEPENDENCIES_4)
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc_run_DEPENDENCIES = ppc/libsim.a \
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(am__DEPENDENCIES_4)
 am_pru_run_OBJECTS =
 pru_run_OBJECTS = $(am_pru_run_OBJECTS)
 @SIM_ENABLE_ARCH_pru_TRUE@pru_run_DEPENDENCIES = pru/nrun.o \
@@ -1133,25 +1263,47 @@ AM_V_CCLD = $(am__v_CCLD_@AM_V@)
 am__v_CCLD_ = $(am__v_CCLD_@AM_DEFAULT_V@)
 am__v_CCLD_0 = @echo "  CCLD    " $@;
 am__v_CCLD_1 = 
-SOURCES = $(aarch64_libsim_a_SOURCES) $(arm_libsim_a_SOURCES) \
-       $(avr_libsim_a_SOURCES) $(bfin_libsim_a_SOURCES) \
-       $(bpf_libsim_a_SOURCES) $(common_libcommon_a_SOURCES) \
-       $(cr16_libsim_a_SOURCES) $(cris_libsim_a_SOURCES) \
-       $(d10v_libsim_a_SOURCES) $(erc32_libsim_a_SOURCES) \
-       $(example_synacor_libsim_a_SOURCES) $(frv_libsim_a_SOURCES) \
-       $(ft32_libsim_a_SOURCES) $(h8300_libsim_a_SOURCES) \
+SOURCES = $(aarch64_libsim_a_SOURCES) \
+       $(nodist_aarch64_libsim_a_SOURCES) $(arm_libsim_a_SOURCES) \
+       $(nodist_arm_libsim_a_SOURCES) $(avr_libsim_a_SOURCES) \
+       $(nodist_avr_libsim_a_SOURCES) $(bfin_libsim_a_SOURCES) \
+       $(nodist_bfin_libsim_a_SOURCES) $(bpf_libsim_a_SOURCES) \
+       $(nodist_bpf_libsim_a_SOURCES) $(common_libcommon_a_SOURCES) \
+       $(cr16_libsim_a_SOURCES) $(nodist_cr16_libsim_a_SOURCES) \
+       $(cris_libsim_a_SOURCES) $(nodist_cris_libsim_a_SOURCES) \
+       $(d10v_libsim_a_SOURCES) $(nodist_d10v_libsim_a_SOURCES) \
+       $(erc32_libsim_a_SOURCES) $(nodist_erc32_libsim_a_SOURCES) \
+       $(example_synacor_libsim_a_SOURCES) \
+       $(nodist_example_synacor_libsim_a_SOURCES) \
+       $(frv_libsim_a_SOURCES) $(nodist_frv_libsim_a_SOURCES) \
+       $(ft32_libsim_a_SOURCES) $(nodist_ft32_libsim_a_SOURCES) \
+       $(h8300_libsim_a_SOURCES) $(nodist_h8300_libsim_a_SOURCES) \
        $(igen_libigen_a_SOURCES) $(iq2000_libsim_a_SOURCES) \
-       $(lm32_libsim_a_SOURCES) $(m32c_libsim_a_SOURCES) \
-       $(m32r_libsim_a_SOURCES) $(m68hc11_libsim_a_SOURCES) \
-       $(mcore_libsim_a_SOURCES) $(microblaze_libsim_a_SOURCES) \
-       $(mips_libsim_a_SOURCES) $(mn10300_libsim_a_SOURCES) \
-       $(moxie_libsim_a_SOURCES) $(msp430_libsim_a_SOURCES) \
-       $(or1k_libsim_a_SOURCES) $(pru_libsim_a_SOURCES) \
-       $(aarch64_run_SOURCES) $(arm_run_SOURCES) $(avr_run_SOURCES) \
-       $(bfin_run_SOURCES) $(bpf_run_SOURCES) $(cr16_gencode_SOURCES) \
-       $(cr16_run_SOURCES) $(cris_run_SOURCES) \
-       $(cris_rvdummy_SOURCES) $(d10v_gencode_SOURCES) \
-       $(d10v_run_SOURCES) $(erc32_run_SOURCES) erc32/sis.c \
+       $(nodist_iq2000_libsim_a_SOURCES) $(lm32_libsim_a_SOURCES) \
+       $(nodist_lm32_libsim_a_SOURCES) $(m32c_libsim_a_SOURCES) \
+       $(nodist_m32c_libsim_a_SOURCES) $(m32r_libsim_a_SOURCES) \
+       $(nodist_m32r_libsim_a_SOURCES) $(m68hc11_libsim_a_SOURCES) \
+       $(nodist_m68hc11_libsim_a_SOURCES) $(mcore_libsim_a_SOURCES) \
+       $(nodist_mcore_libsim_a_SOURCES) \
+       $(microblaze_libsim_a_SOURCES) \
+       $(nodist_microblaze_libsim_a_SOURCES) $(mips_libsim_a_SOURCES) \
+       $(nodist_mips_libsim_a_SOURCES) $(mn10300_libsim_a_SOURCES) \
+       $(nodist_mn10300_libsim_a_SOURCES) $(moxie_libsim_a_SOURCES) \
+       $(nodist_moxie_libsim_a_SOURCES) $(msp430_libsim_a_SOURCES) \
+       $(nodist_msp430_libsim_a_SOURCES) $(or1k_libsim_a_SOURCES) \
+       $(nodist_or1k_libsim_a_SOURCES) $(ppc_libigen_a_SOURCES) \
+       $(ppc_libsim_a_SOURCES) $(pru_libsim_a_SOURCES) \
+       $(nodist_pru_libsim_a_SOURCES) $(riscv_libsim_a_SOURCES) \
+       $(nodist_riscv_libsim_a_SOURCES) $(rl78_libsim_a_SOURCES) \
+       $(nodist_rl78_libsim_a_SOURCES) $(rx_libsim_a_SOURCES) \
+       $(nodist_rx_libsim_a_SOURCES) $(sh_libsim_a_SOURCES) \
+       $(nodist_sh_libsim_a_SOURCES) $(v850_libsim_a_SOURCES) \
+       $(nodist_v850_libsim_a_SOURCES) $(aarch64_run_SOURCES) \
+       $(arm_run_SOURCES) $(avr_run_SOURCES) $(bfin_run_SOURCES) \
+       $(bpf_run_SOURCES) $(cr16_gencode_SOURCES) $(cr16_run_SOURCES) \
+       $(cris_run_SOURCES) $(cris_rvdummy_SOURCES) \
+       $(d10v_gencode_SOURCES) $(d10v_run_SOURCES) \
+       $(erc32_run_SOURCES) erc32/sis.c \
        $(example_synacor_run_SOURCES) $(frv_run_SOURCES) \
        $(ft32_run_SOURCES) $(h8300_run_SOURCES) \
        $(igen_filter_SOURCES) $(igen_gen_SOURCES) \
@@ -1163,22 +1315,15 @@ SOURCES = $(aarch64_libsim_a_SOURCES) $(arm_libsim_a_SOURCES) \
        $(m68hc11_run_SOURCES) $(mcore_run_SOURCES) \
        $(microblaze_run_SOURCES) $(mips_run_SOURCES) \
        $(mn10300_run_SOURCES) $(moxie_run_SOURCES) \
-       $(msp430_run_SOURCES) $(or1k_run_SOURCES) ppc/psim.c \
-       $(ppc_run_SOURCES) $(pru_run_SOURCES) $(riscv_run_SOURCES) \
-       $(rl78_run_SOURCES) $(rx_run_SOURCES) $(sh_gencode_SOURCES) \
-       $(sh_run_SOURCES) testsuite/common/alu-tst.c \
-       testsuite/common/bits-gen.c testsuite/common/bits32m0.c \
-       testsuite/common/bits32m31.c testsuite/common/bits64m0.c \
-       testsuite/common/bits64m63.c testsuite/common/fpu-tst.c \
-       $(v850_run_SOURCES)
-RECURSIVE_TARGETS = all-recursive check-recursive cscopelist-recursive \
-       ctags-recursive dvi-recursive html-recursive info-recursive \
-       install-data-recursive install-dvi-recursive \
-       install-exec-recursive install-html-recursive \
-       install-info-recursive install-pdf-recursive \
-       install-ps-recursive install-recursive installcheck-recursive \
-       installdirs-recursive pdf-recursive ps-recursive \
-       tags-recursive uninstall-recursive
+       $(msp430_run_SOURCES) $(or1k_run_SOURCES) $(ppc_igen_SOURCES) \
+       $(ppc_ld_cache_SOURCES) $(ppc_ld_decode_SOURCES) \
+       $(ppc_ld_insn_SOURCES) $(ppc_run_SOURCES) $(pru_run_SOURCES) \
+       $(riscv_run_SOURCES) $(rl78_run_SOURCES) $(rx_run_SOURCES) \
+       $(sh_gencode_SOURCES) $(sh_run_SOURCES) \
+       testsuite/common/alu-tst.c testsuite/common/bits-gen.c \
+       testsuite/common/bits32m0.c testsuite/common/bits32m31.c \
+       testsuite/common/bits64m0.c testsuite/common/bits64m63.c \
+       testsuite/common/fpu-tst.c $(v850_run_SOURCES)
 am__can_run_installinfo = \
   case $$AM_UPDATE_INFO_DIR in \
     n|no|NO) false;; \
@@ -1220,14 +1365,6 @@ DATA = $(armdoc_DATA) $(dtb_DATA) $(erc32doc_DATA) $(frvdoc_DATA) \
 am__pkginclude_HEADERS_DIST = $(srcroot)/include/sim/callback.h \
        $(srcroot)/include/sim/sim.h
 HEADERS = $(pkginclude_HEADERS)
-RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
-  distclean-recursive maintainer-clean-recursive
-am__recursive_targets = \
-  $(RECURSIVE_TARGETS) \
-  $(RECURSIVE_CLEAN_TARGETS) \
-  $(am__extra_recursive_targets)
-AM_RECURSIVE_TARGETS = $(am__recursive_targets:-recursive=) TAGS CTAGS \
-       cscope check recheck
 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) \
        $(LISP)config.h.in
 # Read a list of newline-separated strings from the standard input,
@@ -1249,6 +1386,7 @@ am__define_uniq_tagged_files = \
 ETAGS = etags
 CTAGS = ctags
 CSCOPE = cscope
+AM_RECURSIVE_TARGETS = cscope check recheck
 DEJATOOL = $(PACKAGE)
 RUNTESTDEFAULTFLAGS = --tool $$tool --srcdir $$srcdir
 EXPECT = expect
@@ -1429,7 +1567,6 @@ TEST_LOGS = $(am__test_logs2:.test.log=.log)
 TEST_LOG_DRIVER = $(SHELL) $(top_srcdir)/../test-driver
 TEST_LOG_COMPILE = $(TEST_LOG_COMPILER) $(AM_TEST_LOG_FLAGS) \
        $(TEST_LOG_FLAGS)
-DIST_SUBDIRS = $(SUBDIRS)
 ACLOCAL = @ACLOCAL@
 AMTAR = @AMTAR@
 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
@@ -1472,6 +1609,8 @@ AUTOCONF = @AUTOCONF@
 AUTOHEADER = @AUTOHEADER@
 AUTOMAKE = @AUTOMAKE@
 AWK = @AWK@
+BUILD_WARN_CFLAGS = @BUILD_WARN_CFLAGS@
+BUILD_WERROR_CFLAGS = @BUILD_WERROR_CFLAGS@
 CC = @CC@
 CCDEPMODE = @CCDEPMODE@
 CC_FOR_BUILD = @CC_FOR_BUILD@
@@ -1608,8 +1747,8 @@ SDL_LIBS = @SDL_LIBS@
 SED = @SED@
 SET_MAKE = @SET_MAKE@
 SHELL = @SHELL@
-SIM_COMMON_BUILD_FALSE = @SIM_COMMON_BUILD_FALSE@
-SIM_COMMON_BUILD_TRUE = @SIM_COMMON_BUILD_TRUE@
+SIM_CFLAG_WNO_SHADOW_LOCAL = @SIM_CFLAG_WNO_SHADOW_LOCAL@
+SIM_CFLAG_WNO_UNUSED_BUT_SET_VARIABLE = @SIM_CFLAG_WNO_UNUSED_BUT_SET_VARIABLE@
 SIM_ENABLED_ARCHES = @SIM_ENABLED_ARCHES@
 SIM_FRV_TRAPDUMP_FLAGS = @SIM_FRV_TRAPDUMP_FLAGS@
 SIM_HW_CFLAGS = @SIM_HW_CFLAGS@
@@ -1628,7 +1767,6 @@ SIM_MIPS_SUBTARGET = @SIM_MIPS_SUBTARGET@
 SIM_PRIMARY_TARGET = @SIM_PRIMARY_TARGET@
 SIM_RISCV_BITSIZE = @SIM_RISCV_BITSIZE@
 SIM_RX_CYCLE_ACCURATE_FLAGS = @SIM_RX_CYCLE_ACCURATE_FLAGS@
-SIM_SUBDIRS = @SIM_SUBDIRS@
 SIM_TOOLCHAIN_VARS = @SIM_TOOLCHAIN_VARS@
 STRIP = @STRIP@
 TERMCAP_LIB = @TERMCAP_LIB@
@@ -1682,10 +1820,27 @@ program_transform_name = @program_transform_name@
 psdir = @psdir@
 sbindir = @sbindir@
 sharedstatedir = @sharedstatedir@
-sim_bitsize = @sim_bitsize@
-sim_float = @sim_float@
+sim_ppc_bitsize = @sim_ppc_bitsize@
+sim_ppc_decode_mechanism = @sim_ppc_decode_mechanism@
+sim_ppc_default_model = @sim_ppc_default_model@
+sim_ppc_dup = @sim_ppc_dup@
+sim_ppc_filter = @sim_ppc_filter@
+sim_ppc_float = @sim_ppc_float@
+sim_ppc_hw_obj = @sim_ppc_hw_obj@
+sim_ppc_hw_src = @sim_ppc_hw_src@
+sim_ppc_icache = @sim_ppc_icache@
+sim_ppc_igen_smp = @sim_ppc_igen_smp@
+sim_ppc_jump = @sim_ppc_jump@
+sim_ppc_line_nr = @sim_ppc_line_nr@
+sim_ppc_model = @sim_ppc_model@
+sim_ppc_model_issue = @sim_ppc_model_issue@
+sim_ppc_monitor = @sim_ppc_monitor@
+sim_ppc_opcode = @sim_ppc_opcode@
+sim_ppc_smp = @sim_ppc_smp@
+sim_ppc_switch = @sim_ppc_switch@
+sim_ppc_timebase = @sim_ppc_timebase@
+sim_ppc_xor_endian = @sim_ppc_xor_endian@
 srcdir = @srcdir@
-subdirs = @subdirs@
 sysconfdir = @sysconfdir@
 target = @target@
 target_alias = @target_alias@
@@ -1700,65 +1855,71 @@ ACLOCAL_AMFLAGS = -Im4 -I.. -I../config
 GNULIB_PARENT_DIR = ..
 srccom = $(srcdir)/common
 srcroot = $(srcdir)/..
-SUBDIRS = @subdirs@ $(SIM_SUBDIRS)
-AM_MAKEFLAGS = SIM_NEW_COMMON_OBJS_="$(SIM_NEW_COMMON_OBJS)" \
-       $(am__append_3) $(am__append_16) $(am__append_30) \
-       $(am__append_63) $(am__append_74) $(am__append_80) \
-       $(am__append_93) $(am__append_103)
 pkginclude_HEADERS = $(am__append_1)
-noinst_LIBRARIES = common/libcommon.a $(am__append_5) $(am__append_8) \
-       $(am__append_10) $(am__append_12) $(am__append_14) \
-       $(am__append_17) $(am__append_22) $(am__append_28) \
-       $(am__append_35) $(am__append_41) $(am__append_45) \
-       $(am__append_47) $(am__append_52) $(am__append_54) \
-       $(am__append_56) $(am__append_61) $(am__append_67) \
-       $(am__append_72) $(am__append_78) $(am__append_84) \
-       $(am__append_86) $(am__append_91) $(am__append_101) \
-       $(am__append_107) $(am__append_109) $(am__append_111) \
-       $(am__append_117)
-BUILT_SOURCES = $(am__append_19) $(am__append_24) $(am__append_32) \
-       $(am__append_37) $(am__append_49) $(am__append_58) \
-       $(am__append_64) $(am__append_75) $(am__append_94) \
-       $(am__append_104) $(am__append_113) $(am__append_123) \
-       $(am__append_128)
+EXTRA_LIBRARIES = igen/libigen.a $(am__append_101)
+noinst_LIBRARIES = common/libcommon.a $(am__append_3) $(am__append_5) \
+       $(am__append_7) $(am__append_9) $(am__append_11) \
+       $(am__append_13) $(am__append_19) $(am__append_25) \
+       $(am__append_31) $(am__append_35) $(am__append_37) \
+       $(am__append_42) $(am__append_44) $(am__append_46) \
+       $(am__append_51) $(am__append_56) $(am__append_60) \
+       $(am__append_65) $(am__append_69) $(am__append_71) \
+       $(am__append_76) $(am__append_84) $(am__append_88) \
+       $(am__append_90) $(am__append_92) $(am__append_97) \
+       $(am__append_103) $(am__append_105) $(am__append_107) \
+       $(am__append_109) $(am__append_111) $(am__append_116)
+BUILT_SOURCES = $(am__append_15) $(am__append_22) $(am__append_27) \
+       $(am__append_39) $(am__append_48) $(am__append_53) \
+       $(am__append_62) $(am__append_78) $(am__append_86) \
+       $(am__append_94) $(am__append_99) $(am__append_113) \
+       $(am__append_118)
 CLEANFILES = common/version.c common/version.c-stamp \
        testsuite/common/bits-gen testsuite/common/bits32m0.c \
        testsuite/common/bits32m31.c testsuite/common/bits64m0.c \
-       testsuite/common/bits64m63.c
-DISTCLEANFILES = $(am__append_100)
-MOSTLYCLEANFILES = core $(common_HW_CONFIG_H_TARGETS) $(patsubst \
-       %,%/stamp-hw,$(SIM_ENABLED_ARCHES)) \
-       $(common_GEN_MODULES_C_TARGETS) $(patsubst \
-       %,%/stamp-modules,$(SIM_ENABLED_ARCHES)) $(am__append_7) \
-       site-sim-config.exp testrun.log testrun.sum $(am__append_21) \
-       $(am__append_27) $(am__append_34) $(am__append_40) \
-       $(am__append_51) $(am__append_60) $(am__append_66) \
-       $(am__append_71) $(am__append_77) $(am__append_83) \
-       $(am__append_99) $(am__append_106) $(am__append_115) \
-       $(am__append_126) $(am__append_130)
-AM_CFLAGS = $(WERROR_CFLAGS) $(WARN_CFLAGS)
-AM_CPPFLAGS = $(INCGNU) -I$(srcroot)/include -I../bfd -I.. \
-       $(SIM_HW_CFLAGS) $(SIM_INLINE) -I$(srcdir)/common \
-       -DSIM_COMMON_BUILD
+       testsuite/common/bits64m63.c $(am__append_17) $(am__append_23) \
+       $(am__append_29) $(am__append_40) $(am__append_49) \
+       $(am__append_54) $(am__append_63) $(am__append_95)
+DISTCLEANFILES = $(am__append_83)
+MOSTLYCLEANFILES = core $(SIM_ENABLED_ARCHES:%=%/*.o) \
+       $(SIM_ENABLED_ARCHES:%=%/hw-config.h) \
+       $(SIM_ENABLED_ARCHES:%=%/stamp-hw) \
+       $(SIM_ENABLED_ARCHES:%=%/modules.c) \
+       $(SIM_ENABLED_ARCHES:%=%/stamp-modules) $(igen_IGEN_TOOLS) \
+       igen/libigen.a site-sim-config.exp testrun.log testrun.sum \
+       $(am__append_18) $(am__append_24) $(am__append_30) \
+       $(am__append_41) $(am__append_50) $(am__append_55) \
+       $(am__append_59) $(am__append_64) $(am__append_68) \
+       $(am__append_82) $(am__append_87) $(am__append_96) \
+       $(am__append_100) $(am__append_115) $(am__append_119)
+CONFIG_STATUS_DEPENDENCIES = $(srcroot)/bfd/development.sh
+AM_CFLAGS = \
+       $(WERROR_CFLAGS) \
+       $(WARN_CFLAGS) \
+       $(AM_CFLAGS_$(subst -,_,$(@D))) \
+       $(AM_CFLAGS_$(subst -,_,$(@D)_$(@F)))
+
+AM_CPPFLAGS = $(INCGNU) -I$(srcroot) -I$(srcroot)/include -I../bfd \
+       -I.. -I$(@D) -I$(srcdir)/$(@D) $(SIM_HW_CFLAGS) $(SIM_INLINE) \
+       $(AM_CPPFLAGS_$(subst -,_,$(@D))) $(AM_CPPFLAGS_$(subst \
+       -,_,$(@D)_$(@F))) -I$(srcdir)/common -DSIM_TOPDIR_BUILD
 AM_CPPFLAGS_FOR_BUILD = -I$(srcroot)/include $(SIM_HW_CFLAGS) \
        $(SIM_INLINE) -I$(srcdir)/common
-COMPILE_FOR_BUILD = $(CC_FOR_BUILD) $(AM_CPPFLAGS_FOR_BUILD) $(CPPFLAGS_FOR_BUILD) $(CFLAGS_FOR_BUILD)
+COMPILE_FOR_BUILD = $(CC_FOR_BUILD) $(AM_CPPFLAGS_FOR_BUILD) $(CPPFLAGS_FOR_BUILD) $(CFLAGS_FOR_BUILD) $(BUILD_WERROR_CFLAGS) $(BUILD_WARN_CFLAGS)
 LINK_FOR_BUILD = $(CC_FOR_BUILD) $(CFLAGS_FOR_BUILD) $(LDFLAGS_FOR_BUILD) -o $@
-SIM_ALL_RECURSIVE_DEPS = common/libcommon.a \
-       $(common_HW_CONFIG_H_TARGETS) $(common_GEN_MODULES_C_TARGETS) \
-       $(am__append_4) $(am__append_20) $(am__append_25) \
-       $(am__append_33) $(am__append_38) $(am__append_50) \
-       $(am__append_59) $(am__append_65) $(am__append_69) \
-       $(am__append_76) $(am__append_81) $(am__append_98) \
-       $(am__append_105) $(am__append_114) $(am__append_124) \
-       $(am__append_129)
 SIM_INSTALL_DATA_LOCAL_DEPS = 
-SIM_INSTALL_EXEC_LOCAL_DEPS = $(am__append_43)
-SIM_UNINSTALL_LOCAL_DEPS = $(am__append_44)
+SIM_INSTALL_EXEC_LOCAL_DEPS = $(am__append_33)
+SIM_UNINSTALL_LOCAL_DEPS = $(am__append_34)
+SIM_DEPBASE = $(@D)/$(DEPDIR)/$(@F:.o=)
+SIM_COMPILE = \
+       $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(SIM_DEPBASE).Tpo -c -o $@ $< && \
+       $(am__mv) $(SIM_DEPBASE).Tpo $(SIM_DEPBASE).Po
+
+AM_CPPFLAGS_common = -DSIM_COMMON_BUILD
 common_libcommon_a_SOURCES = \
        common/callback.c \
        common/portability.c \
        common/sim-load.c \
+       common/sim-signal.c \
        common/syscall.c \
        common/target-newlib-errno.c \
        common/target-newlib-open.c \
@@ -1782,18 +1943,17 @@ SIM_NEW_COMMON_OBJS = sim-arange.o sim-bits.o sim-close.o \
        sim-command.o sim-config.o sim-core.o sim-cpu.o sim-endian.o \
        sim-engine.o sim-events.o sim-fpu.o sim-hload.o sim-hrw.o \
        sim-io.o sim-info.o sim-memopt.o sim-model.o sim-module.o \
-       sim-options.o sim-profile.o sim-reason.o sim-reg.o \
-       sim-signal.o sim-stop.o sim-syscall.o sim-trace.o sim-utils.o \
-       sim-watch.o $(am__append_2)
+       sim-options.o sim-profile.o sim-reason.o sim-reg.o sim-stop.o \
+       sim-syscall.o sim-trace.o sim-utils.o sim-watch.o \
+       $(am__append_2)
 SIM_HW_DEVICES = cfi core pal glue
-common_HW_CONFIG_H_TARGETS = $(patsubst %,%/hw-config.h,$(SIM_ENABLED_ARCHES))
 am_arch_d = $(subst -,_,$(@D))
 GEN_MODULES_C_SRCS = \
        $(wildcard \
-               $(patsubst %.o,$(abs_srcdir)/%.c,$($(am_arch_d)_libsim_a_OBJECTS) $($(am_arch_d)_libsim_a_LIBADD)) \
-               $(filter-out %.o,$(patsubst $(@D)/%.o,$(abs_srcdir)/common/%.c,$($(am_arch_d)_libsim_a_LIBADD))))
+               $(patsubst %,$(srcdir)/%,$($(am_arch_d)_libsim_a_SOURCES)) \
+               $(patsubst %.o,$(srcdir)/%.c,$($(am_arch_d)_libsim_a_OBJECTS) $($(am_arch_d)_libsim_a_LIBADD)) \
+               $(filter-out %.o,$(patsubst $(@D)/%.o,$(srcdir)/common/%.c,$($(am_arch_d)_libsim_a_LIBADD))))
 
-common_GEN_MODULES_C_TARGETS = $(patsubst %,%/modules.c,$(filter-out ppc,$(SIM_ENABLED_ARCHES)))
 LIBIBERTY_LIB = ../libiberty/libiberty.a
 BFD_LIB = ../bfd/libbfd.la
 OPCODES_LIB = ../opcodes/libopcodes.la
@@ -1804,7 +1964,7 @@ SIM_COMMON_LIBS = \
        $(LIBGNU) \
        $(LIBGNU_EXTRA_LIBS)
 
-GUILE = $(or $(wildcard ../guile/libguile/guile),guile)
+GUILE = guile
 CGEN = "$(GUILE) -l $(cgendir)/guile.scm -s"
 CGENFLAGS = -v
 CGEN_CPU_DIR = $(cgendir)/cpu
@@ -1857,51 +2017,58 @@ CGEN_GEN_CPU_DESC = \
                $(@D) "$$FLAGS" $$cpu "$$isa" $$mach "$$SUFFIX" \
                $(CGEN_ARCHFILE) ignored $$opcfile
 
+CGEN_GEN_MLOOP = \
+       $(SHELL) $(srccom)/lineno.sh \
+               $(srccom)/genmloop.sh \
+               $@.lineno.sh \
+               -shell $(SHELL) -awk $(AWK) -lineno $(srccom)/lineno.sh \
+               -infile $< -outfile-prefix $(@D)/
+
 
 # igen leaks memory, and therefore makes AddressSanitizer unhappy.  Disable
 # leak detection while running it.
-@SIM_ENABLE_IGEN_TRUE@IGEN = igen/igen$(EXEEXT)
-@SIM_ENABLE_IGEN_TRUE@IGEN_RUN = ASAN_OPTIONS=detect_leaks=0 $(IGEN) $(IGEN_FLAGS_SMP)
-@SIM_ENABLE_IGEN_TRUE@igen_libigen_a_SOURCES = \
-@SIM_ENABLE_IGEN_TRUE@ igen/table.c \
-@SIM_ENABLE_IGEN_TRUE@ igen/lf.c \
-@SIM_ENABLE_IGEN_TRUE@ igen/misc.c \
-@SIM_ENABLE_IGEN_TRUE@ igen/filter_host.c \
-@SIM_ENABLE_IGEN_TRUE@ igen/ld-decode.c \
-@SIM_ENABLE_IGEN_TRUE@ igen/ld-cache.c \
-@SIM_ENABLE_IGEN_TRUE@ igen/filter.c \
-@SIM_ENABLE_IGEN_TRUE@ igen/ld-insn.c \
-@SIM_ENABLE_IGEN_TRUE@ igen/gen-model.c \
-@SIM_ENABLE_IGEN_TRUE@ igen/gen-itable.c \
-@SIM_ENABLE_IGEN_TRUE@ igen/gen-icache.c \
-@SIM_ENABLE_IGEN_TRUE@ igen/gen-semantics.c \
-@SIM_ENABLE_IGEN_TRUE@ igen/gen-idecode.c \
-@SIM_ENABLE_IGEN_TRUE@ igen/gen-support.c \
-@SIM_ENABLE_IGEN_TRUE@ igen/gen-engine.c \
-@SIM_ENABLE_IGEN_TRUE@ igen/gen.c
-
-@SIM_ENABLE_IGEN_TRUE@igen_igen_SOURCES = igen/igen.c
-@SIM_ENABLE_IGEN_TRUE@igen_igen_LDADD = igen/libigen.a
-@SIM_ENABLE_IGEN_TRUE@igen_filter_SOURCES = 
-@SIM_ENABLE_IGEN_TRUE@igen_filter_LDADD = igen/filter-main.o igen/libigen.a
-@SIM_ENABLE_IGEN_TRUE@igen_gen_SOURCES = 
-@SIM_ENABLE_IGEN_TRUE@igen_gen_LDADD = igen/gen-main.o igen/libigen.a
-@SIM_ENABLE_IGEN_TRUE@igen_ld_cache_SOURCES = 
-@SIM_ENABLE_IGEN_TRUE@igen_ld_cache_LDADD = igen/ld-cache-main.o igen/libigen.a
-@SIM_ENABLE_IGEN_TRUE@igen_ld_decode_SOURCES = 
-@SIM_ENABLE_IGEN_TRUE@igen_ld_decode_LDADD = igen/ld-decode-main.o igen/libigen.a
-@SIM_ENABLE_IGEN_TRUE@igen_ld_insn_SOURCES = 
-@SIM_ENABLE_IGEN_TRUE@igen_ld_insn_LDADD = igen/ld-insn-main.o igen/libigen.a
-@SIM_ENABLE_IGEN_TRUE@igen_table_SOURCES = 
-@SIM_ENABLE_IGEN_TRUE@igen_table_LDADD = igen/table-main.o igen/libigen.a
-@SIM_ENABLE_IGEN_TRUE@igen_IGEN_TOOLS = \
-@SIM_ENABLE_IGEN_TRUE@ $(IGEN) \
-@SIM_ENABLE_IGEN_TRUE@ igen/filter \
-@SIM_ENABLE_IGEN_TRUE@ igen/gen \
-@SIM_ENABLE_IGEN_TRUE@ igen/ld-cache \
-@SIM_ENABLE_IGEN_TRUE@ igen/ld-decode \
-@SIM_ENABLE_IGEN_TRUE@ igen/ld-insn \
-@SIM_ENABLE_IGEN_TRUE@ igen/table
+IGEN = igen/igen$(EXEEXT)
+IGEN_RUN = ASAN_OPTIONS=detect_leaks=0 $(IGEN) $(IGEN_FLAGS_SMP)
+igen_libigen_a_SOURCES = \
+       igen/table.c \
+       igen/lf.c \
+       igen/misc.c \
+       igen/filter_host.c \
+       igen/ld-decode.c \
+       igen/ld-cache.c \
+       igen/filter.c \
+       igen/ld-insn.c \
+       igen/gen-model.c \
+       igen/gen-itable.c \
+       igen/gen-icache.c \
+       igen/gen-semantics.c \
+       igen/gen-idecode.c \
+       igen/gen-support.c \
+       igen/gen-engine.c \
+       igen/gen.c
+
+igen_igen_SOURCES = igen/igen.c
+igen_igen_LDADD = igen/libigen.a
+igen_filter_SOURCES = 
+igen_filter_LDADD = igen/filter-main.o igen/libigen.a
+igen_gen_SOURCES = 
+igen_gen_LDADD = igen/gen-main.o igen/libigen.a
+igen_ld_cache_SOURCES = 
+igen_ld_cache_LDADD = igen/ld-cache-main.o igen/libigen.a
+igen_ld_decode_SOURCES = 
+igen_ld_decode_LDADD = igen/ld-decode-main.o igen/libigen.a
+igen_ld_insn_SOURCES = 
+igen_ld_insn_LDADD = igen/ld-insn-main.o igen/libigen.a
+igen_table_SOURCES = 
+igen_table_LDADD = igen/table-main.o igen/libigen.a
+igen_IGEN_TOOLS = \
+       $(IGEN) \
+       igen/filter \
+       igen/gen \
+       igen/ld-cache \
+       igen/ld-decode \
+       igen/ld-insn \
+       igen/table
 
 EXTRA_DEJAGNU_SITE_CONFIG = site-sim-config.exp
 
@@ -1921,15 +2088,18 @@ testsuite_common_CPPFLAGS = \
        -I$(srcroot)/include \
        -I../bfd
 
-@SIM_ENABLE_ARCH_aarch64_TRUE@aarch64_libsim_a_SOURCES = 
+@SIM_ENABLE_ARCH_aarch64_TRUE@nodist_aarch64_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_aarch64_TRUE@ aarch64/modules.c
+
+@SIM_ENABLE_ARCH_aarch64_TRUE@aarch64_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_aarch64_TRUE@ $(common_libcommon_a_SOURCES)
+
 @SIM_ENABLE_ARCH_aarch64_TRUE@aarch64_libsim_a_LIBADD = \
-@SIM_ENABLE_ARCH_aarch64_TRUE@ $(common_libcommon_a_OBJECTS) \
 @SIM_ENABLE_ARCH_aarch64_TRUE@ $(patsubst %,aarch64/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_aarch64_TRUE@ $(patsubst %,aarch64/dv-%.o,$(SIM_HW_DEVICES)) \
 @SIM_ENABLE_ARCH_aarch64_TRUE@ aarch64/cpustate.o \
 @SIM_ENABLE_ARCH_aarch64_TRUE@ aarch64/interp.o \
 @SIM_ENABLE_ARCH_aarch64_TRUE@ aarch64/memory.o \
-@SIM_ENABLE_ARCH_aarch64_TRUE@ aarch64/modules.o \
 @SIM_ENABLE_ARCH_aarch64_TRUE@ aarch64/sim-resume.o \
 @SIM_ENABLE_ARCH_aarch64_TRUE@ aarch64/simulator.o
 
@@ -1939,17 +2109,21 @@ testsuite_common_CPPFLAGS = \
 @SIM_ENABLE_ARCH_aarch64_TRUE@ aarch64/libsim.a \
 @SIM_ENABLE_ARCH_aarch64_TRUE@ $(SIM_COMMON_LIBS)
 
-@SIM_ENABLE_ARCH_arm_TRUE@arm_libsim_a_SOURCES = 
+@SIM_ENABLE_ARCH_arm_TRUE@AM_CPPFLAGS_arm = -DMODET
+@SIM_ENABLE_ARCH_arm_TRUE@nodist_arm_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_arm_TRUE@     arm/modules.c
+
+@SIM_ENABLE_ARCH_arm_TRUE@arm_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_arm_TRUE@     $(common_libcommon_a_SOURCES)
+
 @SIM_ENABLE_ARCH_arm_TRUE@arm_libsim_a_LIBADD = \
-@SIM_ENABLE_ARCH_arm_TRUE@     $(common_libcommon_a_OBJECTS) \
 @SIM_ENABLE_ARCH_arm_TRUE@     arm/wrapper.o \
 @SIM_ENABLE_ARCH_arm_TRUE@     $(patsubst %,arm/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_arm_TRUE@     $(patsubst %,arm/dv-%.o,$(SIM_HW_DEVICES)) \
 @SIM_ENABLE_ARCH_arm_TRUE@     arm/armemu.o \
 @SIM_ENABLE_ARCH_arm_TRUE@     arm/armemu32.o arm/arminit.o arm/armos.o arm/armsupp.o \
 @SIM_ENABLE_ARCH_arm_TRUE@     arm/armvirt.o arm/thumbemu.o \
-@SIM_ENABLE_ARCH_arm_TRUE@     arm/armcopro.o arm/maverick.o arm/iwmmxt.o \
-@SIM_ENABLE_ARCH_arm_TRUE@     arm/modules.o
+@SIM_ENABLE_ARCH_arm_TRUE@     arm/armcopro.o arm/maverick.o arm/iwmmxt.o
 
 @SIM_ENABLE_ARCH_arm_TRUE@arm_run_SOURCES = 
 @SIM_ENABLE_ARCH_arm_TRUE@arm_run_LDADD = \
@@ -1959,13 +2133,16 @@ testsuite_common_CPPFLAGS = \
 
 @SIM_ENABLE_ARCH_arm_TRUE@armdocdir = $(docdir)/arm
 @SIM_ENABLE_ARCH_arm_TRUE@armdoc_DATA = arm/README
-@SIM_ENABLE_ARCH_avr_TRUE@avr_libsim_a_SOURCES = 
+@SIM_ENABLE_ARCH_avr_TRUE@nodist_avr_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_avr_TRUE@     avr/modules.c
+
+@SIM_ENABLE_ARCH_avr_TRUE@avr_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_avr_TRUE@     $(common_libcommon_a_SOURCES)
+
 @SIM_ENABLE_ARCH_avr_TRUE@avr_libsim_a_LIBADD = \
-@SIM_ENABLE_ARCH_avr_TRUE@     $(common_libcommon_a_OBJECTS) \
 @SIM_ENABLE_ARCH_avr_TRUE@     avr/interp.o \
 @SIM_ENABLE_ARCH_avr_TRUE@     $(patsubst %,avr/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_avr_TRUE@     $(patsubst %,avr/dv-%.o,$(SIM_HW_DEVICES)) \
-@SIM_ENABLE_ARCH_avr_TRUE@     avr/modules.o \
 @SIM_ENABLE_ARCH_avr_TRUE@     avr/sim-resume.o
 
 @SIM_ENABLE_ARCH_avr_TRUE@avr_run_SOURCES = 
@@ -1974,9 +2151,14 @@ testsuite_common_CPPFLAGS = \
 @SIM_ENABLE_ARCH_avr_TRUE@     avr/libsim.a \
 @SIM_ENABLE_ARCH_avr_TRUE@     $(SIM_COMMON_LIBS)
 
-@SIM_ENABLE_ARCH_bfin_TRUE@bfin_libsim_a_SOURCES = 
+@SIM_ENABLE_ARCH_bfin_TRUE@AM_CPPFLAGS_bfin = $(SDL_CFLAGS)
+@SIM_ENABLE_ARCH_bfin_TRUE@nodist_bfin_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_bfin_TRUE@    bfin/modules.c
+
+@SIM_ENABLE_ARCH_bfin_TRUE@bfin_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_bfin_TRUE@    $(common_libcommon_a_SOURCES)
+
 @SIM_ENABLE_ARCH_bfin_TRUE@bfin_libsim_a_LIBADD = \
-@SIM_ENABLE_ARCH_bfin_TRUE@    $(common_libcommon_a_OBJECTS) \
 @SIM_ENABLE_ARCH_bfin_TRUE@    $(patsubst %,bfin/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_bfin_TRUE@    $(patsubst %,bfin/dv-%.o,$(SIM_HW_DEVICES)) \
 @SIM_ENABLE_ARCH_bfin_TRUE@    $(patsubst %,bfin/dv-%.o,$(bfin_SIM_EXTRA_HW_DEVICES)) \
@@ -1985,7 +2167,6 @@ testsuite_common_CPPFLAGS = \
 @SIM_ENABLE_ARCH_bfin_TRUE@    bfin/gui.o \
 @SIM_ENABLE_ARCH_bfin_TRUE@    bfin/interp.o \
 @SIM_ENABLE_ARCH_bfin_TRUE@    bfin/machs.o \
-@SIM_ENABLE_ARCH_bfin_TRUE@    bfin/modules.o \
 @SIM_ENABLE_ARCH_bfin_TRUE@    bfin/sim-resume.o
 
 @SIM_ENABLE_ARCH_bfin_TRUE@bfin_run_SOURCES = 
@@ -2027,31 +2208,17 @@ testsuite_common_CPPFLAGS = \
 @SIM_ENABLE_ARCH_bfin_TRUE@    bfin_wp \
 @SIM_ENABLE_ARCH_bfin_TRUE@    eth_phy
 
-@SIM_ENABLE_ARCH_bpf_TRUE@bpf_libsim_a_SOURCES = 
+@SIM_ENABLE_ARCH_bpf_TRUE@nodist_bpf_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/modules.c
+
+@SIM_ENABLE_ARCH_bpf_TRUE@bpf_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_bpf_TRUE@     $(common_libcommon_a_SOURCES)
+
 @SIM_ENABLE_ARCH_bpf_TRUE@bpf_libsim_a_LIBADD = \
-@SIM_ENABLE_ARCH_bpf_TRUE@     $(common_libcommon_a_OBJECTS) \
+@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/bpf-sim.o \
 @SIM_ENABLE_ARCH_bpf_TRUE@     $(patsubst %,bpf/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_bpf_TRUE@     $(patsubst %,bpf/dv-%.o,$(SIM_HW_DEVICES)) \
-@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/modules.o \
-@SIM_ENABLE_ARCH_bpf_TRUE@     \
-@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/cgen-run.o \
-@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/cgen-scache.o \
-@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/cgen-trace.o \
-@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/cgen-utils.o \
-@SIM_ENABLE_ARCH_bpf_TRUE@     \
-@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/arch.o \
-@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/cpu.o \
-@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/decode-le.o \
-@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/decode-be.o \
-@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/sem-le.o \
-@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/sem-be.o \
-@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/mloop-le.o \
-@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/mloop-be.o \
-@SIM_ENABLE_ARCH_bpf_TRUE@     \
-@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/bpf.o \
-@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/bpf-helpers.o \
-@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/sim-if.o \
-@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/traps.o
+@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/sim-resume.o
 
 @SIM_ENABLE_ARCH_bpf_TRUE@bpf_run_SOURCES = 
 @SIM_ENABLE_ARCH_bpf_TRUE@bpf_run_LDADD = \
@@ -2059,19 +2226,16 @@ testsuite_common_CPPFLAGS = \
 @SIM_ENABLE_ARCH_bpf_TRUE@     bpf/libsim.a \
 @SIM_ENABLE_ARCH_bpf_TRUE@     $(SIM_COMMON_LIBS)
 
-@SIM_ENABLE_ARCH_bpf_TRUE@bpf_BUILD_OUTPUTS = \
-@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/mloop-le.c \
-@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/stamp-mloop-le \
-@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/mloop-be.c \
-@SIM_ENABLE_ARCH_bpf_TRUE@     bpf/stamp-mloop-be
+@SIM_ENABLE_ARCH_cr16_TRUE@nodist_cr16_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_cr16_TRUE@    cr16/modules.c
+
+@SIM_ENABLE_ARCH_cr16_TRUE@cr16_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_cr16_TRUE@    $(common_libcommon_a_SOURCES)
 
-@SIM_ENABLE_ARCH_cr16_TRUE@cr16_libsim_a_SOURCES = 
 @SIM_ENABLE_ARCH_cr16_TRUE@cr16_libsim_a_LIBADD = \
-@SIM_ENABLE_ARCH_cr16_TRUE@    $(common_libcommon_a_OBJECTS) \
 @SIM_ENABLE_ARCH_cr16_TRUE@    $(patsubst %,cr16/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_cr16_TRUE@    $(patsubst %,cr16/dv-%.o,$(SIM_HW_DEVICES)) \
 @SIM_ENABLE_ARCH_cr16_TRUE@    cr16/interp.o \
-@SIM_ENABLE_ARCH_cr16_TRUE@    cr16/modules.o \
 @SIM_ENABLE_ARCH_cr16_TRUE@    cr16/sim-resume.o \
 @SIM_ENABLE_ARCH_cr16_TRUE@    cr16/simops.o \
 @SIM_ENABLE_ARCH_cr16_TRUE@    cr16/table.o
@@ -2088,13 +2252,19 @@ testsuite_common_CPPFLAGS = \
 
 @SIM_ENABLE_ARCH_cr16_TRUE@cr16_gencode_SOURCES = cr16/gencode.c
 @SIM_ENABLE_ARCH_cr16_TRUE@cr16_gencode_LDADD = cr16/cr16-opc.o
-@SIM_ENABLE_ARCH_cris_TRUE@cris_libsim_a_SOURCES = 
+@SIM_ENABLE_ARCH_cris_TRUE@AM_CFLAGS_cris_mloopv10f.o = $(SIM_CFLAG_WNO_UNUSED_BUT_SET_VARIABLE) \
+@SIM_ENABLE_ARCH_cris_TRUE@    $(SIM_CFLAG_WNO_SHADOW_LOCAL)
+@SIM_ENABLE_ARCH_cris_TRUE@AM_CFLAGS_cris_mloopv32f.o = $(SIM_CFLAG_WNO_UNUSED_BUT_SET_VARIABLE)
+@SIM_ENABLE_ARCH_cris_TRUE@nodist_cris_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_cris_TRUE@    cris/modules.c
+
+@SIM_ENABLE_ARCH_cris_TRUE@cris_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_cris_TRUE@    $(common_libcommon_a_SOURCES)
+
 @SIM_ENABLE_ARCH_cris_TRUE@cris_libsim_a_LIBADD = \
-@SIM_ENABLE_ARCH_cris_TRUE@    $(common_libcommon_a_OBJECTS) \
 @SIM_ENABLE_ARCH_cris_TRUE@    $(patsubst %,cris/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_cris_TRUE@    $(patsubst %,cris/dv-%.o,$(SIM_HW_DEVICES)) \
 @SIM_ENABLE_ARCH_cris_TRUE@    $(patsubst %,cris/dv-%.o,$(cris_SIM_EXTRA_HW_DEVICES)) \
-@SIM_ENABLE_ARCH_cris_TRUE@    cris/modules.o \
 @SIM_ENABLE_ARCH_cris_TRUE@    \
 @SIM_ENABLE_ARCH_cris_TRUE@    cris/cgen-run.o \
 @SIM_ENABLE_ARCH_cris_TRUE@    cris/cgen-scache.o \
@@ -2131,14 +2301,17 @@ testsuite_common_CPPFLAGS = \
 @SIM_ENABLE_ARCH_cris_TRUE@    cris/mloopv32f.c \
 @SIM_ENABLE_ARCH_cris_TRUE@    cris/stamp-mloop-v32f
 
-@SIM_ENABLE_ARCH_d10v_TRUE@d10v_libsim_a_SOURCES = 
+@SIM_ENABLE_ARCH_d10v_TRUE@nodist_d10v_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_d10v_TRUE@    d10v/modules.c
+
+@SIM_ENABLE_ARCH_d10v_TRUE@d10v_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_d10v_TRUE@    $(common_libcommon_a_SOURCES)
+
 @SIM_ENABLE_ARCH_d10v_TRUE@d10v_libsim_a_LIBADD = \
-@SIM_ENABLE_ARCH_d10v_TRUE@    $(common_libcommon_a_OBJECTS) \
 @SIM_ENABLE_ARCH_d10v_TRUE@    d10v/interp.o \
 @SIM_ENABLE_ARCH_d10v_TRUE@    $(patsubst %,d10v/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_d10v_TRUE@    $(patsubst %,d10v/dv-%.o,$(SIM_HW_DEVICES)) \
 @SIM_ENABLE_ARCH_d10v_TRUE@    d10v/endian.o \
-@SIM_ENABLE_ARCH_d10v_TRUE@    d10v/modules.o \
 @SIM_ENABLE_ARCH_d10v_TRUE@    d10v/sim-resume.o \
 @SIM_ENABLE_ARCH_d10v_TRUE@    d10v/simops.o \
 @SIM_ENABLE_ARCH_d10v_TRUE@    d10v/table.o
@@ -2155,16 +2328,22 @@ testsuite_common_CPPFLAGS = \
 
 @SIM_ENABLE_ARCH_d10v_TRUE@d10v_gencode_SOURCES = d10v/gencode.c
 @SIM_ENABLE_ARCH_d10v_TRUE@d10v_gencode_LDADD = d10v/d10v-opc.o
-@SIM_ENABLE_ARCH_erc32_TRUE@erc32_libsim_a_SOURCES = 
+@SIM_ENABLE_ARCH_erc32_TRUE@READLINE_SRC = $(srcroot)/readline/readline
+@SIM_ENABLE_ARCH_erc32_TRUE@AM_CPPFLAGS_erc32 = $(READLINE_CFLAGS) \
+@SIM_ENABLE_ARCH_erc32_TRUE@   -DFAST_UART
+@SIM_ENABLE_ARCH_erc32_TRUE@nodist_erc32_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_erc32_TRUE@   erc32/modules.c
+
+@SIM_ENABLE_ARCH_erc32_TRUE@erc32_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_erc32_TRUE@   $(common_libcommon_a_SOURCES)
+
 @SIM_ENABLE_ARCH_erc32_TRUE@erc32_libsim_a_LIBADD = \
-@SIM_ENABLE_ARCH_erc32_TRUE@   $(common_libcommon_a_OBJECTS) \
 @SIM_ENABLE_ARCH_erc32_TRUE@   erc32/erc32.o \
 @SIM_ENABLE_ARCH_erc32_TRUE@   erc32/exec.o \
 @SIM_ENABLE_ARCH_erc32_TRUE@   erc32/float.o \
 @SIM_ENABLE_ARCH_erc32_TRUE@   erc32/func.o \
 @SIM_ENABLE_ARCH_erc32_TRUE@   erc32/help.o \
-@SIM_ENABLE_ARCH_erc32_TRUE@   erc32/interf.o \
-@SIM_ENABLE_ARCH_erc32_TRUE@   erc32/modules.o
+@SIM_ENABLE_ARCH_erc32_TRUE@   erc32/interf.o
 
 @SIM_ENABLE_ARCH_erc32_TRUE@erc32_run_SOURCES = 
 @SIM_ENABLE_ARCH_erc32_TRUE@erc32_run_LDADD = \
@@ -2174,13 +2353,16 @@ testsuite_common_CPPFLAGS = \
 
 @SIM_ENABLE_ARCH_erc32_TRUE@erc32docdir = $(docdir)/erc32
 @SIM_ENABLE_ARCH_erc32_TRUE@erc32doc_DATA = erc32/README.erc32 erc32/README.gdb erc32/README.sis
-@SIM_ENABLE_ARCH_examples_TRUE@example_synacor_libsim_a_SOURCES = 
+@SIM_ENABLE_ARCH_examples_TRUE@nodist_example_synacor_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_examples_TRUE@        example-synacor/modules.c
+
+@SIM_ENABLE_ARCH_examples_TRUE@example_synacor_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_examples_TRUE@        $(common_libcommon_a_SOURCES)
+
 @SIM_ENABLE_ARCH_examples_TRUE@example_synacor_libsim_a_LIBADD = \
-@SIM_ENABLE_ARCH_examples_TRUE@        $(common_libcommon_a_OBJECTS) \
 @SIM_ENABLE_ARCH_examples_TRUE@        $(patsubst %,example-synacor/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_examples_TRUE@        $(patsubst %,example-synacor/dv-%.o,$(SIM_HW_DEVICES)) \
 @SIM_ENABLE_ARCH_examples_TRUE@        example-synacor/interp.o \
-@SIM_ENABLE_ARCH_examples_TRUE@        example-synacor/modules.o \
 @SIM_ENABLE_ARCH_examples_TRUE@        example-synacor/sim-main.o \
 @SIM_ENABLE_ARCH_examples_TRUE@        example-synacor/sim-resume.o
 
@@ -2190,12 +2372,17 @@ testsuite_common_CPPFLAGS = \
 @SIM_ENABLE_ARCH_examples_TRUE@        example-synacor/libsim.a \
 @SIM_ENABLE_ARCH_examples_TRUE@        $(SIM_COMMON_LIBS)
 
-@SIM_ENABLE_ARCH_frv_TRUE@frv_libsim_a_SOURCES = 
+@SIM_ENABLE_ARCH_frv_TRUE@AM_CPPFLAGS_frv = $(SIM_FRV_TRAPDUMP_FLAGS)
+@SIM_ENABLE_ARCH_frv_TRUE@AM_CFLAGS_frv_sem.o = -Wno-error
+@SIM_ENABLE_ARCH_frv_TRUE@nodist_frv_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_frv_TRUE@     frv/modules.c
+
+@SIM_ENABLE_ARCH_frv_TRUE@frv_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_frv_TRUE@     $(common_libcommon_a_SOURCES)
+
 @SIM_ENABLE_ARCH_frv_TRUE@frv_libsim_a_LIBADD = \
-@SIM_ENABLE_ARCH_frv_TRUE@     $(common_libcommon_a_OBJECTS) \
 @SIM_ENABLE_ARCH_frv_TRUE@     $(patsubst %,frv/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_frv_TRUE@     $(patsubst %,frv/dv-%.o,$(SIM_HW_DEVICES)) \
-@SIM_ENABLE_ARCH_frv_TRUE@     frv/modules.o \
 @SIM_ENABLE_ARCH_frv_TRUE@     \
 @SIM_ENABLE_ARCH_frv_TRUE@     frv/cgen-accfp.o \
 @SIM_ENABLE_ARCH_frv_TRUE@     frv/cgen-fpu.o \
@@ -2240,13 +2427,16 @@ testsuite_common_CPPFLAGS = \
 @SIM_ENABLE_ARCH_frv_TRUE@     frv/mloop.c \
 @SIM_ENABLE_ARCH_frv_TRUE@     frv/stamp-mloop
 
-@SIM_ENABLE_ARCH_ft32_TRUE@ft32_libsim_a_SOURCES = 
+@SIM_ENABLE_ARCH_ft32_TRUE@nodist_ft32_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_ft32_TRUE@    ft32/modules.c
+
+@SIM_ENABLE_ARCH_ft32_TRUE@ft32_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_ft32_TRUE@    $(common_libcommon_a_SOURCES)
+
 @SIM_ENABLE_ARCH_ft32_TRUE@ft32_libsim_a_LIBADD = \
-@SIM_ENABLE_ARCH_ft32_TRUE@    $(common_libcommon_a_OBJECTS) \
 @SIM_ENABLE_ARCH_ft32_TRUE@    $(patsubst %,ft32/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_ft32_TRUE@    $(patsubst %,ft32/dv-%.o,$(SIM_HW_DEVICES)) \
 @SIM_ENABLE_ARCH_ft32_TRUE@    ft32/interp.o \
-@SIM_ENABLE_ARCH_ft32_TRUE@    ft32/modules.o \
 @SIM_ENABLE_ARCH_ft32_TRUE@    ft32/sim-resume.o
 
 @SIM_ENABLE_ARCH_ft32_TRUE@ft32_run_SOURCES = 
@@ -2255,13 +2445,16 @@ testsuite_common_CPPFLAGS = \
 @SIM_ENABLE_ARCH_ft32_TRUE@    ft32/libsim.a \
 @SIM_ENABLE_ARCH_ft32_TRUE@    $(SIM_COMMON_LIBS)
 
-@SIM_ENABLE_ARCH_h8300_TRUE@h8300_libsim_a_SOURCES = 
+@SIM_ENABLE_ARCH_h8300_TRUE@nodist_h8300_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_h8300_TRUE@   h8300/modules.c
+
+@SIM_ENABLE_ARCH_h8300_TRUE@h8300_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_h8300_TRUE@   $(common_libcommon_a_SOURCES)
+
 @SIM_ENABLE_ARCH_h8300_TRUE@h8300_libsim_a_LIBADD = \
-@SIM_ENABLE_ARCH_h8300_TRUE@   $(common_libcommon_a_OBJECTS) \
 @SIM_ENABLE_ARCH_h8300_TRUE@   h8300/compile.o \
 @SIM_ENABLE_ARCH_h8300_TRUE@   $(patsubst %,h8300/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_h8300_TRUE@   $(patsubst %,h8300/dv-%.o,$(SIM_HW_DEVICES)) \
-@SIM_ENABLE_ARCH_h8300_TRUE@   h8300/modules.o \
 @SIM_ENABLE_ARCH_h8300_TRUE@   h8300/sim-resume.o
 
 @SIM_ENABLE_ARCH_h8300_TRUE@h8300_run_SOURCES = 
@@ -2270,12 +2463,15 @@ testsuite_common_CPPFLAGS = \
 @SIM_ENABLE_ARCH_h8300_TRUE@   h8300/libsim.a \
 @SIM_ENABLE_ARCH_h8300_TRUE@   $(SIM_COMMON_LIBS)
 
-@SIM_ENABLE_ARCH_iq2000_TRUE@iq2000_libsim_a_SOURCES = 
+@SIM_ENABLE_ARCH_iq2000_TRUE@nodist_iq2000_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_iq2000_TRUE@  iq2000/modules.c
+
+@SIM_ENABLE_ARCH_iq2000_TRUE@iq2000_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_iq2000_TRUE@  $(common_libcommon_a_SOURCES)
+
 @SIM_ENABLE_ARCH_iq2000_TRUE@iq2000_libsim_a_LIBADD = \
-@SIM_ENABLE_ARCH_iq2000_TRUE@  $(common_libcommon_a_OBJECTS) \
 @SIM_ENABLE_ARCH_iq2000_TRUE@  $(patsubst %,iq2000/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_iq2000_TRUE@  $(patsubst %,iq2000/dv-%.o,$(SIM_HW_DEVICES)) \
-@SIM_ENABLE_ARCH_iq2000_TRUE@  iq2000/modules.o \
 @SIM_ENABLE_ARCH_iq2000_TRUE@  \
 @SIM_ENABLE_ARCH_iq2000_TRUE@  iq2000/cgen-run.o \
 @SIM_ENABLE_ARCH_iq2000_TRUE@  iq2000/cgen-scache.o \
@@ -2302,13 +2498,16 @@ testsuite_common_CPPFLAGS = \
 @SIM_ENABLE_ARCH_iq2000_TRUE@  iq2000/mloop.c \
 @SIM_ENABLE_ARCH_iq2000_TRUE@  iq2000/stamp-mloop
 
-@SIM_ENABLE_ARCH_lm32_TRUE@lm32_libsim_a_SOURCES = 
+@SIM_ENABLE_ARCH_lm32_TRUE@nodist_lm32_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_lm32_TRUE@    lm32/modules.c
+
+@SIM_ENABLE_ARCH_lm32_TRUE@lm32_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_lm32_TRUE@    $(common_libcommon_a_SOURCES)
+
 @SIM_ENABLE_ARCH_lm32_TRUE@lm32_libsim_a_LIBADD = \
-@SIM_ENABLE_ARCH_lm32_TRUE@    $(common_libcommon_a_OBJECTS) \
 @SIM_ENABLE_ARCH_lm32_TRUE@    $(patsubst %,lm32/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_lm32_TRUE@    $(patsubst %,lm32/dv-%.o,$(SIM_HW_DEVICES)) \
 @SIM_ENABLE_ARCH_lm32_TRUE@    $(patsubst %,lm32/dv-%.o,$(lm32_SIM_EXTRA_HW_DEVICES)) \
-@SIM_ENABLE_ARCH_lm32_TRUE@    lm32/modules.o \
 @SIM_ENABLE_ARCH_lm32_TRUE@    \
 @SIM_ENABLE_ARCH_lm32_TRUE@    lm32/cgen-run.o \
 @SIM_ENABLE_ARCH_lm32_TRUE@    lm32/cgen-scache.o \
@@ -2338,16 +2537,20 @@ testsuite_common_CPPFLAGS = \
 @SIM_ENABLE_ARCH_lm32_TRUE@    lm32/mloop.c \
 @SIM_ENABLE_ARCH_lm32_TRUE@    lm32/stamp-mloop
 
-@SIM_ENABLE_ARCH_m32c_TRUE@m32c_libsim_a_SOURCES = 
+@SIM_ENABLE_ARCH_m32c_TRUE@AM_CPPFLAGS_m32c = -DTIMER_A
+@SIM_ENABLE_ARCH_m32c_TRUE@nodist_m32c_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_m32c_TRUE@    m32c/modules.c
+
+@SIM_ENABLE_ARCH_m32c_TRUE@m32c_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_m32c_TRUE@    $(common_libcommon_a_SOURCES)
+
 @SIM_ENABLE_ARCH_m32c_TRUE@m32c_libsim_a_LIBADD = \
-@SIM_ENABLE_ARCH_m32c_TRUE@    $(common_libcommon_a_OBJECTS) \
 @SIM_ENABLE_ARCH_m32c_TRUE@    m32c/gdb-if.o \
 @SIM_ENABLE_ARCH_m32c_TRUE@    m32c/int.o \
 @SIM_ENABLE_ARCH_m32c_TRUE@    m32c/load.o \
 @SIM_ENABLE_ARCH_m32c_TRUE@    m32c/m32c.o \
 @SIM_ENABLE_ARCH_m32c_TRUE@    m32c/mem.o \
 @SIM_ENABLE_ARCH_m32c_TRUE@    m32c/misc.o \
-@SIM_ENABLE_ARCH_m32c_TRUE@    m32c/modules.o \
 @SIM_ENABLE_ARCH_m32c_TRUE@    m32c/r8c.o \
 @SIM_ENABLE_ARCH_m32c_TRUE@    m32c/reg.o \
 @SIM_ENABLE_ARCH_m32c_TRUE@    m32c/srcdest.o \
@@ -2370,13 +2573,16 @@ testsuite_common_CPPFLAGS = \
 # opc2c leaks memory, and therefore makes AddressSanitizer unhappy.  Disable
 # leak detection while running it.
 @SIM_ENABLE_ARCH_m32c_TRUE@m32c_OPC2C_RUN = ASAN_OPTIONS=detect_leaks=0 m32c/opc2c$(EXEEXT)
-@SIM_ENABLE_ARCH_m32r_TRUE@m32r_libsim_a_SOURCES = 
+@SIM_ENABLE_ARCH_m32r_TRUE@nodist_m32r_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_m32r_TRUE@    m32r/modules.c
+
+@SIM_ENABLE_ARCH_m32r_TRUE@m32r_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_m32r_TRUE@    $(common_libcommon_a_SOURCES)
+
 @SIM_ENABLE_ARCH_m32r_TRUE@m32r_libsim_a_LIBADD = \
-@SIM_ENABLE_ARCH_m32r_TRUE@    $(common_libcommon_a_OBJECTS) \
 @SIM_ENABLE_ARCH_m32r_TRUE@    $(patsubst %,m32r/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_m32r_TRUE@    $(patsubst %,m32r/dv-%.o,$(SIM_HW_DEVICES)) \
 @SIM_ENABLE_ARCH_m32r_TRUE@    $(patsubst %,m32r/dv-%.o,$(m32r_SIM_EXTRA_HW_DEVICES)) \
-@SIM_ENABLE_ARCH_m32r_TRUE@    m32r/modules.o \
 @SIM_ENABLE_ARCH_m32r_TRUE@    \
 @SIM_ENABLE_ARCH_m32r_TRUE@    m32r/cgen-run.o \
 @SIM_ENABLE_ARCH_m32r_TRUE@    m32r/cgen-scache.o \
@@ -2422,9 +2628,19 @@ testsuite_common_CPPFLAGS = \
 @SIM_ENABLE_ARCH_m32r_TRUE@    m32r/mloop2.c \
 @SIM_ENABLE_ARCH_m32r_TRUE@    m32r/stamp-mloop-2
 
-@SIM_ENABLE_ARCH_m68hc11_TRUE@m68hc11_libsim_a_SOURCES = 
+@SIM_ENABLE_ARCH_m68hc11_TRUE@AM_CPPFLAGS_m68hc11 = \
+@SIM_ENABLE_ARCH_m68hc11_TRUE@ -DWITH_TARGET_WORD_BITSIZE=32 \
+@SIM_ENABLE_ARCH_m68hc11_TRUE@ -DWITH_TARGET_CELL_BITSIZE=32 \
+@SIM_ENABLE_ARCH_m68hc11_TRUE@ -DWITH_TARGET_ADDRESS_BITSIZE=32 \
+@SIM_ENABLE_ARCH_m68hc11_TRUE@ -DWITH_TARGET_WORD_MSB=31
+
+@SIM_ENABLE_ARCH_m68hc11_TRUE@nodist_m68hc11_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_m68hc11_TRUE@ m68hc11/modules.c
+
+@SIM_ENABLE_ARCH_m68hc11_TRUE@m68hc11_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_m68hc11_TRUE@ $(common_libcommon_a_SOURCES)
+
 @SIM_ENABLE_ARCH_m68hc11_TRUE@m68hc11_libsim_a_LIBADD = \
-@SIM_ENABLE_ARCH_m68hc11_TRUE@ $(common_libcommon_a_OBJECTS) \
 @SIM_ENABLE_ARCH_m68hc11_TRUE@ m68hc11/interp.o \
 @SIM_ENABLE_ARCH_m68hc11_TRUE@ m68hc11/m68hc11int.o \
 @SIM_ENABLE_ARCH_m68hc11_TRUE@ m68hc11/m68hc12int.o \
@@ -2434,7 +2650,6 @@ testsuite_common_CPPFLAGS = \
 @SIM_ENABLE_ARCH_m68hc11_TRUE@ $(patsubst %,m68hc11/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_m68hc11_TRUE@ $(patsubst %,m68hc11/dv-%.o,$(SIM_HW_DEVICES)) \
 @SIM_ENABLE_ARCH_m68hc11_TRUE@ $(patsubst %,m68hc11/dv-%.o,$(m68hc11_SIM_EXTRA_HW_DEVICES)) \
-@SIM_ENABLE_ARCH_m68hc11_TRUE@ m68hc11/modules.o \
 @SIM_ENABLE_ARCH_m68hc11_TRUE@ m68hc11/sim-resume.o
 
 @SIM_ENABLE_ARCH_m68hc11_TRUE@m68hc11_run_SOURCES = 
@@ -2450,13 +2665,16 @@ testsuite_common_CPPFLAGS = \
 @SIM_ENABLE_ARCH_m68hc11_TRUE@ m68hc11/m68hc12int.c
 
 @SIM_ENABLE_ARCH_m68hc11_TRUE@m68hc11_gencode_SOURCES = m68hc11/gencode.c
-@SIM_ENABLE_ARCH_mcore_TRUE@mcore_libsim_a_SOURCES = 
+@SIM_ENABLE_ARCH_mcore_TRUE@nodist_mcore_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_mcore_TRUE@   mcore/modules.c
+
+@SIM_ENABLE_ARCH_mcore_TRUE@mcore_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_mcore_TRUE@   $(common_libcommon_a_SOURCES)
+
 @SIM_ENABLE_ARCH_mcore_TRUE@mcore_libsim_a_LIBADD = \
-@SIM_ENABLE_ARCH_mcore_TRUE@   $(common_libcommon_a_OBJECTS) \
 @SIM_ENABLE_ARCH_mcore_TRUE@   mcore/interp.o \
 @SIM_ENABLE_ARCH_mcore_TRUE@   $(patsubst %,mcore/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_mcore_TRUE@   $(patsubst %,mcore/dv-%.o,$(SIM_HW_DEVICES)) \
-@SIM_ENABLE_ARCH_mcore_TRUE@   mcore/modules.o \
 @SIM_ENABLE_ARCH_mcore_TRUE@   mcore/sim-resume.o
 
 @SIM_ENABLE_ARCH_mcore_TRUE@mcore_run_SOURCES = 
@@ -2465,13 +2683,16 @@ testsuite_common_CPPFLAGS = \
 @SIM_ENABLE_ARCH_mcore_TRUE@   mcore/libsim.a \
 @SIM_ENABLE_ARCH_mcore_TRUE@   $(SIM_COMMON_LIBS)
 
-@SIM_ENABLE_ARCH_microblaze_TRUE@microblaze_libsim_a_SOURCES = 
+@SIM_ENABLE_ARCH_microblaze_TRUE@nodist_microblaze_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_microblaze_TRUE@      microblaze/modules.c
+
+@SIM_ENABLE_ARCH_microblaze_TRUE@microblaze_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_microblaze_TRUE@      $(common_libcommon_a_SOURCES)
+
 @SIM_ENABLE_ARCH_microblaze_TRUE@microblaze_libsim_a_LIBADD = \
-@SIM_ENABLE_ARCH_microblaze_TRUE@      $(common_libcommon_a_OBJECTS) \
 @SIM_ENABLE_ARCH_microblaze_TRUE@      microblaze/interp.o \
 @SIM_ENABLE_ARCH_microblaze_TRUE@      $(patsubst %,microblaze/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_microblaze_TRUE@      $(patsubst %,microblaze/dv-%.o,$(SIM_HW_DEVICES)) \
-@SIM_ENABLE_ARCH_microblaze_TRUE@      microblaze/modules.o \
 @SIM_ENABLE_ARCH_microblaze_TRUE@      microblaze/sim-resume.o
 
 @SIM_ENABLE_ARCH_microblaze_TRUE@microblaze_run_SOURCES = 
@@ -2480,11 +2701,20 @@ testsuite_common_CPPFLAGS = \
 @SIM_ENABLE_ARCH_microblaze_TRUE@      microblaze/libsim.a \
 @SIM_ENABLE_ARCH_microblaze_TRUE@      $(SIM_COMMON_LIBS)
 
-@SIM_ENABLE_ARCH_mips_TRUE@mips_GEN_OBJ = $(am__append_88) \
-@SIM_ENABLE_ARCH_mips_TRUE@    $(am__append_89) $(am__append_90)
-@SIM_ENABLE_ARCH_mips_TRUE@mips_libsim_a_SOURCES = 
+@SIM_ENABLE_ARCH_mips_TRUE@AM_CPPFLAGS_mips = \
+@SIM_ENABLE_ARCH_mips_TRUE@    @SIM_MIPS_SUBTARGET@ \
+@SIM_ENABLE_ARCH_mips_TRUE@    -DWITH_TARGET_WORD_BITSIZE=@SIM_MIPS_BITSIZE@ -DWITH_TARGET_WORD_MSB=WITH_TARGET_WORD_BITSIZE-1 \
+@SIM_ENABLE_ARCH_mips_TRUE@    -DWITH_FLOATING_POINT=HARD_FLOATING_POINT -DWITH_TARGET_FLOATING_POINT_BITSIZE=@SIM_MIPS_FPU_BITSIZE@
+
+@SIM_ENABLE_ARCH_mips_TRUE@mips_GEN_OBJ = $(am__append_73) \
+@SIM_ENABLE_ARCH_mips_TRUE@    $(am__append_74) $(am__append_75)
+@SIM_ENABLE_ARCH_mips_TRUE@nodist_mips_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_mips_TRUE@    mips/modules.c
+
+@SIM_ENABLE_ARCH_mips_TRUE@mips_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_mips_TRUE@    $(common_libcommon_a_SOURCES)
+
 @SIM_ENABLE_ARCH_mips_TRUE@mips_libsim_a_LIBADD = \
-@SIM_ENABLE_ARCH_mips_TRUE@    $(common_libcommon_a_OBJECTS) \
 @SIM_ENABLE_ARCH_mips_TRUE@    mips/interp.o \
 @SIM_ENABLE_ARCH_mips_TRUE@    $(mips_GEN_OBJ) \
 @SIM_ENABLE_ARCH_mips_TRUE@    $(patsubst %,mips/%,$(SIM_NEW_COMMON_OBJS)) \
@@ -2493,7 +2723,6 @@ testsuite_common_CPPFLAGS = \
 @SIM_ENABLE_ARCH_mips_TRUE@    mips/cp1.o \
 @SIM_ENABLE_ARCH_mips_TRUE@    mips/dsp.o \
 @SIM_ENABLE_ARCH_mips_TRUE@    mips/mdmx.o \
-@SIM_ENABLE_ARCH_mips_TRUE@    mips/modules.o \
 @SIM_ENABLE_ARCH_mips_TRUE@    mips/sim-main.o \
 @SIM_ENABLE_ARCH_mips_TRUE@    mips/sim-resume.o
 
@@ -2550,8 +2779,8 @@ testsuite_common_CPPFLAGS = \
 @SIM_ENABLE_ARCH_mips_TRUE@mips_BUILD_OUTPUTS =  \
 @SIM_ENABLE_ARCH_mips_TRUE@    $(mips_BUILT_SRC_FROM_IGEN_ITABLE) \
 @SIM_ENABLE_ARCH_mips_TRUE@    mips/stamp-igen-itable \
-@SIM_ENABLE_ARCH_mips_TRUE@    $(am__append_95) $(am__append_96) \
-@SIM_ENABLE_ARCH_mips_TRUE@    $(am__append_97)
+@SIM_ENABLE_ARCH_mips_TRUE@    $(am__append_79) $(am__append_80) \
+@SIM_ENABLE_ARCH_mips_TRUE@    $(am__append_81)
 @SIM_ENABLE_ARCH_mips_TRUE@mips_IGEN_TRACE = # -G omit-line-numbers # -G trace-rule-selection -G trace-rule-rejection -G trace-entries # -G trace-all
 @SIM_ENABLE_ARCH_mips_TRUE@mips_IGEN_INSN = $(srcdir)/mips/mips.igen
 @SIM_ENABLE_ARCH_mips_TRUE@mips_IGEN_INSN_INC = \
@@ -2573,9 +2802,17 @@ testsuite_common_CPPFLAGS = \
 @SIM_ENABLE_ARCH_mips_TRUE@mips_M16_DC = $(srcdir)/mips/m16.dc
 @SIM_ENABLE_ARCH_mips_TRUE@mips_MICROMIPS32_DC = $(srcdir)/mips/micromips.dc
 @SIM_ENABLE_ARCH_mips_TRUE@mips_MICROMIPS16_DC = $(srcdir)/mips/micromips16.dc
-@SIM_ENABLE_ARCH_mn10300_TRUE@mn10300_libsim_a_SOURCES = 
+@SIM_ENABLE_ARCH_mn10300_TRUE@AM_CPPFLAGS_mn10300 = \
+@SIM_ENABLE_ARCH_mn10300_TRUE@ -DPOLL_QUIT_INTERVAL=0x20 \
+@SIM_ENABLE_ARCH_mn10300_TRUE@ -DWITH_TARGET_WORD_BITSIZE=32 -DWITH_TARGET_WORD_MSB=31
+
+@SIM_ENABLE_ARCH_mn10300_TRUE@nodist_mn10300_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/modules.c
+
+@SIM_ENABLE_ARCH_mn10300_TRUE@mn10300_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_mn10300_TRUE@ $(common_libcommon_a_SOURCES)
+
 @SIM_ENABLE_ARCH_mn10300_TRUE@mn10300_libsim_a_LIBADD = \
-@SIM_ENABLE_ARCH_mn10300_TRUE@ $(common_libcommon_a_OBJECTS) \
 @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/itable.o \
 @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/semantics.o \
 @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/idecode.o \
@@ -2587,7 +2824,6 @@ testsuite_common_CPPFLAGS = \
 @SIM_ENABLE_ARCH_mn10300_TRUE@ $(patsubst %,mn10300/dv-%.o,$(SIM_HW_DEVICES)) \
 @SIM_ENABLE_ARCH_mn10300_TRUE@ $(patsubst %,mn10300/dv-%.o,$(mn10300_SIM_EXTRA_HW_DEVICES)) \
 @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/interp.o \
-@SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/modules.o \
 @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/op_utils.o \
 @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/sim-resume.o
 
@@ -2623,13 +2859,17 @@ testsuite_common_CPPFLAGS = \
 @SIM_ENABLE_ARCH_mn10300_TRUE@mn10300_IGEN_INSN = $(srcdir)/mn10300/mn10300.igen
 @SIM_ENABLE_ARCH_mn10300_TRUE@mn10300_IGEN_INSN_INC = mn10300/am33.igen mn10300/am33-2.igen
 @SIM_ENABLE_ARCH_mn10300_TRUE@mn10300_IGEN_DC = $(srcdir)/mn10300/mn10300.dc
-@SIM_ENABLE_ARCH_moxie_TRUE@moxie_libsim_a_SOURCES = 
+@SIM_ENABLE_ARCH_moxie_TRUE@AM_CPPFLAGS_moxie = -DDTB="\"$(dtbdir)/moxie-gdb.dtb\""
+@SIM_ENABLE_ARCH_moxie_TRUE@nodist_moxie_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_moxie_TRUE@   moxie/modules.c
+
+@SIM_ENABLE_ARCH_moxie_TRUE@moxie_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_moxie_TRUE@   $(common_libcommon_a_SOURCES)
+
 @SIM_ENABLE_ARCH_moxie_TRUE@moxie_libsim_a_LIBADD = \
-@SIM_ENABLE_ARCH_moxie_TRUE@   $(common_libcommon_a_OBJECTS) \
 @SIM_ENABLE_ARCH_moxie_TRUE@   $(patsubst %,moxie/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_moxie_TRUE@   $(patsubst %,moxie/dv-%.o,$(SIM_HW_DEVICES)) \
 @SIM_ENABLE_ARCH_moxie_TRUE@   moxie/interp.o \
-@SIM_ENABLE_ARCH_moxie_TRUE@   moxie/modules.o \
 @SIM_ENABLE_ARCH_moxie_TRUE@   moxie/sim-resume.o
 
 @SIM_ENABLE_ARCH_moxie_TRUE@moxie_run_SOURCES = 
@@ -2640,13 +2880,16 @@ testsuite_common_CPPFLAGS = \
 
 @SIM_ENABLE_ARCH_moxie_TRUE@dtbdir = $(datadir)/gdb/dtb
 @SIM_ENABLE_ARCH_moxie_TRUE@dtb_DATA = moxie/moxie-gdb.dtb
-@SIM_ENABLE_ARCH_msp430_TRUE@msp430_libsim_a_SOURCES = 
+@SIM_ENABLE_ARCH_msp430_TRUE@nodist_msp430_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_msp430_TRUE@  msp430/modules.c
+
+@SIM_ENABLE_ARCH_msp430_TRUE@msp430_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_msp430_TRUE@  $(common_libcommon_a_SOURCES)
+
 @SIM_ENABLE_ARCH_msp430_TRUE@msp430_libsim_a_LIBADD = \
-@SIM_ENABLE_ARCH_msp430_TRUE@  $(common_libcommon_a_OBJECTS) \
 @SIM_ENABLE_ARCH_msp430_TRUE@  $(patsubst %,msp430/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_msp430_TRUE@  $(patsubst %,msp430/dv-%.o,$(SIM_HW_DEVICES)) \
 @SIM_ENABLE_ARCH_msp430_TRUE@  msp430/msp430-sim.o \
-@SIM_ENABLE_ARCH_msp430_TRUE@  msp430/modules.o \
 @SIM_ENABLE_ARCH_msp430_TRUE@  msp430/sim-resume.o
 
 @SIM_ENABLE_ARCH_msp430_TRUE@msp430_run_SOURCES = 
@@ -2655,12 +2898,16 @@ testsuite_common_CPPFLAGS = \
 @SIM_ENABLE_ARCH_msp430_TRUE@  msp430/libsim.a \
 @SIM_ENABLE_ARCH_msp430_TRUE@  $(SIM_COMMON_LIBS)
 
-@SIM_ENABLE_ARCH_or1k_TRUE@or1k_libsim_a_SOURCES = 
+@SIM_ENABLE_ARCH_or1k_TRUE@AM_CPPFLAGS_or1k = -DWITH_TARGET_WORD_BITSIZE=32 -DWITH_TARGET_WORD_MSB=31
+@SIM_ENABLE_ARCH_or1k_TRUE@nodist_or1k_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_or1k_TRUE@    or1k/modules.c
+
+@SIM_ENABLE_ARCH_or1k_TRUE@or1k_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_or1k_TRUE@    $(common_libcommon_a_SOURCES)
+
 @SIM_ENABLE_ARCH_or1k_TRUE@or1k_libsim_a_LIBADD = \
-@SIM_ENABLE_ARCH_or1k_TRUE@    $(common_libcommon_a_OBJECTS) \
 @SIM_ENABLE_ARCH_or1k_TRUE@    $(patsubst %,or1k/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_or1k_TRUE@    $(patsubst %,or1k/dv-%.o,$(SIM_HW_DEVICES)) \
-@SIM_ENABLE_ARCH_or1k_TRUE@    or1k/modules.o \
 @SIM_ENABLE_ARCH_or1k_TRUE@    \
 @SIM_ENABLE_ARCH_or1k_TRUE@    or1k/cgen-accfp.o \
 @SIM_ENABLE_ARCH_or1k_TRUE@    or1k/cgen-fpu.o \
@@ -2692,21 +2939,147 @@ testsuite_common_CPPFLAGS = \
 @SIM_ENABLE_ARCH_or1k_TRUE@    or1k/mloop.c \
 @SIM_ENABLE_ARCH_or1k_TRUE@    or1k/stamp-mloop
 
-@SIM_ENABLE_ARCH_ppc_TRUE@ppc_run_SOURCES = 
+@SIM_ENABLE_ARCH_ppc_TRUE@AM_CPPFLAGS_ppc = \
+@SIM_ENABLE_ARCH_ppc_TRUE@     -DHAVE_COMMON_FPU \
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(sim_ppc_smp) \
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(sim_ppc_xor_endian) \
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(sim_ppc_bitsize) \
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(sim_ppc_timebase) \
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(sim_ppc_float) \
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(sim_ppc_monitor) \
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(sim_ppc_model) $(sim_ppc_default_model) $(sim_ppc_model_issue) \
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(sim_ppc_switch)
+
+@SIM_ENABLE_ARCH_ppc_TRUE@AM_CPPFLAGS_ppc_options.o = '-DOPCODE_RULES="$(IGEN_OPCODE_RULES)"' '-DIGEN_FLAGS="$(ppc_IGEN_FLAGS)"'
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(common_libcommon_a_SOURCES)
+
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc_libsim_a_LIBADD = \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/debug.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/bits.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/sim-endian.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/os_emul.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/emul_generic.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/emul_bugapi.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/emul_chirp.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/emul_netbsd.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/emul_unix.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/registers.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/vm.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/corefile.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/model.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/spreg.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/cpu.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/interrupts.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/events.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/cap.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/device.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/tree.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/device_table.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/itable.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/mon.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/icache.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/semantics.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/idecode.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/support.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/sim-fpu.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/psim.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/pk_disklabel.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(patsubst %,ppc/%,$(sim_ppc_hw_obj)) \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/options.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/gdb-sim.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/sim_calls.o
+
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc_run_SOURCES = \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/main.c
+
 @SIM_ENABLE_ARCH_ppc_TRUE@ppc_run_LDADD = \
-@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/main.o \
 @SIM_ENABLE_ARCH_ppc_TRUE@     ppc/libsim.a \
 @SIM_ENABLE_ARCH_ppc_TRUE@     $(SIM_COMMON_LIBS)
 
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc_libigen_a_SOURCES = \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/table.c \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/lf-ppc.c \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/dumpf.c \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/ld-decode.c \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/ld-cache.c \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/filter-ppc.c \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/ld-insn.c \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/gen-model.c \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/gen-itable.c \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/gen-icache.c \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/gen-semantics.c \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/gen-idecode.c \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/gen-support.c
+
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc_libigen_a_LIBADD = \
+@SIM_ENABLE_ARCH_ppc_TRUE@     igen/filter.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     igen/filter_host.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     igen/lf.o \
+@SIM_ENABLE_ARCH_ppc_TRUE@     igen/misc.o
+
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc_igen_SOURCES = ppc/igen.c
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc_igen_LDADD = ppc/libigen.a
+
+# igen leaks memory, and therefore makes AddressSanitizer unhappy.  Disable
+# leak detection while running it.
+@SIM_ENABLE_ARCH_ppc_TRUE@PPC_IGEN = ppc/igen$(EXEEXT)
+@SIM_ENABLE_ARCH_ppc_TRUE@PPC_IGEN_RUN = ASAN_OPTIONS=detect_leaks=0 $(PPC_IGEN) $(ppc_IGEN_FLAGS)
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc_IGEN_FLAGS = \
+@SIM_ENABLE_ARCH_ppc_TRUE@     @sim_ppc_decode_mechanism@ \
+@SIM_ENABLE_ARCH_ppc_TRUE@     @sim_ppc_dup@ \
+@SIM_ENABLE_ARCH_ppc_TRUE@     @sim_ppc_jump@ \
+@SIM_ENABLE_ARCH_ppc_TRUE@     @sim_ppc_filter@ \
+@SIM_ENABLE_ARCH_ppc_TRUE@     @sim_ppc_icache@ \
+@SIM_ENABLE_ARCH_ppc_TRUE@     @sim_ppc_igen_smp@ \
+@SIM_ENABLE_ARCH_ppc_TRUE@     @sim_ppc_line_nr@
+
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc_BUILT_SRC_FROM_IGEN = \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/icache.h \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/icache.c \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/idecode.h \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/idecode.c \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/semantics.h \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/semantics.c \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/model.h \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/model.c \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/support.h \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/support.c \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/itable.h \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/itable.c
+
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc_BUILD_OUTPUTS =  \
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(ppc_BUILT_SRC_FROM_IGEN) \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/stamp-igen ppc/hw.c ppc/hw.h \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/stamp-hw ppc/stamp-pk
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc_IGEN_OPCODE_RULES = ppc/@sim_ppc_opcode@
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc_ld_cache_SOURCES = 
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc_ld_cache_LDADD = ppc/ld-cache-main.o ppc/libigen.a
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc_ld_decode_SOURCES = 
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc_ld_decode_LDADD = ppc/ld-decode-main.o ppc/libigen.a
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc_ld_insn_SOURCES = 
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc_ld_insn_LDADD = ppc/ld-insn-main.o ppc/libigen.a
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc_IGEN_TOOLS = \
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(PPC_IGEN) \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/ld-cache \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/ld-decode \
+@SIM_ENABLE_ARCH_ppc_TRUE@     ppc/ld-insn
+
+@SIM_ENABLE_ARCH_ppc_TRUE@IGEN_OPCODE_RULES = @sim_ppc_opcode@
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc_HW_SRC = $(sim_ppc_hw_src:%=ppc/%)
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc_PACKAGE_SRC = ppc/pk_disklabel.c
 @SIM_ENABLE_ARCH_ppc_TRUE@ppcdocdir = $(docdir)/ppc
 @SIM_ENABLE_ARCH_ppc_TRUE@ppcdoc_DATA = ppc/BUGS ppc/INSTALL ppc/README ppc/RUN
-@SIM_ENABLE_ARCH_pru_TRUE@pru_libsim_a_SOURCES = 
+@SIM_ENABLE_ARCH_pru_TRUE@nodist_pru_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_pru_TRUE@     pru/modules.c
+
+@SIM_ENABLE_ARCH_pru_TRUE@pru_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_pru_TRUE@     $(common_libcommon_a_SOURCES)
+
 @SIM_ENABLE_ARCH_pru_TRUE@pru_libsim_a_LIBADD = \
-@SIM_ENABLE_ARCH_pru_TRUE@     $(common_libcommon_a_OBJECTS) \
 @SIM_ENABLE_ARCH_pru_TRUE@     $(patsubst %,pru/%,$(SIM_NEW_COMMON_OBJS)) \
 @SIM_ENABLE_ARCH_pru_TRUE@     $(patsubst %,pru/dv-%.o,$(SIM_HW_DEVICES)) \
 @SIM_ENABLE_ARCH_pru_TRUE@     pru/interp.o \
-@SIM_ENABLE_ARCH_pru_TRUE@     pru/modules.o \
 @SIM_ENABLE_ARCH_pru_TRUE@     pru/sim-resume.o
 
 @SIM_ENABLE_ARCH_pru_TRUE@pru_run_SOURCES = 
@@ -2715,18 +3088,66 @@ testsuite_common_CPPFLAGS = \
 @SIM_ENABLE_ARCH_pru_TRUE@     pru/libsim.a \
 @SIM_ENABLE_ARCH_pru_TRUE@     $(SIM_COMMON_LIBS)
 
+@SIM_ENABLE_ARCH_riscv_TRUE@AM_CPPFLAGS_riscv = -DWITH_TARGET_WORD_BITSIZE=$(SIM_RISCV_BITSIZE)
+@SIM_ENABLE_ARCH_riscv_TRUE@nodist_riscv_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_riscv_TRUE@   riscv/modules.c
+
+@SIM_ENABLE_ARCH_riscv_TRUE@riscv_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_riscv_TRUE@   $(common_libcommon_a_SOURCES)
+
+@SIM_ENABLE_ARCH_riscv_TRUE@riscv_libsim_a_LIBADD = \
+@SIM_ENABLE_ARCH_riscv_TRUE@   $(patsubst %,riscv/%,$(SIM_NEW_COMMON_OBJS)) \
+@SIM_ENABLE_ARCH_riscv_TRUE@   $(patsubst %,riscv/dv-%.o,$(SIM_HW_DEVICES)) \
+@SIM_ENABLE_ARCH_riscv_TRUE@   riscv/interp.o \
+@SIM_ENABLE_ARCH_riscv_TRUE@   riscv/machs.o \
+@SIM_ENABLE_ARCH_riscv_TRUE@   riscv/sim-main.o \
+@SIM_ENABLE_ARCH_riscv_TRUE@   riscv/sim-resume.o
+
 @SIM_ENABLE_ARCH_riscv_TRUE@riscv_run_SOURCES = 
 @SIM_ENABLE_ARCH_riscv_TRUE@riscv_run_LDADD = \
 @SIM_ENABLE_ARCH_riscv_TRUE@   riscv/nrun.o \
 @SIM_ENABLE_ARCH_riscv_TRUE@   riscv/libsim.a \
 @SIM_ENABLE_ARCH_riscv_TRUE@   $(SIM_COMMON_LIBS)
 
+@SIM_ENABLE_ARCH_rl78_TRUE@nodist_rl78_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_rl78_TRUE@    rl78/modules.c
+
+@SIM_ENABLE_ARCH_rl78_TRUE@rl78_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_rl78_TRUE@    $(common_libcommon_a_SOURCES)
+
+@SIM_ENABLE_ARCH_rl78_TRUE@rl78_libsim_a_LIBADD = \
+@SIM_ENABLE_ARCH_rl78_TRUE@    rl78/load.o \
+@SIM_ENABLE_ARCH_rl78_TRUE@    rl78/mem.o \
+@SIM_ENABLE_ARCH_rl78_TRUE@    rl78/cpu.o \
+@SIM_ENABLE_ARCH_rl78_TRUE@    rl78/rl78.o \
+@SIM_ENABLE_ARCH_rl78_TRUE@    rl78/gdb-if.o \
+@SIM_ENABLE_ARCH_rl78_TRUE@    rl78/trace.o
+
 @SIM_ENABLE_ARCH_rl78_TRUE@rl78_run_SOURCES = 
 @SIM_ENABLE_ARCH_rl78_TRUE@rl78_run_LDADD = \
 @SIM_ENABLE_ARCH_rl78_TRUE@    rl78/main.o \
 @SIM_ENABLE_ARCH_rl78_TRUE@    rl78/libsim.a \
 @SIM_ENABLE_ARCH_rl78_TRUE@    $(SIM_COMMON_LIBS)
 
+@SIM_ENABLE_ARCH_rx_TRUE@AM_CPPFLAGS_rx = $(SIM_RX_CYCLE_ACCURATE_FLAGS)
+@SIM_ENABLE_ARCH_rx_TRUE@nodist_rx_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_rx_TRUE@      rx/modules.c
+
+@SIM_ENABLE_ARCH_rx_TRUE@rx_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_rx_TRUE@      $(common_libcommon_a_SOURCES)
+
+@SIM_ENABLE_ARCH_rx_TRUE@rx_libsim_a_LIBADD = \
+@SIM_ENABLE_ARCH_rx_TRUE@      rx/fpu.o \
+@SIM_ENABLE_ARCH_rx_TRUE@      rx/load.o \
+@SIM_ENABLE_ARCH_rx_TRUE@      rx/mem.o \
+@SIM_ENABLE_ARCH_rx_TRUE@      rx/misc.o \
+@SIM_ENABLE_ARCH_rx_TRUE@      rx/reg.o \
+@SIM_ENABLE_ARCH_rx_TRUE@      rx/rx.o \
+@SIM_ENABLE_ARCH_rx_TRUE@      rx/syscalls.o \
+@SIM_ENABLE_ARCH_rx_TRUE@      rx/trace.o \
+@SIM_ENABLE_ARCH_rx_TRUE@      rx/gdb-if.o \
+@SIM_ENABLE_ARCH_rx_TRUE@      rx/err.o
+
 @SIM_ENABLE_ARCH_rx_TRUE@rx_run_SOURCES = 
 @SIM_ENABLE_ARCH_rx_TRUE@rx_run_LDADD = \
 @SIM_ENABLE_ARCH_rx_TRUE@      rx/main.o \
@@ -2735,6 +3156,18 @@ testsuite_common_CPPFLAGS = \
 
 @SIM_ENABLE_ARCH_rx_TRUE@rxdocdir = $(docdir)/rx
 @SIM_ENABLE_ARCH_rx_TRUE@rxdoc_DATA = rx/README.txt
+@SIM_ENABLE_ARCH_sh_TRUE@nodist_sh_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_sh_TRUE@      sh/modules.c
+
+@SIM_ENABLE_ARCH_sh_TRUE@sh_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_sh_TRUE@      $(common_libcommon_a_SOURCES)
+
+@SIM_ENABLE_ARCH_sh_TRUE@sh_libsim_a_LIBADD = \
+@SIM_ENABLE_ARCH_sh_TRUE@      sh/interp.o \
+@SIM_ENABLE_ARCH_sh_TRUE@      $(patsubst %,sh/%,$(SIM_NEW_COMMON_OBJS)) \
+@SIM_ENABLE_ARCH_sh_TRUE@      $(patsubst %,sh/dv-%.o,$(SIM_HW_DEVICES)) \
+@SIM_ENABLE_ARCH_sh_TRUE@      sh/table.o
+
 @SIM_ENABLE_ARCH_sh_TRUE@sh_run_SOURCES = 
 @SIM_ENABLE_ARCH_sh_TRUE@sh_run_LDADD = \
 @SIM_ENABLE_ARCH_sh_TRUE@      sh/nrun.o \
@@ -2743,9 +3176,32 @@ testsuite_common_CPPFLAGS = \
 
 @SIM_ENABLE_ARCH_sh_TRUE@sh_BUILD_OUTPUTS = \
 @SIM_ENABLE_ARCH_sh_TRUE@      sh/gencode$(EXEEXT) \
+@SIM_ENABLE_ARCH_sh_TRUE@      sh/code.c \
+@SIM_ENABLE_ARCH_sh_TRUE@      sh/ppi.c \
 @SIM_ENABLE_ARCH_sh_TRUE@      sh/table.c
 
 @SIM_ENABLE_ARCH_sh_TRUE@sh_gencode_SOURCES = sh/gencode.c
+@SIM_ENABLE_ARCH_v850_TRUE@AM_CPPFLAGS_v850 = -DWITH_TARGET_WORD_BITSIZE=32 -DWITH_TARGET_WORD_MSB=31
+@SIM_ENABLE_ARCH_v850_TRUE@nodist_v850_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_v850_TRUE@    v850/modules.c
+
+@SIM_ENABLE_ARCH_v850_TRUE@v850_libsim_a_SOURCES = \
+@SIM_ENABLE_ARCH_v850_TRUE@    $(common_libcommon_a_SOURCES)
+
+@SIM_ENABLE_ARCH_v850_TRUE@v850_libsim_a_LIBADD = \
+@SIM_ENABLE_ARCH_v850_TRUE@    $(patsubst %,v850/%,$(SIM_NEW_COMMON_OBJS)) \
+@SIM_ENABLE_ARCH_v850_TRUE@    $(patsubst %,v850/dv-%.o,$(SIM_HW_DEVICES)) \
+@SIM_ENABLE_ARCH_v850_TRUE@    v850/simops.o \
+@SIM_ENABLE_ARCH_v850_TRUE@    v850/interp.o \
+@SIM_ENABLE_ARCH_v850_TRUE@    v850/itable.o \
+@SIM_ENABLE_ARCH_v850_TRUE@    v850/semantics.o \
+@SIM_ENABLE_ARCH_v850_TRUE@    v850/idecode.o \
+@SIM_ENABLE_ARCH_v850_TRUE@    v850/icache.o \
+@SIM_ENABLE_ARCH_v850_TRUE@    v850/engine.o \
+@SIM_ENABLE_ARCH_v850_TRUE@    v850/irun.o \
+@SIM_ENABLE_ARCH_v850_TRUE@    v850/support.o \
+@SIM_ENABLE_ARCH_v850_TRUE@    v850/sim-resume.o
+
 @SIM_ENABLE_ARCH_v850_TRUE@v850_run_SOURCES = 
 @SIM_ENABLE_ARCH_v850_TRUE@v850_run_LDADD = \
 @SIM_ENABLE_ARCH_v850_TRUE@    v850/nrun.o \
@@ -2777,7 +3233,7 @@ testsuite_common_CPPFLAGS = \
 @SIM_ENABLE_ARCH_v850_TRUE@v850_IGEN_INSN = $(srcdir)/v850/v850.igen
 @SIM_ENABLE_ARCH_v850_TRUE@v850_IGEN_DC = $(srcdir)/v850/v850.dc
 all: $(BUILT_SOURCES) config.h
-       $(MAKE) $(AM_MAKEFLAGS) all-recursive
+       $(MAKE) $(AM_MAKEFLAGS) all-am
 
 .SUFFIXES:
 .SUFFIXES: .c .lo .log .o .obj .test .test$(EXEEXT) .trs
@@ -2830,144 +3286,109 @@ $(srcdir)/config.h.in: @MAINTAINER_MODE_TRUE@ $(am__configure_deps)
 
 distclean-hdr:
        -rm -f config.h stamp-h1
-Make-common.sim: $(top_builddir)/config.status $(top_srcdir)/common/Make-common.in
-       cd $(top_builddir) && $(SHELL) ./config.status $@
-aarch64/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/aarch64/Makefile.in
-       cd $(top_builddir) && $(SHELL) ./config.status $@
 aarch64/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
        cd $(top_builddir) && $(SHELL) ./config.status $@
-arm/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/arm/Makefile.in
-       cd $(top_builddir) && $(SHELL) ./config.status $@
 arm/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
        cd $(top_builddir) && $(SHELL) ./config.status $@
-avr/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/avr/Makefile.in
-       cd $(top_builddir) && $(SHELL) ./config.status $@
 avr/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
        cd $(top_builddir) && $(SHELL) ./config.status $@
-bfin/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/bfin/Makefile.in
-       cd $(top_builddir) && $(SHELL) ./config.status $@
 bfin/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
        cd $(top_builddir) && $(SHELL) ./config.status $@
-bpf/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/bpf/Makefile.in
-       cd $(top_builddir) && $(SHELL) ./config.status $@
 bpf/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
        cd $(top_builddir) && $(SHELL) ./config.status $@
-cr16/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/cr16/Makefile.in
-       cd $(top_builddir) && $(SHELL) ./config.status $@
 cr16/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
        cd $(top_builddir) && $(SHELL) ./config.status $@
-cris/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/cris/Makefile.in
-       cd $(top_builddir) && $(SHELL) ./config.status $@
 cris/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
        cd $(top_builddir) && $(SHELL) ./config.status $@
-d10v/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/d10v/Makefile.in
-       cd $(top_builddir) && $(SHELL) ./config.status $@
 d10v/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
        cd $(top_builddir) && $(SHELL) ./config.status $@
-frv/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/frv/Makefile.in
-       cd $(top_builddir) && $(SHELL) ./config.status $@
 frv/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
        cd $(top_builddir) && $(SHELL) ./config.status $@
-ft32/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/ft32/Makefile.in
-       cd $(top_builddir) && $(SHELL) ./config.status $@
 ft32/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
        cd $(top_builddir) && $(SHELL) ./config.status $@
-h8300/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/h8300/Makefile.in
-       cd $(top_builddir) && $(SHELL) ./config.status $@
 h8300/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
        cd $(top_builddir) && $(SHELL) ./config.status $@
-iq2000/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/iq2000/Makefile.in
-       cd $(top_builddir) && $(SHELL) ./config.status $@
 iq2000/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
        cd $(top_builddir) && $(SHELL) ./config.status $@
-lm32/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/lm32/Makefile.in
-       cd $(top_builddir) && $(SHELL) ./config.status $@
 lm32/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
        cd $(top_builddir) && $(SHELL) ./config.status $@
-m32c/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/m32c/Makefile.in
-       cd $(top_builddir) && $(SHELL) ./config.status $@
 m32c/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
        cd $(top_builddir) && $(SHELL) ./config.status $@
-m32r/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/m32r/Makefile.in
-       cd $(top_builddir) && $(SHELL) ./config.status $@
 m32r/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
        cd $(top_builddir) && $(SHELL) ./config.status $@
-m68hc11/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/m68hc11/Makefile.in
-       cd $(top_builddir) && $(SHELL) ./config.status $@
 m68hc11/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
        cd $(top_builddir) && $(SHELL) ./config.status $@
-mcore/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/mcore/Makefile.in
-       cd $(top_builddir) && $(SHELL) ./config.status $@
 mcore/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
        cd $(top_builddir) && $(SHELL) ./config.status $@
-microblaze/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/microblaze/Makefile.in
-       cd $(top_builddir) && $(SHELL) ./config.status $@
 microblaze/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
        cd $(top_builddir) && $(SHELL) ./config.status $@
-mips/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/mips/Makefile.in
-       cd $(top_builddir) && $(SHELL) ./config.status $@
 mips/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
        cd $(top_builddir) && $(SHELL) ./config.status $@
-mn10300/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/mn10300/Makefile.in
-       cd $(top_builddir) && $(SHELL) ./config.status $@
 mn10300/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
        cd $(top_builddir) && $(SHELL) ./config.status $@
-moxie/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/moxie/Makefile.in
-       cd $(top_builddir) && $(SHELL) ./config.status $@
 moxie/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
        cd $(top_builddir) && $(SHELL) ./config.status $@
-msp430/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/msp430/Makefile.in
-       cd $(top_builddir) && $(SHELL) ./config.status $@
 msp430/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
        cd $(top_builddir) && $(SHELL) ./config.status $@
-or1k/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/or1k/Makefile.in
-       cd $(top_builddir) && $(SHELL) ./config.status $@
 or1k/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
        cd $(top_builddir) && $(SHELL) ./config.status $@
 ppc/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
        cd $(top_builddir) && $(SHELL) ./config.status $@
-pru/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/pru/Makefile.in
-       cd $(top_builddir) && $(SHELL) ./config.status $@
 pru/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
        cd $(top_builddir) && $(SHELL) ./config.status $@
-riscv/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/riscv/Makefile.in
-       cd $(top_builddir) && $(SHELL) ./config.status $@
 riscv/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
        cd $(top_builddir) && $(SHELL) ./config.status $@
-rl78/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/rl78/Makefile.in
-       cd $(top_builddir) && $(SHELL) ./config.status $@
 rl78/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
        cd $(top_builddir) && $(SHELL) ./config.status $@
-rx/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/rx/Makefile.in
-       cd $(top_builddir) && $(SHELL) ./config.status $@
 rx/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
        cd $(top_builddir) && $(SHELL) ./config.status $@
-sh/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/sh/Makefile.in
-       cd $(top_builddir) && $(SHELL) ./config.status $@
 sh/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
        cd $(top_builddir) && $(SHELL) ./config.status $@
-erc32/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/erc32/Makefile.in
-       cd $(top_builddir) && $(SHELL) ./config.status $@
 erc32/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
        cd $(top_builddir) && $(SHELL) ./config.status $@
-v850/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/v850/Makefile.in
-       cd $(top_builddir) && $(SHELL) ./config.status $@
 v850/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
        cd $(top_builddir) && $(SHELL) ./config.status $@
-example-synacor/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/example-synacor/Makefile.in
-       cd $(top_builddir) && $(SHELL) ./config.status $@
 example-synacor/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
        cd $(top_builddir) && $(SHELL) ./config.status $@
-arch-subdir.mk: $(top_builddir)/config.status $(srcdir)/arch-subdir.mk.in
-       cd $(top_builddir) && $(SHELL) ./config.status $@
 .gdbinit: $(top_builddir)/config.status $(srcdir)/gdbinit.in
        cd $(top_builddir) && $(SHELL) ./config.status $@
 
 clean-noinstLIBRARIES:
        -test -z "$(noinst_LIBRARIES)" || rm -f $(noinst_LIBRARIES)
+common/$(am__dirstamp):
+       @$(MKDIR_P) common
+       @: > common/$(am__dirstamp)
+common/$(DEPDIR)/$(am__dirstamp):
+       @$(MKDIR_P) common/$(DEPDIR)
+       @: > common/$(DEPDIR)/$(am__dirstamp)
+common/callback.$(OBJEXT): common/$(am__dirstamp) \
+       common/$(DEPDIR)/$(am__dirstamp)
+common/portability.$(OBJEXT): common/$(am__dirstamp) \
+       common/$(DEPDIR)/$(am__dirstamp)
+common/sim-load.$(OBJEXT): common/$(am__dirstamp) \
+       common/$(DEPDIR)/$(am__dirstamp)
+common/sim-signal.$(OBJEXT): common/$(am__dirstamp) \
+       common/$(DEPDIR)/$(am__dirstamp)
+common/syscall.$(OBJEXT): common/$(am__dirstamp) \
+       common/$(DEPDIR)/$(am__dirstamp)
+common/target-newlib-errno.$(OBJEXT): common/$(am__dirstamp) \
+       common/$(DEPDIR)/$(am__dirstamp)
+common/target-newlib-open.$(OBJEXT): common/$(am__dirstamp) \
+       common/$(DEPDIR)/$(am__dirstamp)
+common/target-newlib-signal.$(OBJEXT): common/$(am__dirstamp) \
+       common/$(DEPDIR)/$(am__dirstamp)
+common/target-newlib-syscall.$(OBJEXT): common/$(am__dirstamp) \
+       common/$(DEPDIR)/$(am__dirstamp)
+common/version.$(OBJEXT): common/$(am__dirstamp) \
+       common/$(DEPDIR)/$(am__dirstamp)
 aarch64/$(am__dirstamp):
        @$(MKDIR_P) aarch64
        @: > aarch64/$(am__dirstamp)
+aarch64/$(DEPDIR)/$(am__dirstamp):
+       @$(MKDIR_P) aarch64/$(DEPDIR)
+       @: > aarch64/$(DEPDIR)/$(am__dirstamp)
+aarch64/modules.$(OBJEXT): aarch64/$(am__dirstamp) \
+       aarch64/$(DEPDIR)/$(am__dirstamp)
 
 aarch64/libsim.a: $(aarch64_libsim_a_OBJECTS) $(aarch64_libsim_a_DEPENDENCIES) $(EXTRA_aarch64_libsim_a_DEPENDENCIES) aarch64/$(am__dirstamp)
        $(AM_V_at)-rm -f aarch64/libsim.a
@@ -2976,6 +3397,11 @@ aarch64/libsim.a: $(aarch64_libsim_a_OBJECTS) $(aarch64_libsim_a_DEPENDENCIES) $
 arm/$(am__dirstamp):
        @$(MKDIR_P) arm
        @: > arm/$(am__dirstamp)
+arm/$(DEPDIR)/$(am__dirstamp):
+       @$(MKDIR_P) arm/$(DEPDIR)
+       @: > arm/$(DEPDIR)/$(am__dirstamp)
+arm/modules.$(OBJEXT): arm/$(am__dirstamp) \
+       arm/$(DEPDIR)/$(am__dirstamp)
 
 arm/libsim.a: $(arm_libsim_a_OBJECTS) $(arm_libsim_a_DEPENDENCIES) $(EXTRA_arm_libsim_a_DEPENDENCIES) arm/$(am__dirstamp)
        $(AM_V_at)-rm -f arm/libsim.a
@@ -2984,6 +3410,11 @@ arm/libsim.a: $(arm_libsim_a_OBJECTS) $(arm_libsim_a_DEPENDENCIES) $(EXTRA_arm_l
 avr/$(am__dirstamp):
        @$(MKDIR_P) avr
        @: > avr/$(am__dirstamp)
+avr/$(DEPDIR)/$(am__dirstamp):
+       @$(MKDIR_P) avr/$(DEPDIR)
+       @: > avr/$(DEPDIR)/$(am__dirstamp)
+avr/modules.$(OBJEXT): avr/$(am__dirstamp) \
+       avr/$(DEPDIR)/$(am__dirstamp)
 
 avr/libsim.a: $(avr_libsim_a_OBJECTS) $(avr_libsim_a_DEPENDENCIES) $(EXTRA_avr_libsim_a_DEPENDENCIES) avr/$(am__dirstamp)
        $(AM_V_at)-rm -f avr/libsim.a
@@ -2992,6 +3423,11 @@ avr/libsim.a: $(avr_libsim_a_OBJECTS) $(avr_libsim_a_DEPENDENCIES) $(EXTRA_avr_l
 bfin/$(am__dirstamp):
        @$(MKDIR_P) bfin
        @: > bfin/$(am__dirstamp)
+bfin/$(DEPDIR)/$(am__dirstamp):
+       @$(MKDIR_P) bfin/$(DEPDIR)
+       @: > bfin/$(DEPDIR)/$(am__dirstamp)
+bfin/modules.$(OBJEXT): bfin/$(am__dirstamp) \
+       bfin/$(DEPDIR)/$(am__dirstamp)
 
 bfin/libsim.a: $(bfin_libsim_a_OBJECTS) $(bfin_libsim_a_DEPENDENCIES) $(EXTRA_bfin_libsim_a_DEPENDENCIES) bfin/$(am__dirstamp)
        $(AM_V_at)-rm -f bfin/libsim.a
@@ -3000,35 +3436,16 @@ bfin/libsim.a: $(bfin_libsim_a_OBJECTS) $(bfin_libsim_a_DEPENDENCIES) $(EXTRA_bf
 bpf/$(am__dirstamp):
        @$(MKDIR_P) bpf
        @: > bpf/$(am__dirstamp)
+bpf/$(DEPDIR)/$(am__dirstamp):
+       @$(MKDIR_P) bpf/$(DEPDIR)
+       @: > bpf/$(DEPDIR)/$(am__dirstamp)
+bpf/modules.$(OBJEXT): bpf/$(am__dirstamp) \
+       bpf/$(DEPDIR)/$(am__dirstamp)
 
 bpf/libsim.a: $(bpf_libsim_a_OBJECTS) $(bpf_libsim_a_DEPENDENCIES) $(EXTRA_bpf_libsim_a_DEPENDENCIES) bpf/$(am__dirstamp)
        $(AM_V_at)-rm -f bpf/libsim.a
        $(AM_V_AR)$(bpf_libsim_a_AR) bpf/libsim.a $(bpf_libsim_a_OBJECTS) $(bpf_libsim_a_LIBADD)
        $(AM_V_at)$(RANLIB) bpf/libsim.a
-common/$(am__dirstamp):
-       @$(MKDIR_P) common
-       @: > common/$(am__dirstamp)
-common/$(DEPDIR)/$(am__dirstamp):
-       @$(MKDIR_P) common/$(DEPDIR)
-       @: > common/$(DEPDIR)/$(am__dirstamp)
-common/callback.$(OBJEXT): common/$(am__dirstamp) \
-       common/$(DEPDIR)/$(am__dirstamp)
-common/portability.$(OBJEXT): common/$(am__dirstamp) \
-       common/$(DEPDIR)/$(am__dirstamp)
-common/sim-load.$(OBJEXT): common/$(am__dirstamp) \
-       common/$(DEPDIR)/$(am__dirstamp)
-common/syscall.$(OBJEXT): common/$(am__dirstamp) \
-       common/$(DEPDIR)/$(am__dirstamp)
-common/target-newlib-errno.$(OBJEXT): common/$(am__dirstamp) \
-       common/$(DEPDIR)/$(am__dirstamp)
-common/target-newlib-open.$(OBJEXT): common/$(am__dirstamp) \
-       common/$(DEPDIR)/$(am__dirstamp)
-common/target-newlib-signal.$(OBJEXT): common/$(am__dirstamp) \
-       common/$(DEPDIR)/$(am__dirstamp)
-common/target-newlib-syscall.$(OBJEXT): common/$(am__dirstamp) \
-       common/$(DEPDIR)/$(am__dirstamp)
-common/version.$(OBJEXT): common/$(am__dirstamp) \
-       common/$(DEPDIR)/$(am__dirstamp)
 
 common/libcommon.a: $(common_libcommon_a_OBJECTS) $(common_libcommon_a_DEPENDENCIES) $(EXTRA_common_libcommon_a_DEPENDENCIES) common/$(am__dirstamp)
        $(AM_V_at)-rm -f common/libcommon.a
@@ -3037,6 +3454,11 @@ common/libcommon.a: $(common_libcommon_a_OBJECTS) $(common_libcommon_a_DEPENDENC
 cr16/$(am__dirstamp):
        @$(MKDIR_P) cr16
        @: > cr16/$(am__dirstamp)
+cr16/$(DEPDIR)/$(am__dirstamp):
+       @$(MKDIR_P) cr16/$(DEPDIR)
+       @: > cr16/$(DEPDIR)/$(am__dirstamp)
+cr16/modules.$(OBJEXT): cr16/$(am__dirstamp) \
+       cr16/$(DEPDIR)/$(am__dirstamp)
 
 cr16/libsim.a: $(cr16_libsim_a_OBJECTS) $(cr16_libsim_a_DEPENDENCIES) $(EXTRA_cr16_libsim_a_DEPENDENCIES) cr16/$(am__dirstamp)
        $(AM_V_at)-rm -f cr16/libsim.a
@@ -3045,6 +3467,11 @@ cr16/libsim.a: $(cr16_libsim_a_OBJECTS) $(cr16_libsim_a_DEPENDENCIES) $(EXTRA_cr
 cris/$(am__dirstamp):
        @$(MKDIR_P) cris
        @: > cris/$(am__dirstamp)
+cris/$(DEPDIR)/$(am__dirstamp):
+       @$(MKDIR_P) cris/$(DEPDIR)
+       @: > cris/$(DEPDIR)/$(am__dirstamp)
+cris/modules.$(OBJEXT): cris/$(am__dirstamp) \
+       cris/$(DEPDIR)/$(am__dirstamp)
 
 cris/libsim.a: $(cris_libsim_a_OBJECTS) $(cris_libsim_a_DEPENDENCIES) $(EXTRA_cris_libsim_a_DEPENDENCIES) cris/$(am__dirstamp)
        $(AM_V_at)-rm -f cris/libsim.a
@@ -3053,6 +3480,11 @@ cris/libsim.a: $(cris_libsim_a_OBJECTS) $(cris_libsim_a_DEPENDENCIES) $(EXTRA_cr
 d10v/$(am__dirstamp):
        @$(MKDIR_P) d10v
        @: > d10v/$(am__dirstamp)
+d10v/$(DEPDIR)/$(am__dirstamp):
+       @$(MKDIR_P) d10v/$(DEPDIR)
+       @: > d10v/$(DEPDIR)/$(am__dirstamp)
+d10v/modules.$(OBJEXT): d10v/$(am__dirstamp) \
+       d10v/$(DEPDIR)/$(am__dirstamp)
 
 d10v/libsim.a: $(d10v_libsim_a_OBJECTS) $(d10v_libsim_a_DEPENDENCIES) $(EXTRA_d10v_libsim_a_DEPENDENCIES) d10v/$(am__dirstamp)
        $(AM_V_at)-rm -f d10v/libsim.a
@@ -3061,6 +3493,11 @@ d10v/libsim.a: $(d10v_libsim_a_OBJECTS) $(d10v_libsim_a_DEPENDENCIES) $(EXTRA_d1
 erc32/$(am__dirstamp):
        @$(MKDIR_P) erc32
        @: > erc32/$(am__dirstamp)
+erc32/$(DEPDIR)/$(am__dirstamp):
+       @$(MKDIR_P) erc32/$(DEPDIR)
+       @: > erc32/$(DEPDIR)/$(am__dirstamp)
+erc32/modules.$(OBJEXT): erc32/$(am__dirstamp) \
+       erc32/$(DEPDIR)/$(am__dirstamp)
 
 erc32/libsim.a: $(erc32_libsim_a_OBJECTS) $(erc32_libsim_a_DEPENDENCIES) $(EXTRA_erc32_libsim_a_DEPENDENCIES) erc32/$(am__dirstamp)
        $(AM_V_at)-rm -f erc32/libsim.a
@@ -3069,6 +3506,11 @@ erc32/libsim.a: $(erc32_libsim_a_OBJECTS) $(erc32_libsim_a_DEPENDENCIES) $(EXTRA
 example-synacor/$(am__dirstamp):
        @$(MKDIR_P) example-synacor
        @: > example-synacor/$(am__dirstamp)
+example-synacor/$(DEPDIR)/$(am__dirstamp):
+       @$(MKDIR_P) example-synacor/$(DEPDIR)
+       @: > example-synacor/$(DEPDIR)/$(am__dirstamp)
+example-synacor/modules.$(OBJEXT): example-synacor/$(am__dirstamp) \
+       example-synacor/$(DEPDIR)/$(am__dirstamp)
 
 example-synacor/libsim.a: $(example_synacor_libsim_a_OBJECTS) $(example_synacor_libsim_a_DEPENDENCIES) $(EXTRA_example_synacor_libsim_a_DEPENDENCIES) example-synacor/$(am__dirstamp)
        $(AM_V_at)-rm -f example-synacor/libsim.a
@@ -3077,6 +3519,11 @@ example-synacor/libsim.a: $(example_synacor_libsim_a_OBJECTS) $(example_synacor_
 frv/$(am__dirstamp):
        @$(MKDIR_P) frv
        @: > frv/$(am__dirstamp)
+frv/$(DEPDIR)/$(am__dirstamp):
+       @$(MKDIR_P) frv/$(DEPDIR)
+       @: > frv/$(DEPDIR)/$(am__dirstamp)
+frv/modules.$(OBJEXT): frv/$(am__dirstamp) \
+       frv/$(DEPDIR)/$(am__dirstamp)
 
 frv/libsim.a: $(frv_libsim_a_OBJECTS) $(frv_libsim_a_DEPENDENCIES) $(EXTRA_frv_libsim_a_DEPENDENCIES) frv/$(am__dirstamp)
        $(AM_V_at)-rm -f frv/libsim.a
@@ -3085,6 +3532,11 @@ frv/libsim.a: $(frv_libsim_a_OBJECTS) $(frv_libsim_a_DEPENDENCIES) $(EXTRA_frv_l
 ft32/$(am__dirstamp):
        @$(MKDIR_P) ft32
        @: > ft32/$(am__dirstamp)
+ft32/$(DEPDIR)/$(am__dirstamp):
+       @$(MKDIR_P) ft32/$(DEPDIR)
+       @: > ft32/$(DEPDIR)/$(am__dirstamp)
+ft32/modules.$(OBJEXT): ft32/$(am__dirstamp) \
+       ft32/$(DEPDIR)/$(am__dirstamp)
 
 ft32/libsim.a: $(ft32_libsim_a_OBJECTS) $(ft32_libsim_a_DEPENDENCIES) $(EXTRA_ft32_libsim_a_DEPENDENCIES) ft32/$(am__dirstamp)
        $(AM_V_at)-rm -f ft32/libsim.a
@@ -3093,6 +3545,11 @@ ft32/libsim.a: $(ft32_libsim_a_OBJECTS) $(ft32_libsim_a_DEPENDENCIES) $(EXTRA_ft
 h8300/$(am__dirstamp):
        @$(MKDIR_P) h8300
        @: > h8300/$(am__dirstamp)
+h8300/$(DEPDIR)/$(am__dirstamp):
+       @$(MKDIR_P) h8300/$(DEPDIR)
+       @: > h8300/$(DEPDIR)/$(am__dirstamp)
+h8300/modules.$(OBJEXT): h8300/$(am__dirstamp) \
+       h8300/$(DEPDIR)/$(am__dirstamp)
 
 h8300/libsim.a: $(h8300_libsim_a_OBJECTS) $(h8300_libsim_a_DEPENDENCIES) $(EXTRA_h8300_libsim_a_DEPENDENCIES) h8300/$(am__dirstamp)
        $(AM_V_at)-rm -f h8300/libsim.a
@@ -3135,14 +3592,14 @@ igen/gen-engine.$(OBJEXT): igen/$(am__dirstamp) \
        igen/$(DEPDIR)/$(am__dirstamp)
 igen/gen.$(OBJEXT): igen/$(am__dirstamp) \
        igen/$(DEPDIR)/$(am__dirstamp)
-
-@SIM_ENABLE_IGEN_FALSE@igen/libigen.a: $(igen_libigen_a_OBJECTS) $(igen_libigen_a_DEPENDENCIES) $(EXTRA_igen_libigen_a_DEPENDENCIES) igen/$(am__dirstamp)
-@SIM_ENABLE_IGEN_FALSE@        $(AM_V_at)-rm -f igen/libigen.a
-@SIM_ENABLE_IGEN_FALSE@        $(AM_V_AR)$(igen_libigen_a_AR) igen/libigen.a $(igen_libigen_a_OBJECTS) $(igen_libigen_a_LIBADD)
-@SIM_ENABLE_IGEN_FALSE@        $(AM_V_at)$(RANLIB) igen/libigen.a
 iq2000/$(am__dirstamp):
        @$(MKDIR_P) iq2000
        @: > iq2000/$(am__dirstamp)
+iq2000/$(DEPDIR)/$(am__dirstamp):
+       @$(MKDIR_P) iq2000/$(DEPDIR)
+       @: > iq2000/$(DEPDIR)/$(am__dirstamp)
+iq2000/modules.$(OBJEXT): iq2000/$(am__dirstamp) \
+       iq2000/$(DEPDIR)/$(am__dirstamp)
 
 iq2000/libsim.a: $(iq2000_libsim_a_OBJECTS) $(iq2000_libsim_a_DEPENDENCIES) $(EXTRA_iq2000_libsim_a_DEPENDENCIES) iq2000/$(am__dirstamp)
        $(AM_V_at)-rm -f iq2000/libsim.a
@@ -3151,6 +3608,11 @@ iq2000/libsim.a: $(iq2000_libsim_a_OBJECTS) $(iq2000_libsim_a_DEPENDENCIES) $(EX
 lm32/$(am__dirstamp):
        @$(MKDIR_P) lm32
        @: > lm32/$(am__dirstamp)
+lm32/$(DEPDIR)/$(am__dirstamp):
+       @$(MKDIR_P) lm32/$(DEPDIR)
+       @: > lm32/$(DEPDIR)/$(am__dirstamp)
+lm32/modules.$(OBJEXT): lm32/$(am__dirstamp) \
+       lm32/$(DEPDIR)/$(am__dirstamp)
 
 lm32/libsim.a: $(lm32_libsim_a_OBJECTS) $(lm32_libsim_a_DEPENDENCIES) $(EXTRA_lm32_libsim_a_DEPENDENCIES) lm32/$(am__dirstamp)
        $(AM_V_at)-rm -f lm32/libsim.a
@@ -3159,6 +3621,11 @@ lm32/libsim.a: $(lm32_libsim_a_OBJECTS) $(lm32_libsim_a_DEPENDENCIES) $(EXTRA_lm
 m32c/$(am__dirstamp):
        @$(MKDIR_P) m32c
        @: > m32c/$(am__dirstamp)
+m32c/$(DEPDIR)/$(am__dirstamp):
+       @$(MKDIR_P) m32c/$(DEPDIR)
+       @: > m32c/$(DEPDIR)/$(am__dirstamp)
+m32c/modules.$(OBJEXT): m32c/$(am__dirstamp) \
+       m32c/$(DEPDIR)/$(am__dirstamp)
 
 m32c/libsim.a: $(m32c_libsim_a_OBJECTS) $(m32c_libsim_a_DEPENDENCIES) $(EXTRA_m32c_libsim_a_DEPENDENCIES) m32c/$(am__dirstamp)
        $(AM_V_at)-rm -f m32c/libsim.a
@@ -3167,6 +3634,11 @@ m32c/libsim.a: $(m32c_libsim_a_OBJECTS) $(m32c_libsim_a_DEPENDENCIES) $(EXTRA_m3
 m32r/$(am__dirstamp):
        @$(MKDIR_P) m32r
        @: > m32r/$(am__dirstamp)
+m32r/$(DEPDIR)/$(am__dirstamp):
+       @$(MKDIR_P) m32r/$(DEPDIR)
+       @: > m32r/$(DEPDIR)/$(am__dirstamp)
+m32r/modules.$(OBJEXT): m32r/$(am__dirstamp) \
+       m32r/$(DEPDIR)/$(am__dirstamp)
 
 m32r/libsim.a: $(m32r_libsim_a_OBJECTS) $(m32r_libsim_a_DEPENDENCIES) $(EXTRA_m32r_libsim_a_DEPENDENCIES) m32r/$(am__dirstamp)
        $(AM_V_at)-rm -f m32r/libsim.a
@@ -3175,6 +3647,11 @@ m32r/libsim.a: $(m32r_libsim_a_OBJECTS) $(m32r_libsim_a_DEPENDENCIES) $(EXTRA_m3
 m68hc11/$(am__dirstamp):
        @$(MKDIR_P) m68hc11
        @: > m68hc11/$(am__dirstamp)
+m68hc11/$(DEPDIR)/$(am__dirstamp):
+       @$(MKDIR_P) m68hc11/$(DEPDIR)
+       @: > m68hc11/$(DEPDIR)/$(am__dirstamp)
+m68hc11/modules.$(OBJEXT): m68hc11/$(am__dirstamp) \
+       m68hc11/$(DEPDIR)/$(am__dirstamp)
 
 m68hc11/libsim.a: $(m68hc11_libsim_a_OBJECTS) $(m68hc11_libsim_a_DEPENDENCIES) $(EXTRA_m68hc11_libsim_a_DEPENDENCIES) m68hc11/$(am__dirstamp)
        $(AM_V_at)-rm -f m68hc11/libsim.a
@@ -3183,6 +3660,11 @@ m68hc11/libsim.a: $(m68hc11_libsim_a_OBJECTS) $(m68hc11_libsim_a_DEPENDENCIES) $
 mcore/$(am__dirstamp):
        @$(MKDIR_P) mcore
        @: > mcore/$(am__dirstamp)
+mcore/$(DEPDIR)/$(am__dirstamp):
+       @$(MKDIR_P) mcore/$(DEPDIR)
+       @: > mcore/$(DEPDIR)/$(am__dirstamp)
+mcore/modules.$(OBJEXT): mcore/$(am__dirstamp) \
+       mcore/$(DEPDIR)/$(am__dirstamp)
 
 mcore/libsim.a: $(mcore_libsim_a_OBJECTS) $(mcore_libsim_a_DEPENDENCIES) $(EXTRA_mcore_libsim_a_DEPENDENCIES) mcore/$(am__dirstamp)
        $(AM_V_at)-rm -f mcore/libsim.a
@@ -3191,6 +3673,11 @@ mcore/libsim.a: $(mcore_libsim_a_OBJECTS) $(mcore_libsim_a_DEPENDENCIES) $(EXTRA
 microblaze/$(am__dirstamp):
        @$(MKDIR_P) microblaze
        @: > microblaze/$(am__dirstamp)
+microblaze/$(DEPDIR)/$(am__dirstamp):
+       @$(MKDIR_P) microblaze/$(DEPDIR)
+       @: > microblaze/$(DEPDIR)/$(am__dirstamp)
+microblaze/modules.$(OBJEXT): microblaze/$(am__dirstamp) \
+       microblaze/$(DEPDIR)/$(am__dirstamp)
 
 microblaze/libsim.a: $(microblaze_libsim_a_OBJECTS) $(microblaze_libsim_a_DEPENDENCIES) $(EXTRA_microblaze_libsim_a_DEPENDENCIES) microblaze/$(am__dirstamp)
        $(AM_V_at)-rm -f microblaze/libsim.a
@@ -3199,6 +3686,11 @@ microblaze/libsim.a: $(microblaze_libsim_a_OBJECTS) $(microblaze_libsim_a_DEPEND
 mips/$(am__dirstamp):
        @$(MKDIR_P) mips
        @: > mips/$(am__dirstamp)
+mips/$(DEPDIR)/$(am__dirstamp):
+       @$(MKDIR_P) mips/$(DEPDIR)
+       @: > mips/$(DEPDIR)/$(am__dirstamp)
+mips/modules.$(OBJEXT): mips/$(am__dirstamp) \
+       mips/$(DEPDIR)/$(am__dirstamp)
 
 mips/libsim.a: $(mips_libsim_a_OBJECTS) $(mips_libsim_a_DEPENDENCIES) $(EXTRA_mips_libsim_a_DEPENDENCIES) mips/$(am__dirstamp)
        $(AM_V_at)-rm -f mips/libsim.a
@@ -3207,6 +3699,11 @@ mips/libsim.a: $(mips_libsim_a_OBJECTS) $(mips_libsim_a_DEPENDENCIES) $(EXTRA_mi
 mn10300/$(am__dirstamp):
        @$(MKDIR_P) mn10300
        @: > mn10300/$(am__dirstamp)
+mn10300/$(DEPDIR)/$(am__dirstamp):
+       @$(MKDIR_P) mn10300/$(DEPDIR)
+       @: > mn10300/$(DEPDIR)/$(am__dirstamp)
+mn10300/modules.$(OBJEXT): mn10300/$(am__dirstamp) \
+       mn10300/$(DEPDIR)/$(am__dirstamp)
 
 mn10300/libsim.a: $(mn10300_libsim_a_OBJECTS) $(mn10300_libsim_a_DEPENDENCIES) $(EXTRA_mn10300_libsim_a_DEPENDENCIES) mn10300/$(am__dirstamp)
        $(AM_V_at)-rm -f mn10300/libsim.a
@@ -3215,6 +3712,11 @@ mn10300/libsim.a: $(mn10300_libsim_a_OBJECTS) $(mn10300_libsim_a_DEPENDENCIES) $
 moxie/$(am__dirstamp):
        @$(MKDIR_P) moxie
        @: > moxie/$(am__dirstamp)
+moxie/$(DEPDIR)/$(am__dirstamp):
+       @$(MKDIR_P) moxie/$(DEPDIR)
+       @: > moxie/$(DEPDIR)/$(am__dirstamp)
+moxie/modules.$(OBJEXT): moxie/$(am__dirstamp) \
+       moxie/$(DEPDIR)/$(am__dirstamp)
 
 moxie/libsim.a: $(moxie_libsim_a_OBJECTS) $(moxie_libsim_a_DEPENDENCIES) $(EXTRA_moxie_libsim_a_DEPENDENCIES) moxie/$(am__dirstamp)
        $(AM_V_at)-rm -f moxie/libsim.a
@@ -3223,6 +3725,11 @@ moxie/libsim.a: $(moxie_libsim_a_OBJECTS) $(moxie_libsim_a_DEPENDENCIES) $(EXTRA
 msp430/$(am__dirstamp):
        @$(MKDIR_P) msp430
        @: > msp430/$(am__dirstamp)
+msp430/$(DEPDIR)/$(am__dirstamp):
+       @$(MKDIR_P) msp430/$(DEPDIR)
+       @: > msp430/$(DEPDIR)/$(am__dirstamp)
+msp430/modules.$(OBJEXT): msp430/$(am__dirstamp) \
+       msp430/$(DEPDIR)/$(am__dirstamp)
 
 msp430/libsim.a: $(msp430_libsim_a_OBJECTS) $(msp430_libsim_a_DEPENDENCIES) $(EXTRA_msp430_libsim_a_DEPENDENCIES) msp430/$(am__dirstamp)
        $(AM_V_at)-rm -f msp430/libsim.a
@@ -3231,19 +3738,132 @@ msp430/libsim.a: $(msp430_libsim_a_OBJECTS) $(msp430_libsim_a_DEPENDENCIES) $(EX
 or1k/$(am__dirstamp):
        @$(MKDIR_P) or1k
        @: > or1k/$(am__dirstamp)
+or1k/$(DEPDIR)/$(am__dirstamp):
+       @$(MKDIR_P) or1k/$(DEPDIR)
+       @: > or1k/$(DEPDIR)/$(am__dirstamp)
+or1k/modules.$(OBJEXT): or1k/$(am__dirstamp) \
+       or1k/$(DEPDIR)/$(am__dirstamp)
 
 or1k/libsim.a: $(or1k_libsim_a_OBJECTS) $(or1k_libsim_a_DEPENDENCIES) $(EXTRA_or1k_libsim_a_DEPENDENCIES) or1k/$(am__dirstamp)
        $(AM_V_at)-rm -f or1k/libsim.a
        $(AM_V_AR)$(or1k_libsim_a_AR) or1k/libsim.a $(or1k_libsim_a_OBJECTS) $(or1k_libsim_a_LIBADD)
        $(AM_V_at)$(RANLIB) or1k/libsim.a
+ppc/$(am__dirstamp):
+       @$(MKDIR_P) ppc
+       @: > ppc/$(am__dirstamp)
+ppc/$(DEPDIR)/$(am__dirstamp):
+       @$(MKDIR_P) ppc/$(DEPDIR)
+       @: > ppc/$(DEPDIR)/$(am__dirstamp)
+ppc/table.$(OBJEXT): ppc/$(am__dirstamp) ppc/$(DEPDIR)/$(am__dirstamp)
+ppc/lf-ppc.$(OBJEXT): ppc/$(am__dirstamp) \
+       ppc/$(DEPDIR)/$(am__dirstamp)
+ppc/dumpf.$(OBJEXT): ppc/$(am__dirstamp) ppc/$(DEPDIR)/$(am__dirstamp)
+ppc/ld-decode.$(OBJEXT): ppc/$(am__dirstamp) \
+       ppc/$(DEPDIR)/$(am__dirstamp)
+ppc/ld-cache.$(OBJEXT): ppc/$(am__dirstamp) \
+       ppc/$(DEPDIR)/$(am__dirstamp)
+ppc/filter-ppc.$(OBJEXT): ppc/$(am__dirstamp) \
+       ppc/$(DEPDIR)/$(am__dirstamp)
+ppc/ld-insn.$(OBJEXT): ppc/$(am__dirstamp) \
+       ppc/$(DEPDIR)/$(am__dirstamp)
+ppc/gen-model.$(OBJEXT): ppc/$(am__dirstamp) \
+       ppc/$(DEPDIR)/$(am__dirstamp)
+ppc/gen-itable.$(OBJEXT): ppc/$(am__dirstamp) \
+       ppc/$(DEPDIR)/$(am__dirstamp)
+ppc/gen-icache.$(OBJEXT): ppc/$(am__dirstamp) \
+       ppc/$(DEPDIR)/$(am__dirstamp)
+ppc/gen-semantics.$(OBJEXT): ppc/$(am__dirstamp) \
+       ppc/$(DEPDIR)/$(am__dirstamp)
+ppc/gen-idecode.$(OBJEXT): ppc/$(am__dirstamp) \
+       ppc/$(DEPDIR)/$(am__dirstamp)
+ppc/gen-support.$(OBJEXT): ppc/$(am__dirstamp) \
+       ppc/$(DEPDIR)/$(am__dirstamp)
+
+@SIM_ENABLE_ARCH_ppc_FALSE@ppc/libigen.a: $(ppc_libigen_a_OBJECTS) $(ppc_libigen_a_DEPENDENCIES) $(EXTRA_ppc_libigen_a_DEPENDENCIES) ppc/$(am__dirstamp)
+@SIM_ENABLE_ARCH_ppc_FALSE@    $(AM_V_at)-rm -f ppc/libigen.a
+@SIM_ENABLE_ARCH_ppc_FALSE@    $(AM_V_AR)$(ppc_libigen_a_AR) ppc/libigen.a $(ppc_libigen_a_OBJECTS) $(ppc_libigen_a_LIBADD)
+@SIM_ENABLE_ARCH_ppc_FALSE@    $(AM_V_at)$(RANLIB) ppc/libigen.a
+
+ppc/libsim.a: $(ppc_libsim_a_OBJECTS) $(ppc_libsim_a_DEPENDENCIES) $(EXTRA_ppc_libsim_a_DEPENDENCIES) ppc/$(am__dirstamp)
+       $(AM_V_at)-rm -f ppc/libsim.a
+       $(AM_V_AR)$(ppc_libsim_a_AR) ppc/libsim.a $(ppc_libsim_a_OBJECTS) $(ppc_libsim_a_LIBADD)
+       $(AM_V_at)$(RANLIB) ppc/libsim.a
 pru/$(am__dirstamp):
        @$(MKDIR_P) pru
        @: > pru/$(am__dirstamp)
+pru/$(DEPDIR)/$(am__dirstamp):
+       @$(MKDIR_P) pru/$(DEPDIR)
+       @: > pru/$(DEPDIR)/$(am__dirstamp)
+pru/modules.$(OBJEXT): pru/$(am__dirstamp) \
+       pru/$(DEPDIR)/$(am__dirstamp)
 
 pru/libsim.a: $(pru_libsim_a_OBJECTS) $(pru_libsim_a_DEPENDENCIES) $(EXTRA_pru_libsim_a_DEPENDENCIES) pru/$(am__dirstamp)
        $(AM_V_at)-rm -f pru/libsim.a
        $(AM_V_AR)$(pru_libsim_a_AR) pru/libsim.a $(pru_libsim_a_OBJECTS) $(pru_libsim_a_LIBADD)
        $(AM_V_at)$(RANLIB) pru/libsim.a
+riscv/$(am__dirstamp):
+       @$(MKDIR_P) riscv
+       @: > riscv/$(am__dirstamp)
+riscv/$(DEPDIR)/$(am__dirstamp):
+       @$(MKDIR_P) riscv/$(DEPDIR)
+       @: > riscv/$(DEPDIR)/$(am__dirstamp)
+riscv/modules.$(OBJEXT): riscv/$(am__dirstamp) \
+       riscv/$(DEPDIR)/$(am__dirstamp)
+
+riscv/libsim.a: $(riscv_libsim_a_OBJECTS) $(riscv_libsim_a_DEPENDENCIES) $(EXTRA_riscv_libsim_a_DEPENDENCIES) riscv/$(am__dirstamp)
+       $(AM_V_at)-rm -f riscv/libsim.a
+       $(AM_V_AR)$(riscv_libsim_a_AR) riscv/libsim.a $(riscv_libsim_a_OBJECTS) $(riscv_libsim_a_LIBADD)
+       $(AM_V_at)$(RANLIB) riscv/libsim.a
+rl78/$(am__dirstamp):
+       @$(MKDIR_P) rl78
+       @: > rl78/$(am__dirstamp)
+rl78/$(DEPDIR)/$(am__dirstamp):
+       @$(MKDIR_P) rl78/$(DEPDIR)
+       @: > rl78/$(DEPDIR)/$(am__dirstamp)
+rl78/modules.$(OBJEXT): rl78/$(am__dirstamp) \
+       rl78/$(DEPDIR)/$(am__dirstamp)
+
+rl78/libsim.a: $(rl78_libsim_a_OBJECTS) $(rl78_libsim_a_DEPENDENCIES) $(EXTRA_rl78_libsim_a_DEPENDENCIES) rl78/$(am__dirstamp)
+       $(AM_V_at)-rm -f rl78/libsim.a
+       $(AM_V_AR)$(rl78_libsim_a_AR) rl78/libsim.a $(rl78_libsim_a_OBJECTS) $(rl78_libsim_a_LIBADD)
+       $(AM_V_at)$(RANLIB) rl78/libsim.a
+rx/$(am__dirstamp):
+       @$(MKDIR_P) rx
+       @: > rx/$(am__dirstamp)
+rx/$(DEPDIR)/$(am__dirstamp):
+       @$(MKDIR_P) rx/$(DEPDIR)
+       @: > rx/$(DEPDIR)/$(am__dirstamp)
+rx/modules.$(OBJEXT): rx/$(am__dirstamp) rx/$(DEPDIR)/$(am__dirstamp)
+
+rx/libsim.a: $(rx_libsim_a_OBJECTS) $(rx_libsim_a_DEPENDENCIES) $(EXTRA_rx_libsim_a_DEPENDENCIES) rx/$(am__dirstamp)
+       $(AM_V_at)-rm -f rx/libsim.a
+       $(AM_V_AR)$(rx_libsim_a_AR) rx/libsim.a $(rx_libsim_a_OBJECTS) $(rx_libsim_a_LIBADD)
+       $(AM_V_at)$(RANLIB) rx/libsim.a
+sh/$(am__dirstamp):
+       @$(MKDIR_P) sh
+       @: > sh/$(am__dirstamp)
+sh/$(DEPDIR)/$(am__dirstamp):
+       @$(MKDIR_P) sh/$(DEPDIR)
+       @: > sh/$(DEPDIR)/$(am__dirstamp)
+sh/modules.$(OBJEXT): sh/$(am__dirstamp) sh/$(DEPDIR)/$(am__dirstamp)
+
+sh/libsim.a: $(sh_libsim_a_OBJECTS) $(sh_libsim_a_DEPENDENCIES) $(EXTRA_sh_libsim_a_DEPENDENCIES) sh/$(am__dirstamp)
+       $(AM_V_at)-rm -f sh/libsim.a
+       $(AM_V_AR)$(sh_libsim_a_AR) sh/libsim.a $(sh_libsim_a_OBJECTS) $(sh_libsim_a_LIBADD)
+       $(AM_V_at)$(RANLIB) sh/libsim.a
+v850/$(am__dirstamp):
+       @$(MKDIR_P) v850
+       @: > v850/$(am__dirstamp)
+v850/$(DEPDIR)/$(am__dirstamp):
+       @$(MKDIR_P) v850/$(DEPDIR)
+       @: > v850/$(DEPDIR)/$(am__dirstamp)
+v850/modules.$(OBJEXT): v850/$(am__dirstamp) \
+       v850/$(DEPDIR)/$(am__dirstamp)
+
+v850/libsim.a: $(v850_libsim_a_OBJECTS) $(v850_libsim_a_DEPENDENCIES) $(EXTRA_v850_libsim_a_DEPENDENCIES) v850/$(am__dirstamp)
+       $(AM_V_at)-rm -f v850/libsim.a
+       $(AM_V_AR)$(v850_libsim_a_AR) v850/libsim.a $(v850_libsim_a_OBJECTS) $(v850_libsim_a_LIBADD)
+       $(AM_V_at)$(RANLIB) v850/libsim.a
 
 clean-checkPROGRAMS:
        @list='$(check_PROGRAMS)'; test -n "$$list" || exit 0; \
@@ -3282,9 +3902,6 @@ bfin/run$(EXEEXT): $(bfin_run_OBJECTS) $(bfin_run_DEPENDENCIES) $(EXTRA_bfin_run
 bpf/run$(EXEEXT): $(bpf_run_OBJECTS) $(bpf_run_DEPENDENCIES) $(EXTRA_bpf_run_DEPENDENCIES) bpf/$(am__dirstamp)
        @rm -f bpf/run$(EXEEXT)
        $(AM_V_CCLD)$(LINK) $(bpf_run_OBJECTS) $(bpf_run_LDADD) $(LIBS)
-cr16/$(DEPDIR)/$(am__dirstamp):
-       @$(MKDIR_P) cr16/$(DEPDIR)
-       @: > cr16/$(DEPDIR)/$(am__dirstamp)
 cr16/gencode.$(OBJEXT): cr16/$(am__dirstamp) \
        cr16/$(DEPDIR)/$(am__dirstamp)
 
@@ -3299,18 +3916,12 @@ cr16/run$(EXEEXT): $(cr16_run_OBJECTS) $(cr16_run_DEPENDENCIES) $(EXTRA_cr16_run
 cris/run$(EXEEXT): $(cris_run_OBJECTS) $(cris_run_DEPENDENCIES) $(EXTRA_cris_run_DEPENDENCIES) cris/$(am__dirstamp)
        @rm -f cris/run$(EXEEXT)
        $(AM_V_CCLD)$(LINK) $(cris_run_OBJECTS) $(cris_run_LDADD) $(LIBS)
-cris/$(DEPDIR)/$(am__dirstamp):
-       @$(MKDIR_P) cris/$(DEPDIR)
-       @: > cris/$(DEPDIR)/$(am__dirstamp)
 cris/rvdummy.$(OBJEXT): cris/$(am__dirstamp) \
        cris/$(DEPDIR)/$(am__dirstamp)
 
 cris/rvdummy$(EXEEXT): $(cris_rvdummy_OBJECTS) $(cris_rvdummy_DEPENDENCIES) $(EXTRA_cris_rvdummy_DEPENDENCIES) cris/$(am__dirstamp)
        @rm -f cris/rvdummy$(EXEEXT)
        $(AM_V_CCLD)$(LINK) $(cris_rvdummy_OBJECTS) $(cris_rvdummy_LDADD) $(LIBS)
-d10v/$(DEPDIR)/$(am__dirstamp):
-       @$(MKDIR_P) d10v/$(DEPDIR)
-       @: > d10v/$(DEPDIR)/$(am__dirstamp)
 d10v/gencode.$(OBJEXT): d10v/$(am__dirstamp) \
        d10v/$(DEPDIR)/$(am__dirstamp)
 
@@ -3325,9 +3936,6 @@ d10v/run$(EXEEXT): $(d10v_run_OBJECTS) $(d10v_run_DEPENDENCIES) $(EXTRA_d10v_run
 erc32/run$(EXEEXT): $(erc32_run_OBJECTS) $(erc32_run_DEPENDENCIES) $(EXTRA_erc32_run_DEPENDENCIES) erc32/$(am__dirstamp)
        @rm -f erc32/run$(EXEEXT)
        $(AM_V_CCLD)$(LINK) $(erc32_run_OBJECTS) $(erc32_run_LDADD) $(LIBS)
-erc32/$(DEPDIR)/$(am__dirstamp):
-       @$(MKDIR_P) erc32/$(DEPDIR)
-       @: > erc32/$(DEPDIR)/$(am__dirstamp)
 erc32/sis.$(OBJEXT): erc32/$(am__dirstamp) \
        erc32/$(DEPDIR)/$(am__dirstamp)
 
@@ -3361,10 +3969,6 @@ igen/gen$(EXEEXT): $(igen_gen_OBJECTS) $(igen_gen_DEPENDENCIES) $(EXTRA_igen_gen
 igen/igen.$(OBJEXT): igen/$(am__dirstamp) \
        igen/$(DEPDIR)/$(am__dirstamp)
 
-@SIM_ENABLE_IGEN_FALSE@igen/igen$(EXEEXT): $(igen_igen_OBJECTS) $(igen_igen_DEPENDENCIES) $(EXTRA_igen_igen_DEPENDENCIES) igen/$(am__dirstamp)
-@SIM_ENABLE_IGEN_FALSE@        @rm -f igen/igen$(EXEEXT)
-@SIM_ENABLE_IGEN_FALSE@        $(AM_V_CCLD)$(LINK) $(igen_igen_OBJECTS) $(igen_igen_LDADD) $(LIBS)
-
 igen/ld-cache$(EXEEXT): $(igen_ld_cache_OBJECTS) $(igen_ld_cache_DEPENDENCIES) $(EXTRA_igen_ld_cache_DEPENDENCIES) igen/$(am__dirstamp)
        @rm -f igen/ld-cache$(EXEEXT)
        $(AM_V_CCLD)$(LINK) $(igen_ld_cache_OBJECTS) $(igen_ld_cache_LDADD) $(LIBS)
@@ -3388,9 +3992,6 @@ iq2000/run$(EXEEXT): $(iq2000_run_OBJECTS) $(iq2000_run_DEPENDENCIES) $(EXTRA_iq
 lm32/run$(EXEEXT): $(lm32_run_OBJECTS) $(lm32_run_DEPENDENCIES) $(EXTRA_lm32_run_DEPENDENCIES) lm32/$(am__dirstamp)
        @rm -f lm32/run$(EXEEXT)
        $(AM_V_CCLD)$(LINK) $(lm32_run_OBJECTS) $(lm32_run_LDADD) $(LIBS)
-m32c/$(DEPDIR)/$(am__dirstamp):
-       @$(MKDIR_P) m32c/$(DEPDIR)
-       @: > m32c/$(DEPDIR)/$(am__dirstamp)
 m32c/opc2c.$(OBJEXT): m32c/$(am__dirstamp) \
        m32c/$(DEPDIR)/$(am__dirstamp)
 
@@ -3405,9 +4006,6 @@ m32c/run$(EXEEXT): $(m32c_run_OBJECTS) $(m32c_run_DEPENDENCIES) $(EXTRA_m32c_run
 m32r/run$(EXEEXT): $(m32r_run_OBJECTS) $(m32r_run_DEPENDENCIES) $(EXTRA_m32r_run_DEPENDENCIES) m32r/$(am__dirstamp)
        @rm -f m32r/run$(EXEEXT)
        $(AM_V_CCLD)$(LINK) $(m32r_run_OBJECTS) $(m32r_run_LDADD) $(LIBS)
-m68hc11/$(DEPDIR)/$(am__dirstamp):
-       @$(MKDIR_P) m68hc11/$(DEPDIR)
-       @: > m68hc11/$(DEPDIR)/$(am__dirstamp)
 m68hc11/gencode.$(OBJEXT): m68hc11/$(am__dirstamp) \
        m68hc11/$(DEPDIR)/$(am__dirstamp)
 
@@ -3446,17 +4044,24 @@ msp430/run$(EXEEXT): $(msp430_run_OBJECTS) $(msp430_run_DEPENDENCIES) $(EXTRA_ms
 or1k/run$(EXEEXT): $(or1k_run_OBJECTS) $(or1k_run_DEPENDENCIES) $(EXTRA_or1k_run_DEPENDENCIES) or1k/$(am__dirstamp)
        @rm -f or1k/run$(EXEEXT)
        $(AM_V_CCLD)$(LINK) $(or1k_run_OBJECTS) $(or1k_run_LDADD) $(LIBS)
-ppc/$(am__dirstamp):
-       @$(MKDIR_P) ppc
-       @: > ppc/$(am__dirstamp)
-ppc/$(DEPDIR)/$(am__dirstamp):
-       @$(MKDIR_P) ppc/$(DEPDIR)
-       @: > ppc/$(DEPDIR)/$(am__dirstamp)
-ppc/psim.$(OBJEXT): ppc/$(am__dirstamp) ppc/$(DEPDIR)/$(am__dirstamp)
+ppc/igen.$(OBJEXT): ppc/$(am__dirstamp) ppc/$(DEPDIR)/$(am__dirstamp)
+
+@SIM_ENABLE_ARCH_ppc_FALSE@ppc/igen$(EXEEXT): $(ppc_igen_OBJECTS) $(ppc_igen_DEPENDENCIES) $(EXTRA_ppc_igen_DEPENDENCIES) ppc/$(am__dirstamp)
+@SIM_ENABLE_ARCH_ppc_FALSE@    @rm -f ppc/igen$(EXEEXT)
+@SIM_ENABLE_ARCH_ppc_FALSE@    $(AM_V_CCLD)$(LINK) $(ppc_igen_OBJECTS) $(ppc_igen_LDADD) $(LIBS)
+
+ppc/ld-cache$(EXEEXT): $(ppc_ld_cache_OBJECTS) $(ppc_ld_cache_DEPENDENCIES) $(EXTRA_ppc_ld_cache_DEPENDENCIES) ppc/$(am__dirstamp)
+       @rm -f ppc/ld-cache$(EXEEXT)
+       $(AM_V_CCLD)$(LINK) $(ppc_ld_cache_OBJECTS) $(ppc_ld_cache_LDADD) $(LIBS)
 
-@SIM_ENABLE_ARCH_ppc_FALSE@ppc/psim$(EXEEXT): $(ppc_psim_OBJECTS) $(ppc_psim_DEPENDENCIES) $(EXTRA_ppc_psim_DEPENDENCIES) ppc/$(am__dirstamp)
-@SIM_ENABLE_ARCH_ppc_FALSE@    @rm -f ppc/psim$(EXEEXT)
-@SIM_ENABLE_ARCH_ppc_FALSE@    $(AM_V_CCLD)$(LINK) $(ppc_psim_OBJECTS) $(ppc_psim_LDADD) $(LIBS)
+ppc/ld-decode$(EXEEXT): $(ppc_ld_decode_OBJECTS) $(ppc_ld_decode_DEPENDENCIES) $(EXTRA_ppc_ld_decode_DEPENDENCIES) ppc/$(am__dirstamp)
+       @rm -f ppc/ld-decode$(EXEEXT)
+       $(AM_V_CCLD)$(LINK) $(ppc_ld_decode_OBJECTS) $(ppc_ld_decode_LDADD) $(LIBS)
+
+ppc/ld-insn$(EXEEXT): $(ppc_ld_insn_OBJECTS) $(ppc_ld_insn_DEPENDENCIES) $(EXTRA_ppc_ld_insn_DEPENDENCIES) ppc/$(am__dirstamp)
+       @rm -f ppc/ld-insn$(EXEEXT)
+       $(AM_V_CCLD)$(LINK) $(ppc_ld_insn_OBJECTS) $(ppc_ld_insn_LDADD) $(LIBS)
+ppc/main.$(OBJEXT): ppc/$(am__dirstamp) ppc/$(DEPDIR)/$(am__dirstamp)
 
 ppc/run$(EXEEXT): $(ppc_run_OBJECTS) $(ppc_run_DEPENDENCIES) $(EXTRA_ppc_run_DEPENDENCIES) ppc/$(am__dirstamp)
        @rm -f ppc/run$(EXEEXT)
@@ -3465,33 +4070,18 @@ ppc/run$(EXEEXT): $(ppc_run_OBJECTS) $(ppc_run_DEPENDENCIES) $(EXTRA_ppc_run_DEP
 pru/run$(EXEEXT): $(pru_run_OBJECTS) $(pru_run_DEPENDENCIES) $(EXTRA_pru_run_DEPENDENCIES) pru/$(am__dirstamp)
        @rm -f pru/run$(EXEEXT)
        $(AM_V_CCLD)$(LINK) $(pru_run_OBJECTS) $(pru_run_LDADD) $(LIBS)
-riscv/$(am__dirstamp):
-       @$(MKDIR_P) riscv
-       @: > riscv/$(am__dirstamp)
 
 riscv/run$(EXEEXT): $(riscv_run_OBJECTS) $(riscv_run_DEPENDENCIES) $(EXTRA_riscv_run_DEPENDENCIES) riscv/$(am__dirstamp)
        @rm -f riscv/run$(EXEEXT)
        $(AM_V_CCLD)$(LINK) $(riscv_run_OBJECTS) $(riscv_run_LDADD) $(LIBS)
-rl78/$(am__dirstamp):
-       @$(MKDIR_P) rl78
-       @: > rl78/$(am__dirstamp)
 
 rl78/run$(EXEEXT): $(rl78_run_OBJECTS) $(rl78_run_DEPENDENCIES) $(EXTRA_rl78_run_DEPENDENCIES) rl78/$(am__dirstamp)
        @rm -f rl78/run$(EXEEXT)
        $(AM_V_CCLD)$(LINK) $(rl78_run_OBJECTS) $(rl78_run_LDADD) $(LIBS)
-rx/$(am__dirstamp):
-       @$(MKDIR_P) rx
-       @: > rx/$(am__dirstamp)
 
 rx/run$(EXEEXT): $(rx_run_OBJECTS) $(rx_run_DEPENDENCIES) $(EXTRA_rx_run_DEPENDENCIES) rx/$(am__dirstamp)
        @rm -f rx/run$(EXEEXT)
        $(AM_V_CCLD)$(LINK) $(rx_run_OBJECTS) $(rx_run_LDADD) $(LIBS)
-sh/$(am__dirstamp):
-       @$(MKDIR_P) sh
-       @: > sh/$(am__dirstamp)
-sh/$(DEPDIR)/$(am__dirstamp):
-       @$(MKDIR_P) sh/$(DEPDIR)
-       @: > sh/$(DEPDIR)/$(am__dirstamp)
 sh/gencode.$(OBJEXT): sh/$(am__dirstamp) sh/$(DEPDIR)/$(am__dirstamp)
 
 @SIM_ENABLE_ARCH_sh_FALSE@sh/gencode$(EXEEXT): $(sh_gencode_OBJECTS) $(sh_gencode_DEPENDENCIES) $(EXTRA_sh_gencode_DEPENDENCIES) sh/$(am__dirstamp)
@@ -3523,9 +4113,6 @@ testsuite/common/bits64m63.$(OBJEXT):  \
        testsuite/common/$(DEPDIR)/$(am__dirstamp)
 testsuite/common/fpu-tst.$(OBJEXT): testsuite/common/$(am__dirstamp) \
        testsuite/common/$(DEPDIR)/$(am__dirstamp)
-v850/$(am__dirstamp):
-       @$(MKDIR_P) v850
-       @: > v850/$(am__dirstamp)
 
 v850/run$(EXEEXT): $(v850_run_OBJECTS) $(v850_run_DEPENDENCIES) $(EXTRA_v850_run_DEPENDENCIES) v850/$(am__dirstamp)
        @rm -f v850/run$(EXEEXT)
@@ -3533,24 +4120,54 @@ v850/run$(EXEEXT): $(v850_run_OBJECTS) $(v850_run_DEPENDENCIES) $(EXTRA_v850_run
 
 mostlyclean-compile:
        -rm -f *.$(OBJEXT)
+       -rm -f aarch64/*.$(OBJEXT)
+       -rm -f arm/*.$(OBJEXT)
+       -rm -f avr/*.$(OBJEXT)
+       -rm -f bfin/*.$(OBJEXT)
+       -rm -f bpf/*.$(OBJEXT)
        -rm -f common/*.$(OBJEXT)
        -rm -f cr16/*.$(OBJEXT)
        -rm -f cris/*.$(OBJEXT)
        -rm -f d10v/*.$(OBJEXT)
        -rm -f erc32/*.$(OBJEXT)
+       -rm -f example-synacor/*.$(OBJEXT)
+       -rm -f frv/*.$(OBJEXT)
+       -rm -f ft32/*.$(OBJEXT)
+       -rm -f h8300/*.$(OBJEXT)
        -rm -f igen/*.$(OBJEXT)
+       -rm -f iq2000/*.$(OBJEXT)
+       -rm -f lm32/*.$(OBJEXT)
        -rm -f m32c/*.$(OBJEXT)
+       -rm -f m32r/*.$(OBJEXT)
        -rm -f m68hc11/*.$(OBJEXT)
+       -rm -f mcore/*.$(OBJEXT)
+       -rm -f microblaze/*.$(OBJEXT)
+       -rm -f mips/*.$(OBJEXT)
+       -rm -f mn10300/*.$(OBJEXT)
+       -rm -f moxie/*.$(OBJEXT)
+       -rm -f msp430/*.$(OBJEXT)
+       -rm -f or1k/*.$(OBJEXT)
        -rm -f ppc/*.$(OBJEXT)
+       -rm -f pru/*.$(OBJEXT)
+       -rm -f riscv/*.$(OBJEXT)
+       -rm -f rl78/*.$(OBJEXT)
+       -rm -f rx/*.$(OBJEXT)
        -rm -f sh/*.$(OBJEXT)
        -rm -f testsuite/common/*.$(OBJEXT)
+       -rm -f v850/*.$(OBJEXT)
 
 distclean-compile:
        -rm -f *.tab.c
 
+@AMDEP_TRUE@@am__include@ @am__quote@aarch64/$(DEPDIR)/modules.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@arm/$(DEPDIR)/modules.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@avr/$(DEPDIR)/modules.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@bfin/$(DEPDIR)/modules.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@bpf/$(DEPDIR)/modules.Po@am__quote@
 @AMDEP_TRUE@@am__include@ @am__quote@common/$(DEPDIR)/callback.Po@am__quote@
 @AMDEP_TRUE@@am__include@ @am__quote@common/$(DEPDIR)/portability.Po@am__quote@
 @AMDEP_TRUE@@am__include@ @am__quote@common/$(DEPDIR)/sim-load.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@common/$(DEPDIR)/sim-signal.Po@am__quote@
 @AMDEP_TRUE@@am__include@ @am__quote@common/$(DEPDIR)/syscall.Po@am__quote@
 @AMDEP_TRUE@@am__include@ @am__quote@common/$(DEPDIR)/target-newlib-errno.Po@am__quote@
 @AMDEP_TRUE@@am__include@ @am__quote@common/$(DEPDIR)/target-newlib-open.Po@am__quote@
@@ -3558,9 +4175,17 @@ distclean-compile:
 @AMDEP_TRUE@@am__include@ @am__quote@common/$(DEPDIR)/target-newlib-syscall.Po@am__quote@
 @AMDEP_TRUE@@am__include@ @am__quote@common/$(DEPDIR)/version.Po@am__quote@
 @AMDEP_TRUE@@am__include@ @am__quote@cr16/$(DEPDIR)/gencode.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@cr16/$(DEPDIR)/modules.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@cris/$(DEPDIR)/modules.Po@am__quote@
 @AMDEP_TRUE@@am__include@ @am__quote@cris/$(DEPDIR)/rvdummy.Po@am__quote@
 @AMDEP_TRUE@@am__include@ @am__quote@d10v/$(DEPDIR)/gencode.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@d10v/$(DEPDIR)/modules.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@erc32/$(DEPDIR)/modules.Po@am__quote@
 @AMDEP_TRUE@@am__include@ @am__quote@erc32/$(DEPDIR)/sis.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@example-synacor/$(DEPDIR)/modules.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@frv/$(DEPDIR)/modules.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@ft32/$(DEPDIR)/modules.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@h8300/$(DEPDIR)/modules.Po@am__quote@
 @AMDEP_TRUE@@am__include@ @am__quote@igen/$(DEPDIR)/filter.Po@am__quote@
 @AMDEP_TRUE@@am__include@ @am__quote@igen/$(DEPDIR)/filter_host.Po@am__quote@
 @AMDEP_TRUE@@am__include@ @am__quote@igen/$(DEPDIR)/gen-engine.Po@am__quote@
@@ -3578,10 +4203,41 @@ distclean-compile:
 @AMDEP_TRUE@@am__include@ @am__quote@igen/$(DEPDIR)/lf.Po@am__quote@
 @AMDEP_TRUE@@am__include@ @am__quote@igen/$(DEPDIR)/misc.Po@am__quote@
 @AMDEP_TRUE@@am__include@ @am__quote@igen/$(DEPDIR)/table.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@iq2000/$(DEPDIR)/modules.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@lm32/$(DEPDIR)/modules.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@m32c/$(DEPDIR)/modules.Po@am__quote@
 @AMDEP_TRUE@@am__include@ @am__quote@m32c/$(DEPDIR)/opc2c.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@m32r/$(DEPDIR)/modules.Po@am__quote@
 @AMDEP_TRUE@@am__include@ @am__quote@m68hc11/$(DEPDIR)/gencode.Po@am__quote@
-@AMDEP_TRUE@@am__include@ @am__quote@ppc/$(DEPDIR)/psim.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@m68hc11/$(DEPDIR)/modules.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@mcore/$(DEPDIR)/modules.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@microblaze/$(DEPDIR)/modules.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@mips/$(DEPDIR)/modules.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@mn10300/$(DEPDIR)/modules.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@moxie/$(DEPDIR)/modules.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@msp430/$(DEPDIR)/modules.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@or1k/$(DEPDIR)/modules.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@ppc/$(DEPDIR)/dumpf.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@ppc/$(DEPDIR)/filter-ppc.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@ppc/$(DEPDIR)/gen-icache.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@ppc/$(DEPDIR)/gen-idecode.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@ppc/$(DEPDIR)/gen-itable.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@ppc/$(DEPDIR)/gen-model.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@ppc/$(DEPDIR)/gen-semantics.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@ppc/$(DEPDIR)/gen-support.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@ppc/$(DEPDIR)/igen.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@ppc/$(DEPDIR)/ld-cache.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@ppc/$(DEPDIR)/ld-decode.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@ppc/$(DEPDIR)/ld-insn.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@ppc/$(DEPDIR)/lf-ppc.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@ppc/$(DEPDIR)/main.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@ppc/$(DEPDIR)/table.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@pru/$(DEPDIR)/modules.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@riscv/$(DEPDIR)/modules.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@rl78/$(DEPDIR)/modules.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@rx/$(DEPDIR)/modules.Po@am__quote@
 @AMDEP_TRUE@@am__include@ @am__quote@sh/$(DEPDIR)/gencode.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@sh/$(DEPDIR)/modules.Po@am__quote@
 @AMDEP_TRUE@@am__include@ @am__quote@testsuite/common/$(DEPDIR)/alu-tst.Po@am__quote@
 @AMDEP_TRUE@@am__include@ @am__quote@testsuite/common/$(DEPDIR)/bits-gen.Po@am__quote@
 @AMDEP_TRUE@@am__include@ @am__quote@testsuite/common/$(DEPDIR)/bits32m0.Po@am__quote@
@@ -3589,6 +4245,7 @@ distclean-compile:
 @AMDEP_TRUE@@am__include@ @am__quote@testsuite/common/$(DEPDIR)/bits64m0.Po@am__quote@
 @AMDEP_TRUE@@am__include@ @am__quote@testsuite/common/$(DEPDIR)/bits64m63.Po@am__quote@
 @AMDEP_TRUE@@am__include@ @am__quote@testsuite/common/$(DEPDIR)/fpu-tst.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@v850/$(DEPDIR)/modules.Po@am__quote@
 
 .c.o:
 @am__fastdepCC_TRUE@   $(AM_V_CC)depbase=`echo $@ | sed 's|[^/]*$$|$(DEPDIR)/&|;s|\.o$$||'`;\
@@ -3825,61 +4482,14 @@ uninstall-pkgincludeHEADERS:
        files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
        dir='$(DESTDIR)$(pkgincludedir)'; $(am__uninstall_files_from_dir)
 
-# This directory's subdirectories are mostly independent; you can cd
-# into them and run 'make' without going through this Makefile.
-# To change the values of 'make' variables: instead of editing Makefiles,
-# (1) if the variable is set in 'config.status', edit 'config.status'
-#     (which will cause the Makefiles to be regenerated when you run 'make');
-# (2) otherwise, pass the desired values on the 'make' command line.
-$(am__recursive_targets):
-       @fail=; \
-       if $(am__make_keepgoing); then \
-         failcom='fail=yes'; \
-       else \
-         failcom='exit 1'; \
-       fi; \
-       dot_seen=no; \
-       target=`echo $@ | sed s/-recursive//`; \
-       case "$@" in \
-         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
-         *) list='$(SUBDIRS)' ;; \
-       esac; \
-       for subdir in $$list; do \
-         echo "Making $$target in $$subdir"; \
-         if test "$$subdir" = "."; then \
-           dot_seen=yes; \
-           local_target="$$target-am"; \
-         else \
-           local_target="$$target"; \
-         fi; \
-         ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
-         || eval $$failcom; \
-       done; \
-       if test "$$dot_seen" = "no"; then \
-         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
-       fi; test -z "$$fail"
-
 ID: $(am__tagged_files)
        $(am__define_uniq_tagged_files); mkid -fID $$unique
-tags: tags-recursive
+tags: tags-am
 TAGS: tags
 
 tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
        set x; \
        here=`pwd`; \
-       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
-         include_option=--etags-include; \
-         empty_fix=.; \
-       else \
-         include_option=--include; \
-         empty_fix=; \
-       fi; \
-       list='$(SUBDIRS)'; for subdir in $$list; do \
-         if test "$$subdir" = .; then :; else \
-           test ! -f $$subdir/TAGS || \
-             set "$$@" "$$include_option=$$here/$$subdir/TAGS"; \
-         fi; \
-       done; \
        $(am__define_uniq_tagged_files); \
        shift; \
        if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
@@ -3892,7 +4502,7 @@ tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
              $$unique; \
          fi; \
        fi
-ctags: ctags-recursive
+ctags: ctags-am
 
 CTAGS: ctags
 ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
@@ -3911,7 +4521,7 @@ cscope: cscope.files
 clean-cscope:
        -rm -f cscope.files
 cscope.files: clean-cscope cscopelist
-cscopelist: cscopelist-recursive
+cscopelist: cscopelist-am
 
 cscopelist-am: $(am__tagged_files)
        list='$(am__tagged_files)'; \
@@ -4157,23 +4767,22 @@ check-am: all-am
        $(MAKE) $(AM_MAKEFLAGS) $(check_PROGRAMS)
        $(MAKE) $(AM_MAKEFLAGS) check-DEJAGNU check-TESTS
 check: $(BUILT_SOURCES)
-       $(MAKE) $(AM_MAKEFLAGS) check-recursive
+       $(MAKE) $(AM_MAKEFLAGS) check-am
 all-am: Makefile $(LIBRARIES) $(PROGRAMS) $(DATA) $(HEADERS) config.h
-installdirs: installdirs-recursive
-installdirs-am:
+installdirs:
        for dir in "$(DESTDIR)$(armdocdir)" "$(DESTDIR)$(dtbdir)" "$(DESTDIR)$(erc32docdir)" "$(DESTDIR)$(frvdocdir)" "$(DESTDIR)$(or1kdocdir)" "$(DESTDIR)$(ppcdocdir)" "$(DESTDIR)$(rxdocdir)" "$(DESTDIR)$(pkgincludedir)"; do \
          test -z "$$dir" || $(MKDIR_P) "$$dir"; \
        done
 install: $(BUILT_SOURCES)
-       $(MAKE) $(AM_MAKEFLAGS) install-recursive
-install-exec: install-exec-recursive
-install-data: install-data-recursive
-uninstall: uninstall-recursive
+       $(MAKE) $(AM_MAKEFLAGS) install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
 
 install-am: all-am
        @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
 
-installcheck: installcheck-recursive
+installcheck: installcheck-am
 install-strip:
        if test -z '$(STRIP)'; then \
          $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
@@ -4196,10 +4805,15 @@ clean-generic:
 distclean-generic:
        -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
        -test . = "$(srcdir)" || test -z "$(CONFIG_CLEAN_VPATH_FILES)" || rm -f $(CONFIG_CLEAN_VPATH_FILES)
+       -rm -f aarch64/$(DEPDIR)/$(am__dirstamp)
        -rm -f aarch64/$(am__dirstamp)
+       -rm -f arm/$(DEPDIR)/$(am__dirstamp)
        -rm -f arm/$(am__dirstamp)
+       -rm -f avr/$(DEPDIR)/$(am__dirstamp)
        -rm -f avr/$(am__dirstamp)
+       -rm -f bfin/$(DEPDIR)/$(am__dirstamp)
        -rm -f bfin/$(am__dirstamp)
+       -rm -f bpf/$(DEPDIR)/$(am__dirstamp)
        -rm -f bpf/$(am__dirstamp)
        -rm -f common/$(DEPDIR)/$(am__dirstamp)
        -rm -f common/$(am__dirstamp)
@@ -4211,36 +4825,55 @@ distclean-generic:
        -rm -f d10v/$(am__dirstamp)
        -rm -f erc32/$(DEPDIR)/$(am__dirstamp)
        -rm -f erc32/$(am__dirstamp)
+       -rm -f example-synacor/$(DEPDIR)/$(am__dirstamp)
        -rm -f example-synacor/$(am__dirstamp)
+       -rm -f frv/$(DEPDIR)/$(am__dirstamp)
        -rm -f frv/$(am__dirstamp)
+       -rm -f ft32/$(DEPDIR)/$(am__dirstamp)
        -rm -f ft32/$(am__dirstamp)
+       -rm -f h8300/$(DEPDIR)/$(am__dirstamp)
        -rm -f h8300/$(am__dirstamp)
        -rm -f igen/$(DEPDIR)/$(am__dirstamp)
        -rm -f igen/$(am__dirstamp)
+       -rm -f iq2000/$(DEPDIR)/$(am__dirstamp)
        -rm -f iq2000/$(am__dirstamp)
+       -rm -f lm32/$(DEPDIR)/$(am__dirstamp)
        -rm -f lm32/$(am__dirstamp)
        -rm -f m32c/$(DEPDIR)/$(am__dirstamp)
        -rm -f m32c/$(am__dirstamp)
+       -rm -f m32r/$(DEPDIR)/$(am__dirstamp)
        -rm -f m32r/$(am__dirstamp)
        -rm -f m68hc11/$(DEPDIR)/$(am__dirstamp)
        -rm -f m68hc11/$(am__dirstamp)
+       -rm -f mcore/$(DEPDIR)/$(am__dirstamp)
        -rm -f mcore/$(am__dirstamp)
+       -rm -f microblaze/$(DEPDIR)/$(am__dirstamp)
        -rm -f microblaze/$(am__dirstamp)
+       -rm -f mips/$(DEPDIR)/$(am__dirstamp)
        -rm -f mips/$(am__dirstamp)
+       -rm -f mn10300/$(DEPDIR)/$(am__dirstamp)
        -rm -f mn10300/$(am__dirstamp)
+       -rm -f moxie/$(DEPDIR)/$(am__dirstamp)
        -rm -f moxie/$(am__dirstamp)
+       -rm -f msp430/$(DEPDIR)/$(am__dirstamp)
        -rm -f msp430/$(am__dirstamp)
+       -rm -f or1k/$(DEPDIR)/$(am__dirstamp)
        -rm -f or1k/$(am__dirstamp)
        -rm -f ppc/$(DEPDIR)/$(am__dirstamp)
        -rm -f ppc/$(am__dirstamp)
+       -rm -f pru/$(DEPDIR)/$(am__dirstamp)
        -rm -f pru/$(am__dirstamp)
+       -rm -f riscv/$(DEPDIR)/$(am__dirstamp)
        -rm -f riscv/$(am__dirstamp)
+       -rm -f rl78/$(DEPDIR)/$(am__dirstamp)
        -rm -f rl78/$(am__dirstamp)
+       -rm -f rx/$(DEPDIR)/$(am__dirstamp)
        -rm -f rx/$(am__dirstamp)
        -rm -f sh/$(DEPDIR)/$(am__dirstamp)
        -rm -f sh/$(am__dirstamp)
        -rm -f testsuite/common/$(DEPDIR)/$(am__dirstamp)
        -rm -f testsuite/common/$(am__dirstamp)
+       -rm -f v850/$(DEPDIR)/$(am__dirstamp)
        -rm -f v850/$(am__dirstamp)
        -test -z "$(DISTCLEANFILES)" || rm -f $(DISTCLEANFILES)
 
@@ -4248,28 +4881,28 @@ maintainer-clean-generic:
        @echo "This command is intended for maintainers to use"
        @echo "it deletes files that may require special tools to rebuild."
        -test -z "$(BUILT_SOURCES)" || rm -f $(BUILT_SOURCES)
-clean: clean-recursive
+clean: clean-am
 
 clean-am: clean-checkPROGRAMS clean-generic clean-libtool \
        clean-noinstLIBRARIES clean-noinstPROGRAMS mostlyclean-am
 
-distclean: distclean-recursive
+distclean: distclean-am
        -rm -f $(am__CONFIG_DISTCLEAN_FILES)
-       -rm -rf common/$(DEPDIR) cr16/$(DEPDIR) cris/$(DEPDIR) d10v/$(DEPDIR) erc32/$(DEPDIR) igen/$(DEPDIR) m32c/$(DEPDIR) m68hc11/$(DEPDIR) ppc/$(DEPDIR) sh/$(DEPDIR) testsuite/common/$(DEPDIR)
+       -rm -rf aarch64/$(DEPDIR) arm/$(DEPDIR) avr/$(DEPDIR) bfin/$(DEPDIR) bpf/$(DEPDIR) common/$(DEPDIR) cr16/$(DEPDIR) cris/$(DEPDIR) d10v/$(DEPDIR) erc32/$(DEPDIR) example-synacor/$(DEPDIR) frv/$(DEPDIR) ft32/$(DEPDIR) h8300/$(DEPDIR) igen/$(DEPDIR) iq2000/$(DEPDIR) lm32/$(DEPDIR) m32c/$(DEPDIR) m32r/$(DEPDIR) m68hc11/$(DEPDIR) mcore/$(DEPDIR) microblaze/$(DEPDIR) mips/$(DEPDIR) mn10300/$(DEPDIR) moxie/$(DEPDIR) msp430/$(DEPDIR) or1k/$(DEPDIR) ppc/$(DEPDIR) pru/$(DEPDIR) riscv/$(DEPDIR) rl78/$(DEPDIR) rx/$(DEPDIR) sh/$(DEPDIR) testsuite/common/$(DEPDIR) v850/$(DEPDIR)
        -rm -f Makefile
 distclean-am: clean-am distclean-DEJAGNU distclean-compile \
        distclean-generic distclean-hdr distclean-libtool \
        distclean-tags
 
-dvi: dvi-recursive
+dvi: dvi-am
 
 dvi-am:
 
-html: html-recursive
+html: html-am
 
 html-am:
 
-info: info-recursive
+info: info-am
 
 info-am:
 
@@ -4277,49 +4910,49 @@ install-data-am: install-armdocDATA install-data-local install-dtbDATA \
        install-erc32docDATA install-frvdocDATA install-or1kdocDATA \
        install-pkgincludeHEADERS install-ppcdocDATA install-rxdocDATA
 
-install-dvi: install-dvi-recursive
+install-dvi: install-dvi-am
 
 install-dvi-am:
 
 install-exec-am: install-exec-local
 
-install-html: install-html-recursive
+install-html: install-html-am
 
 install-html-am:
 
-install-info: install-info-recursive
+install-info: install-info-am
 
 install-info-am:
 
 install-man:
 
-install-pdf: install-pdf-recursive
+install-pdf: install-pdf-am
 
 install-pdf-am:
 
-install-ps: install-ps-recursive
+install-ps: install-ps-am
 
 install-ps-am:
 
 installcheck-am:
 
-maintainer-clean: maintainer-clean-recursive
+maintainer-clean: maintainer-clean-am
        -rm -f $(am__CONFIG_DISTCLEAN_FILES)
        -rm -rf $(top_srcdir)/autom4te.cache
-       -rm -rf common/$(DEPDIR) cr16/$(DEPDIR) cris/$(DEPDIR) d10v/$(DEPDIR) erc32/$(DEPDIR) igen/$(DEPDIR) m32c/$(DEPDIR) m68hc11/$(DEPDIR) ppc/$(DEPDIR) sh/$(DEPDIR) testsuite/common/$(DEPDIR)
+       -rm -rf aarch64/$(DEPDIR) arm/$(DEPDIR) avr/$(DEPDIR) bfin/$(DEPDIR) bpf/$(DEPDIR) common/$(DEPDIR) cr16/$(DEPDIR) cris/$(DEPDIR) d10v/$(DEPDIR) erc32/$(DEPDIR) example-synacor/$(DEPDIR) frv/$(DEPDIR) ft32/$(DEPDIR) h8300/$(DEPDIR) igen/$(DEPDIR) iq2000/$(DEPDIR) lm32/$(DEPDIR) m32c/$(DEPDIR) m32r/$(DEPDIR) m68hc11/$(DEPDIR) mcore/$(DEPDIR) microblaze/$(DEPDIR) mips/$(DEPDIR) mn10300/$(DEPDIR) moxie/$(DEPDIR) msp430/$(DEPDIR) or1k/$(DEPDIR) ppc/$(DEPDIR) pru/$(DEPDIR) riscv/$(DEPDIR) rl78/$(DEPDIR) rx/$(DEPDIR) sh/$(DEPDIR) testsuite/common/$(DEPDIR) v850/$(DEPDIR)
        -rm -f Makefile
 maintainer-clean-am: distclean-am maintainer-clean-generic
 
-mostlyclean: mostlyclean-recursive
+mostlyclean: mostlyclean-am
 
 mostlyclean-am: mostlyclean-compile mostlyclean-generic \
        mostlyclean-libtool
 
-pdf: pdf-recursive
+pdf: pdf-am
 
 pdf-am:
 
-ps: ps-recursive
+ps: ps-am
 
 ps-am:
 
@@ -4328,25 +4961,24 @@ uninstall-am: uninstall-armdocDATA uninstall-dtbDATA \
        uninstall-or1kdocDATA uninstall-pkgincludeHEADERS \
        uninstall-ppcdocDATA uninstall-rxdocDATA
 
-.MAKE: $(am__recursive_targets) all check check-am install install-am \
-       install-strip
-
-.PHONY: $(am__recursive_targets) CTAGS GTAGS TAGS all all-am \
-       am--refresh check check-DEJAGNU check-TESTS check-am clean \
-       clean-checkPROGRAMS clean-cscope clean-generic clean-libtool \
-       clean-noinstLIBRARIES clean-noinstPROGRAMS cscope \
-       cscopelist-am ctags ctags-am distclean distclean-DEJAGNU \
-       distclean-compile distclean-generic distclean-hdr \
-       distclean-libtool distclean-tags dvi dvi-am html html-am info \
-       info-am install install-am install-armdocDATA install-data \
-       install-data-am install-data-local install-dtbDATA install-dvi \
-       install-dvi-am install-erc32docDATA install-exec \
-       install-exec-am install-exec-local install-frvdocDATA \
-       install-html install-html-am install-info install-info-am \
-       install-man install-or1kdocDATA install-pdf install-pdf-am \
+.MAKE: all check check-am install install-am install-strip
+
+.PHONY: CTAGS GTAGS TAGS all all-am am--refresh check check-DEJAGNU \
+       check-TESTS check-am clean clean-checkPROGRAMS clean-cscope \
+       clean-generic clean-libtool clean-noinstLIBRARIES \
+       clean-noinstPROGRAMS cscope cscopelist-am ctags ctags-am \
+       distclean distclean-DEJAGNU distclean-compile \
+       distclean-generic distclean-hdr distclean-libtool \
+       distclean-tags dvi dvi-am html html-am info info-am install \
+       install-am install-armdocDATA install-data install-data-am \
+       install-data-local install-dtbDATA install-dvi install-dvi-am \
+       install-erc32docDATA install-exec install-exec-am \
+       install-exec-local install-frvdocDATA install-html \
+       install-html-am install-info install-info-am install-man \
+       install-or1kdocDATA install-pdf install-pdf-am \
        install-pkgincludeHEADERS install-ppcdocDATA install-ps \
        install-ps-am install-rxdocDATA install-strip installcheck \
-       installcheck-am installdirs installdirs-am maintainer-clean \
+       installcheck-am installdirs maintainer-clean \
        maintainer-clean-generic mostlyclean mostlyclean-compile \
        mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
        recheck tags tags-am uninstall uninstall-am \
@@ -4372,6 +5004,12 @@ common/version.c-stamp: $(srcroot)/gdb/version.in $(srcroot)/bfd/version.h $(src
        $(AM_V_at)$(SHELL) $(srcroot)/move-if-change $@.tmp $(@:-stamp=)
        $(AM_V_at)touch $@
 
+.PRECIOUS: %/test-hw-events.o
+%/test-hw-events.o: common/hw-events.c
+       $(AM_V_CC)$(COMPILE) -DMAIN -c -o $@ $<
+%/test-hw-events: %/test-hw-events.o %/libsim.a
+       $(AM_V_CCLD)$(LINK) -o $@ $^ $(SIM_COMMON_LIBS) $(LIBS)
+
 # FIXME This is one very simple-minded way of generating the file hw-config.h.
 %/hw-config.h: %/stamp-hw ; @true
 %/stamp-hw: Makefile
@@ -4388,28 +5026,47 @@ common/version.c-stamp: $(srcroot)/gdb/version.in $(srcroot)/bfd/version.h $(src
        $(SHELL) $(srcroot)/move-if-change $@.tmp $(@D)/hw-config.h; \
        touch $@
 .PRECIOUS: %/stamp-hw
-%/modules.c:
-       $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) GEN_MODULES_C_SRCS="$(GEN_MODULES_C_SRCS)" -C $(@D) $(@F)
+%/modules.c: %/stamp-modules ; @true
+%/stamp-modules: Makefile
+       $(AM_V_GEN)set -e; \
+       LANG=C ; export LANG; \
+       LC_ALL=C ; export LC_ALL; \
+       sed -n -e '/^sim_install_/{s/^\(sim_install_[a-z_0-9A-Z]*\).*/\1/;p}' $(GEN_MODULES_C_SRCS) | sort >$@.l-tmp; \
+       ( \
+       echo '/* Do not modify this file.  */'; \
+       echo '/* It is created automatically by the Makefile.  */'; \
+       echo '#include "libiberty.h"'; \
+       echo '#include "sim-module.h"'; \
+       sed -e 's:\(.*\):extern MODULE_INIT_FN \1;:' $@.l-tmp; \
+       echo 'MODULE_INSTALL_FN * const sim_modules_detected[] = {'; \
+       sed -e 's:\(.*\):  \1,:' $@.l-tmp; \
+       echo '};'; \
+       echo 'const int sim_modules_detected_len = ARRAY_SIZE (sim_modules_detected);'; \
+       ) >$@.tmp; \
+       $(SHELL) $(srcroot)/move-if-change $@.tmp $(@D)/modules.c; \
+       rm -f $@.l-tmp; \
+       touch $@
+.PRECIOUS: %/stamp-modules
 
 # Alias for developers.
-@SIM_ENABLE_IGEN_TRUE@igen: $(IGEN)
+igen: $(IGEN)
 
 # These rules are copied from automake, but tweaked to use FOR_BUILD variables.
-@SIM_ENABLE_IGEN_TRUE@igen/libigen.a: $(igen_libigen_a_OBJECTS) $(igen_libigen_a_DEPENDENCIES) $(EXTRA_igen_libigen_a_DEPENDENCIES) igen/$(am__dirstamp)
-@SIM_ENABLE_IGEN_TRUE@ $(AM_V_at)-rm -f $@
-@SIM_ENABLE_IGEN_TRUE@ $(AM_V_AR)$(AR_FOR_BUILD) $(ARFLAGS) $@ $(igen_libigen_a_OBJECTS) $(igen_libigen_a_LIBADD)
-@SIM_ENABLE_IGEN_TRUE@ $(AM_V_at)$(RANLIB_FOR_BUILD) $@
+igen/libigen.a: $(igen_libigen_a_OBJECTS) $(igen_libigen_a_DEPENDENCIES) $(EXTRA_igen_libigen_a_DEPENDENCIES) igen/$(am__dirstamp)
+       $(AM_V_at)-rm -f $@
+       $(AM_V_AR)$(AR_FOR_BUILD) $(ARFLAGS) $@ $(igen_libigen_a_OBJECTS) $(igen_libigen_a_LIBADD)
+       $(AM_V_at)$(RANLIB_FOR_BUILD) $@
 
-@SIM_ENABLE_IGEN_TRUE@igen/igen$(EXEEXT): $(igen_igen_OBJECTS) $(igen_igen_DEPENDENCIES) igen/$(am__dirstamp)
-@SIM_ENABLE_IGEN_TRUE@ $(AM_V_CCLD)$(LINK_FOR_BUILD) $(igen_igen_OBJECTS) $(igen_igen_LDADD)
+igen/igen$(EXEEXT): $(igen_igen_OBJECTS) $(igen_igen_DEPENDENCIES) igen/$(am__dirstamp)
+       $(AM_V_CCLD)$(LINK_FOR_BUILD) $(igen_igen_OBJECTS) $(igen_igen_LDADD)
 
 # igen is a build-time only tool.  Override the default rules for it.
-@SIM_ENABLE_IGEN_TRUE@igen/%.o: igen/%.c
-@SIM_ENABLE_IGEN_TRUE@ $(AM_V_CC)$(COMPILE_FOR_BUILD) -c $< -o $@
+igen/%.o: igen/%.c
+       $(AM_V_CC)$(COMPILE_FOR_BUILD) -c $< -o $@
 
 # Build some of the files in standalone mode for developers of igen itself.
-@SIM_ENABLE_IGEN_TRUE@igen/%-main.o: igen/%.c
-@SIM_ENABLE_IGEN_TRUE@ $(AM_V_CC)$(COMPILE_FOR_BUILD) -DMAIN -c $< -o $@
+igen/%-main.o: igen/%.c
+       $(AM_V_CC)$(COMPILE_FOR_BUILD) -DMAIN -c $< -o $@
 
 site-sim-config.exp: Makefile
        $(AM_V_GEN)( \
@@ -4506,32 +5163,28 @@ testsuite/common/bits64m63.c: testsuite/common/bits-gen$(EXEEXT) testsuite/commo
        $(AM_V_at)mv $@.tmp $@
 @SIM_ENABLE_ARCH_aarch64_TRUE@$(aarch64_libsim_a_OBJECTS) $(aarch64_libsim_a_LIBADD): aarch64/hw-config.h
 
-@SIM_ENABLE_ARCH_aarch64_TRUE@aarch64/%.o: aarch64/%.c
-@SIM_ENABLE_ARCH_aarch64_TRUE@ $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_aarch64_TRUE@aarch64/modules.o: aarch64/modules.c
 
-@SIM_ENABLE_ARCH_aarch64_TRUE@aarch64/%.o: common/%.c
-@SIM_ENABLE_ARCH_aarch64_TRUE@ $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_aarch64_TRUE@aarch64/%.o: common/%.c ; $(SIM_COMPILE)
+@SIM_ENABLE_ARCH_aarch64_TRUE@-@am__include@ aarch64/$(DEPDIR)/*.Po
 @SIM_ENABLE_ARCH_arm_TRUE@$(arm_libsim_a_OBJECTS) $(arm_libsim_a_LIBADD): arm/hw-config.h
 
-@SIM_ENABLE_ARCH_arm_TRUE@arm/%.o: arm/%.c
-@SIM_ENABLE_ARCH_arm_TRUE@     $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_arm_TRUE@arm/modules.o: arm/modules.c
 
-@SIM_ENABLE_ARCH_arm_TRUE@arm/%.o: common/%.c
-@SIM_ENABLE_ARCH_arm_TRUE@     $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_arm_TRUE@arm/%.o: common/%.c ; $(SIM_COMPILE)
+@SIM_ENABLE_ARCH_arm_TRUE@-@am__include@ arm/$(DEPDIR)/*.Po
 @SIM_ENABLE_ARCH_avr_TRUE@$(avr_libsim_a_OBJECTS) $(avr_libsim_a_LIBADD): avr/hw-config.h
 
-@SIM_ENABLE_ARCH_avr_TRUE@avr/%.o: avr/%.c
-@SIM_ENABLE_ARCH_avr_TRUE@     $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_avr_TRUE@avr/modules.o: avr/modules.c
 
-@SIM_ENABLE_ARCH_avr_TRUE@avr/%.o: common/%.c
-@SIM_ENABLE_ARCH_avr_TRUE@     $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_avr_TRUE@avr/%.o: common/%.c ; $(SIM_COMPILE)
+@SIM_ENABLE_ARCH_avr_TRUE@-@am__include@ avr/$(DEPDIR)/*.Po
 @SIM_ENABLE_ARCH_bfin_TRUE@$(bfin_libsim_a_OBJECTS) $(bfin_libsim_a_LIBADD): bfin/hw-config.h
 
-@SIM_ENABLE_ARCH_bfin_TRUE@bfin/%.o: bfin/%.c
-@SIM_ENABLE_ARCH_bfin_TRUE@    $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_bfin_TRUE@bfin/modules.o: bfin/modules.c
 
-@SIM_ENABLE_ARCH_bfin_TRUE@bfin/%.o: common/%.c
-@SIM_ENABLE_ARCH_bfin_TRUE@    $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_bfin_TRUE@bfin/%.o: common/%.c ; $(SIM_COMPILE)
+@SIM_ENABLE_ARCH_bfin_TRUE@-@am__include@ bfin/$(DEPDIR)/*.Po
 
 @SIM_ENABLE_ARCH_bfin_TRUE@bfin/linux-fixed-code.h: @MAINT@ $(srcdir)/bfin/linux-fixed-code.s bfin/local.mk bfin/$(am__dirstamp)
 @SIM_ENABLE_ARCH_bfin_TRUE@    $(AM_V_GEN)$(AS_FOR_TARGET_BFIN) $(srcdir)/bfin/linux-fixed-code.s -o bfin/linux-fixed-code.o
@@ -4552,66 +5205,17 @@ testsuite/common/bits64m63.c: testsuite/common/bits-gen$(EXEEXT) testsuite/commo
 @SIM_ENABLE_ARCH_bfin_TRUE@    $(AM_V_at)touch $(srcdir)/bfin/linux-fixed-code.h
 @SIM_ENABLE_ARCH_bpf_TRUE@$(bpf_libsim_a_OBJECTS) $(bpf_libsim_a_LIBADD): bpf/hw-config.h
 
-@SIM_ENABLE_ARCH_bpf_TRUE@bpf/%.o: bpf/%.c
-@SIM_ENABLE_ARCH_bpf_TRUE@     $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
-
-@SIM_ENABLE_ARCH_bpf_TRUE@bpf/%.o: common/%.c
-@SIM_ENABLE_ARCH_bpf_TRUE@     $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
-@SIM_ENABLE_ARCH_bpf_TRUE@bpf/modules.c: | $(bpf_BUILD_OUTPUTS)
-
-@SIM_ENABLE_ARCH_bpf_TRUE@bpf/mloop-le.c bpf/eng-le.h: bpf/stamp-mloop-le ; @true
-@SIM_ENABLE_ARCH_bpf_TRUE@bpf/stamp-mloop-le: $(srccom)/genmloop.sh bpf/mloop.in
-@SIM_ENABLE_ARCH_bpf_TRUE@     $(AM_V_GEN)$(SHELL) $(srccom)/genmloop.sh -shell $(SHELL) \
-@SIM_ENABLE_ARCH_bpf_TRUE@             -mono -scache -prefix bpfbf_ebpfle -cpu bpfbf \
-@SIM_ENABLE_ARCH_bpf_TRUE@             -infile $(srcdir)/bpf/mloop.in \
-@SIM_ENABLE_ARCH_bpf_TRUE@             -outfile-prefix bpf/ -outfile-suffix -le
-@SIM_ENABLE_ARCH_bpf_TRUE@     $(AM_V_at)$(SHELL) $(srcroot)/move-if-change bpf/eng-le.hin bpf/eng-le.h
-@SIM_ENABLE_ARCH_bpf_TRUE@     $(AM_V_at)$(SHELL) $(srcroot)/move-if-change bpf/mloop-le.cin bpf/mloop-le.c
-@SIM_ENABLE_ARCH_bpf_TRUE@     $(AM_V_at)touch $@
-
-@SIM_ENABLE_ARCH_bpf_TRUE@bpf/mloop-be.c bpf/eng-be.h: bpf/stamp-mloop-be ; @true
-@SIM_ENABLE_ARCH_bpf_TRUE@bpf/stamp-mloop-be: $(srccom)/genmloop.sh bpf/mloop.in
-@SIM_ENABLE_ARCH_bpf_TRUE@     $(AM_V_GEN)$(SHELL) $(srccom)/genmloop.sh -shell $(SHELL) \
-@SIM_ENABLE_ARCH_bpf_TRUE@             -mono -scache -prefix bpfbf_ebpfbe -cpu bpfbf \
-@SIM_ENABLE_ARCH_bpf_TRUE@             -infile $(srcdir)/bpf/mloop.in \
-@SIM_ENABLE_ARCH_bpf_TRUE@             -outfile-prefix bpf/ -outfile-suffix -be
-@SIM_ENABLE_ARCH_bpf_TRUE@     $(AM_V_at)$(SHELL) $(srcroot)/move-if-change bpf/eng-be.hin bpf/eng-be.h
-@SIM_ENABLE_ARCH_bpf_TRUE@     $(AM_V_at)$(SHELL) $(srcroot)/move-if-change bpf/mloop-be.cin bpf/mloop-be.c
-@SIM_ENABLE_ARCH_bpf_TRUE@     $(AM_V_at)touch $@
-
-@SIM_ENABLE_ARCH_bpf_TRUE@bpf/cgen: bpf/cgen-arch bpf/cgen-cpu bpf/cgen-defs-le bpf/cgen-defs-be bpf/cgen-decode-le bpf/cgen-decode-be
-
-@SIM_ENABLE_ARCH_bpf_TRUE@bpf/cgen-arch:
-@SIM_ENABLE_ARCH_bpf_TRUE@     $(AM_V_GEN)mach=bpf cpu=bpfbf FLAGS="with-scache"; $(CGEN_GEN_ARCH)
-@SIM_ENABLE_ARCH_bpf_TRUE@bpf/arch.h bpf/arch.c bpf/cpuall.h: @CGEN_MAINT@ bpf/cgen-arch
-
-@SIM_ENABLE_ARCH_bpf_TRUE@bpf/cgen-cpu:
-@SIM_ENABLE_ARCH_bpf_TRUE@     $(AM_V_GEN)isa=ebpfle,ebpfbe cpu=bpfbf mach=bpf FLAGS="with-multiple-isa with-scache"; $(CGEN_GEN_CPU)
-@SIM_ENABLE_ARCH_bpf_TRUE@     $(AM_V_at)rm -f $(srcdir)/bpf/model.c
-@SIM_ENABLE_ARCH_bpf_TRUE@bpf/cpu.h bpf/cpu.c bpf/model.c: @CGEN_MAINT@ bpf/cgen-cpu
-
-@SIM_ENABLE_ARCH_bpf_TRUE@bpf/cgen-defs-le:
-@SIM_ENABLE_ARCH_bpf_TRUE@     $(AM_V_GEN)isa=ebpfle cpu=bpfbf mach=bpf FLAGS="with-scache" SUFFIX="-le"; $(CGEN_GEN_DEFS)
-@SIM_ENABLE_ARCH_bpf_TRUE@bpf/defs-le.h: @CGEN_MAINT@ bpf/cgen-defs-le
-
-@SIM_ENABLE_ARCH_bpf_TRUE@bpf/cgen-defs-be:
-@SIM_ENABLE_ARCH_bpf_TRUE@     $(AM_V_GEN)isa=ebpfbe cpu=bpfbf mach=bpf FLAGS="with-scache" SUFFIX="-be"; $(CGEN_GEN_DEFS)
-@SIM_ENABLE_ARCH_bpf_TRUE@bpf/defs-be.h: @CGEN_MAINT@ bpf/cgen-defs-be
-
-@SIM_ENABLE_ARCH_bpf_TRUE@bpf/cgen-decode-le:
-@SIM_ENABLE_ARCH_bpf_TRUE@     $(AM_V_GEN)isa=ebpfle cpu=bpfbf mach=bpf FLAGS="with-scache" SUFFIX="-le" EXTRAFILES="$(CGEN_CPU_SEM)"; $(CGEN_GEN_DECODE)
-@SIM_ENABLE_ARCH_bpf_TRUE@bpf/sem-le.c bpf/decode-le.c bpf/decode-le.h: @CGEN_MAINT@ bpf/cgen-decode-vle
-
-@SIM_ENABLE_ARCH_bpf_TRUE@bpf/cgen-decode-be:
-@SIM_ENABLE_ARCH_bpf_TRUE@     $(AM_V_GEN)isa=ebpfbe cpu=bpfbf mach=bpf FLAGS="with-scache" SUFFIX="-be" EXTRAFILES="$(CGEN_CPU_SEM)"; $(CGEN_GEN_DECODE)
-@SIM_ENABLE_ARCH_bpf_TRUE@bpf/sem-be.c bpf/decode-be.c bpf/decode-be.h: @CGEN_MAINT@ bpf/cgen-decode-be
+@SIM_ENABLE_ARCH_bpf_TRUE@bpf/modules.o: bpf/modules.c
+
+@SIM_ENABLE_ARCH_bpf_TRUE@bpf/%.o: common/%.c ; $(SIM_COMPILE)
+@SIM_ENABLE_ARCH_bpf_TRUE@-@am__include@ bpf/$(DEPDIR)/*.Po
 @SIM_ENABLE_ARCH_cr16_TRUE@$(cr16_libsim_a_OBJECTS) $(cr16_libsim_a_LIBADD): cr16/hw-config.h
 
-@SIM_ENABLE_ARCH_cr16_TRUE@cr16/%.o: cr16/%.c
-@SIM_ENABLE_ARCH_cr16_TRUE@    $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_cr16_TRUE@cr16/modules.o: cr16/modules.c
+
+@SIM_ENABLE_ARCH_cr16_TRUE@cr16/%.o: common/%.c ; $(SIM_COMPILE)
+@SIM_ENABLE_ARCH_cr16_TRUE@-@am__include@ cr16/$(DEPDIR)/*.Po
 
-@SIM_ENABLE_ARCH_cr16_TRUE@cr16/%.o: common/%.c
-@SIM_ENABLE_ARCH_cr16_TRUE@    $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
 @SIM_ENABLE_ARCH_cr16_TRUE@cr16/modules.c: | $(cr16_BUILD_OUTPUTS)
 
 # These rules are copied from automake, but tweaked to use FOR_BUILD variables.
@@ -4631,29 +5235,27 @@ testsuite/common/bits64m63.c: testsuite/common/bits-gen$(EXEEXT) testsuite/commo
 @SIM_ENABLE_ARCH_cr16_TRUE@    $(AM_V_GEN)$< >$@
 @SIM_ENABLE_ARCH_cris_TRUE@$(cris_libsim_a_OBJECTS) $(cris_libsim_a_LIBADD): cris/hw-config.h
 
-@SIM_ENABLE_ARCH_cris_TRUE@cris/%.o: cris/%.c
-@SIM_ENABLE_ARCH_cris_TRUE@    $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_cris_TRUE@cris/modules.o: cris/modules.c
+
+@SIM_ENABLE_ARCH_cris_TRUE@cris/%.o: common/%.c ; $(SIM_COMPILE)
+@SIM_ENABLE_ARCH_cris_TRUE@-@am__include@ cris/$(DEPDIR)/*.Po
 
-@SIM_ENABLE_ARCH_cris_TRUE@cris/%.o: common/%.c
-@SIM_ENABLE_ARCH_cris_TRUE@    $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
 @SIM_ENABLE_ARCH_cris_TRUE@cris/modules.c: | $(cris_BUILD_OUTPUTS)
 
 @SIM_ENABLE_ARCH_cris_TRUE@cris/mloopv10f.c cris/engv10.h: cris/stamp-mloop-v10f ; @true
-@SIM_ENABLE_ARCH_cris_TRUE@cris/stamp-mloop-v10f: $(srccom)/genmloop.sh cris/mloop.in
-@SIM_ENABLE_ARCH_cris_TRUE@    $(AM_V_GEN)$(SHELL) $(srccom)/genmloop.sh -shell $(SHELL) \
+@SIM_ENABLE_ARCH_cris_TRUE@cris/stamp-mloop-v10f: cris/mloop.in $(srccom)/genmloop.sh
+@SIM_ENABLE_ARCH_cris_TRUE@    $(AM_V_GEN)$(CGEN_GEN_MLOOP) \
 @SIM_ENABLE_ARCH_cris_TRUE@            -mono -no-fast -pbb -switch semcrisv10f-switch.c \
-@SIM_ENABLE_ARCH_cris_TRUE@            -cpu crisv10f \
-@SIM_ENABLE_ARCH_cris_TRUE@            -infile $(srcdir)/cris/mloop.in -outfile-prefix cris/ -outfile-suffix -v10f
+@SIM_ENABLE_ARCH_cris_TRUE@            -cpu crisv10f -outfile-suffix -v10f
 @SIM_ENABLE_ARCH_cris_TRUE@    $(AM_V_at)$(SHELL) $(srcroot)/move-if-change cris/eng-v10f.hin cris/engv10.h
 @SIM_ENABLE_ARCH_cris_TRUE@    $(AM_V_at)$(SHELL) $(srcroot)/move-if-change cris/mloop-v10f.cin cris/mloopv10f.c
 @SIM_ENABLE_ARCH_cris_TRUE@    $(AM_V_at)touch $@
 
 @SIM_ENABLE_ARCH_cris_TRUE@cris/mloopv32f.c cris/engv32.h: cris/stamp-mloop-v32f ; @true
-@SIM_ENABLE_ARCH_cris_TRUE@cris/stamp-mloop-v32f: $(srccom)/genmloop.sh cris/mloop.in
-@SIM_ENABLE_ARCH_cris_TRUE@    $(AM_V_GEN)$(SHELL) $(srccom)/genmloop.sh -shell $(SHELL) \
+@SIM_ENABLE_ARCH_cris_TRUE@cris/stamp-mloop-v32f: cris/mloop.in $(srccom)/genmloop.sh
+@SIM_ENABLE_ARCH_cris_TRUE@    $(AM_V_GEN)$(CGEN_GEN_MLOOP) \
 @SIM_ENABLE_ARCH_cris_TRUE@            -mono -no-fast -pbb -switch semcrisv32f-switch.c \
-@SIM_ENABLE_ARCH_cris_TRUE@            -cpu crisv32f \
-@SIM_ENABLE_ARCH_cris_TRUE@            -infile $(srcdir)/cris/mloop.in -outfile-prefix cris/ -outfile-suffix -v32f
+@SIM_ENABLE_ARCH_cris_TRUE@            -cpu crisv32f -outfile-suffix -v32f
 @SIM_ENABLE_ARCH_cris_TRUE@    $(AM_V_at)$(SHELL) $(srcroot)/move-if-change cris/eng-v32f.hin cris/engv32.h
 @SIM_ENABLE_ARCH_cris_TRUE@    $(AM_V_at)$(SHELL) $(srcroot)/move-if-change cris/mloop-v32f.cin cris/mloopv32f.c
 @SIM_ENABLE_ARCH_cris_TRUE@    $(AM_V_at)touch $@
@@ -4662,24 +5264,24 @@ testsuite/common/bits64m63.c: testsuite/common/bits-gen$(EXEEXT) testsuite/commo
 
 @SIM_ENABLE_ARCH_cris_TRUE@cris/cgen-arch:
 @SIM_ENABLE_ARCH_cris_TRUE@    $(AM_V_GEN)mach=crisv10,crisv32 FLAGS="with-scache with-profile=fn"; $(CGEN_GEN_ARCH)
-@SIM_ENABLE_ARCH_cris_TRUE@cris/arch.h cris/arch.c cris/cpuall.h: @CGEN_MAINT@ cris/cgen-arch
+@SIM_ENABLE_ARCH_cris_TRUE@$(srcdir)/cris/arch.h $(srcdir)/cris/arch.c $(srcdir)/cris/cpuall.h: @CGEN_MAINT@ cris/cgen-arch
 
 @SIM_ENABLE_ARCH_cris_TRUE@cris/cgen-cpu-decode-v10f:
 @SIM_ENABLE_ARCH_cris_TRUE@    $(AM_V_GEN)cpu=crisv10f mach=crisv10 SUFFIX=v10 FLAGS="with-scache with-profile=fn" EXTRAFILES="$(CGEN_CPU_SEMSW)"; $(CGEN_GEN_CPU_DECODE)
 @SIM_ENABLE_ARCH_cris_TRUE@    $(AM_V_at)$(SHELL) $(srcroot)/move-if-change $(srcdir)/cris/semv10-switch.c $(srcdir)/cris/semcrisv10f-switch.c
-@SIM_ENABLE_ARCH_cris_TRUE@cris/cpuv10.h cris/cpuv10.c cris/semcrisv10f-switch.c cris/modelv10.c cris/decodev10.c cris/decodev10.h: @CGEN_MAINT@ cris/cgen-cpu-decode-v10f
+@SIM_ENABLE_ARCH_cris_TRUE@$(srcdir)/cris/cpuv10.h $(srcdir)/cris/cpuv10.c $(srcdir)/cris/semcrisv10f-switch.c $(srcdir)/cris/modelv10.c $(srcdir)/cris/decodev10.c $(srcdir)/cris/decodev10.h: @CGEN_MAINT@ cris/cgen-cpu-decode-v10f
 
 @SIM_ENABLE_ARCH_cris_TRUE@cris/cgen-cpu-decode-v32f:
 @SIM_ENABLE_ARCH_cris_TRUE@    $(AM_V_GEN)cpu=crisv32f mach=crisv32 SUFFIX=v32 FLAGS="with-scache with-profile=fn" EXTRAFILES="$(CGEN_CPU_SEMSW)"; $(CGEN_GEN_CPU_DECODE)
 @SIM_ENABLE_ARCH_cris_TRUE@    $(AM_V_at)$(SHELL) $(srcroot)/move-if-change $(srcdir)/cris/semv32-switch.c $(srcdir)/cris/semcrisv32f-switch.c
-@SIM_ENABLE_ARCH_cris_TRUE@cris/cpuv32.h cris/cpuv32.c cris/semcrisv32f-switch.c cris/modelv32.c cris/decodev32.c cris/decodev32.h: @CGEN_MAINT@ cris/cgen-cpu-decode-v32f
+@SIM_ENABLE_ARCH_cris_TRUE@$(srcdir)/cris/cpuv32.h $(srcdir)/cris/cpuv32.c $(srcdir)/cris/semcrisv32f-switch.c $(srcdir)/cris/modelv32.c $(srcdir)/cris/decodev32.c $(srcdir)/cris/decodev32.h: @CGEN_MAINT@ cris/cgen-cpu-decode-v32f
 @SIM_ENABLE_ARCH_d10v_TRUE@$(d10v_libsim_a_OBJECTS) $(d10v_libsim_a_LIBADD): d10v/hw-config.h
 
-@SIM_ENABLE_ARCH_d10v_TRUE@d10v/%.o: d10v/%.c
-@SIM_ENABLE_ARCH_d10v_TRUE@    $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_d10v_TRUE@d10v/modules.o: d10v/modules.c
+
+@SIM_ENABLE_ARCH_d10v_TRUE@d10v/%.o: common/%.c ; $(SIM_COMPILE)
+@SIM_ENABLE_ARCH_d10v_TRUE@-@am__include@ d10v/$(DEPDIR)/*.Po
 
-@SIM_ENABLE_ARCH_d10v_TRUE@d10v/%.o: common/%.c
-@SIM_ENABLE_ARCH_d10v_TRUE@    $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
 @SIM_ENABLE_ARCH_d10v_TRUE@d10v/modules.c: | $(d10v_BUILD_OUTPUTS)
 
 # These rules are copied from automake, but tweaked to use FOR_BUILD variables.
@@ -4699,11 +5301,10 @@ testsuite/common/bits64m63.c: testsuite/common/bits-gen$(EXEEXT) testsuite/commo
 @SIM_ENABLE_ARCH_d10v_TRUE@    $(AM_V_GEN)$< >$@
 @SIM_ENABLE_ARCH_erc32_TRUE@$(erc32_libsim_a_OBJECTS) $(erc32_libsim_a_LIBADD): erc32/hw-config.h
 
-@SIM_ENABLE_ARCH_erc32_TRUE@erc32/%.o: erc32/%.c
-@SIM_ENABLE_ARCH_erc32_TRUE@   $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_erc32_TRUE@erc32/modules.o: erc32/modules.c
 
-@SIM_ENABLE_ARCH_erc32_TRUE@erc32/%.o: common/%.c
-@SIM_ENABLE_ARCH_erc32_TRUE@   $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_erc32_TRUE@erc32/%.o: common/%.c ; $(SIM_COMPILE)
+@SIM_ENABLE_ARCH_erc32_TRUE@-@am__include@ erc32/$(DEPDIR)/*.Po
 
 @SIM_ENABLE_ARCH_erc32_TRUE@erc32/sis$(EXEEXT): erc32/run$(EXEEXT)
 @SIM_ENABLE_ARCH_erc32_TRUE@   $(AM_V_GEN)ln $< $@ 2>/dev/null || $(LN_S) $< $@ 2>/dev/null || cp -p $< $@
@@ -4715,26 +5316,24 @@ testsuite/common/bits64m63.c: testsuite/common/bits-gen$(EXEEXT) testsuite/commo
 @SIM_ENABLE_ARCH_erc32_TRUE@   rm -f $(DESTDIR)$(bindir)/sis
 @SIM_ENABLE_ARCH_examples_TRUE@$(example_synacor_libsim_a_OBJECTS) $(example_synacor_libsim_a_LIBADD): example-synacor/hw-config.h
 
-@SIM_ENABLE_ARCH_examples_TRUE@example-synacor/%.o: example-synacor/%.c
-@SIM_ENABLE_ARCH_examples_TRUE@        $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_examples_TRUE@example-synacor/modules.o: example-synacor/modules.c
 
-@SIM_ENABLE_ARCH_examples_TRUE@example-synacor/%.o: common/%.c
-@SIM_ENABLE_ARCH_examples_TRUE@        $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_examples_TRUE@example-synacor/%.o: common/%.c ; $(SIM_COMPILE)
+@SIM_ENABLE_ARCH_examples_TRUE@-@am__include@ example-synacor/$(DEPDIR)/*.Po
 @SIM_ENABLE_ARCH_frv_TRUE@$(frv_libsim_a_OBJECTS) $(frv_libsim_a_LIBADD): frv/hw-config.h
 
-@SIM_ENABLE_ARCH_frv_TRUE@frv/%.o: frv/%.c
-@SIM_ENABLE_ARCH_frv_TRUE@     $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_frv_TRUE@frv/modules.o: frv/modules.c
+
+@SIM_ENABLE_ARCH_frv_TRUE@frv/%.o: common/%.c ; $(SIM_COMPILE)
+@SIM_ENABLE_ARCH_frv_TRUE@-@am__include@ frv/$(DEPDIR)/*.Po
 
-@SIM_ENABLE_ARCH_frv_TRUE@frv/%.o: common/%.c
-@SIM_ENABLE_ARCH_frv_TRUE@     $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
 @SIM_ENABLE_ARCH_frv_TRUE@frv/modules.c: | $(frv_BUILD_OUTPUTS)
 
 @SIM_ENABLE_ARCH_frv_TRUE@frv/mloop.c frv/eng.h: frv/stamp-mloop ; @true
-@SIM_ENABLE_ARCH_frv_TRUE@frv/stamp-mloop: $(srccom)/genmloop.sh frv/mloop.in
-@SIM_ENABLE_ARCH_frv_TRUE@     $(AM_V_GEN)$(SHELL) $(srccom)/genmloop.sh -shell $(SHELL) \
+@SIM_ENABLE_ARCH_frv_TRUE@frv/stamp-mloop: frv/mloop.in $(srccom)/genmloop.sh
+@SIM_ENABLE_ARCH_frv_TRUE@     $(AM_V_GEN)$(CGEN_GEN_MLOOP) \
 @SIM_ENABLE_ARCH_frv_TRUE@             -mono -scache -parallel-generic-write -parallel-only \
-@SIM_ENABLE_ARCH_frv_TRUE@             -cpu frvbf \
-@SIM_ENABLE_ARCH_frv_TRUE@             -infile $(srcdir)/frv/mloop.in -outfile-prefix frv/
+@SIM_ENABLE_ARCH_frv_TRUE@             -cpu frvbf
 @SIM_ENABLE_ARCH_frv_TRUE@     $(AM_V_at)$(SHELL) $(srcroot)/move-if-change frv/eng.hin frv/eng.h
 @SIM_ENABLE_ARCH_frv_TRUE@     $(AM_V_at)$(SHELL) $(srcroot)/move-if-change frv/mloop.cin frv/mloop.c
 @SIM_ENABLE_ARCH_frv_TRUE@     $(AM_V_at)touch $@
@@ -4743,40 +5342,37 @@ testsuite/common/bits64m63.c: testsuite/common/bits-gen$(EXEEXT) testsuite/commo
 
 @SIM_ENABLE_ARCH_frv_TRUE@frv/cgen-arch:
 @SIM_ENABLE_ARCH_frv_TRUE@     $(AM_V_GEN)mach=all FLAGS="with-scache"; $(CGEN_GEN_ARCH)
-@SIM_ENABLE_ARCH_frv_TRUE@frv/arch.h frv/arch.c frv/cpuall.h: @CGEN_MAINT@ frv/cgen-arch
+@SIM_ENABLE_ARCH_frv_TRUE@$(srcdir)/frv/arch.h $(srcdir)/frv/arch.c $(srcdir)/frv/cpuall.h: @CGEN_MAINT@ frv/cgen-arch
 
 @SIM_ENABLE_ARCH_frv_TRUE@frv/cgen-cpu-decode:
 @SIM_ENABLE_ARCH_frv_TRUE@     $(AM_V_GEN)cpu=frvbf mach=frv,fr550,fr500,fr450,fr400,tomcat,simple FLAGS="with-scache with-profile=fn with-generic-write with-parallel-only" EXTRAFILES="$(CGEN_CPU_SEM)"; $(CGEN_GEN_CPU_DECODE)
-@SIM_ENABLE_ARCH_frv_TRUE@frv/cpu.h frv/sem.c frv/model.c frv/decode.c frv/decode.h: @CGEN_MAINT@ frv/cgen-cpu-decode
+@SIM_ENABLE_ARCH_frv_TRUE@$(srcdir)/frv/cpu.h $(srcdir)/frv/sem.c $(srcdir)/frv/model.c $(srcdir)/frv/decode.c $(srcdir)/frv/decode.h: @CGEN_MAINT@ frv/cgen-cpu-decode
 @SIM_ENABLE_ARCH_ft32_TRUE@$(ft32_libsim_a_OBJECTS) $(ft32_libsim_a_LIBADD): ft32/hw-config.h
 
-@SIM_ENABLE_ARCH_ft32_TRUE@ft32/%.o: ft32/%.c
-@SIM_ENABLE_ARCH_ft32_TRUE@    $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_ft32_TRUE@ft32/modules.o: ft32/modules.c
 
-@SIM_ENABLE_ARCH_ft32_TRUE@ft32/%.o: common/%.c
-@SIM_ENABLE_ARCH_ft32_TRUE@    $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_ft32_TRUE@ft32/%.o: common/%.c ; $(SIM_COMPILE)
+@SIM_ENABLE_ARCH_ft32_TRUE@-@am__include@ ft32/$(DEPDIR)/*.Po
 @SIM_ENABLE_ARCH_h8300_TRUE@$(h8300_libsim_a_OBJECTS) $(h8300_libsim_a_LIBADD): h8300/hw-config.h
 
-@SIM_ENABLE_ARCH_h8300_TRUE@h8300/%.o: h8300/%.c
-@SIM_ENABLE_ARCH_h8300_TRUE@   $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_h8300_TRUE@h8300/modules.o: h8300/modules.c
 
-@SIM_ENABLE_ARCH_h8300_TRUE@h8300/%.o: common/%.c
-@SIM_ENABLE_ARCH_h8300_TRUE@   $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_h8300_TRUE@h8300/%.o: common/%.c ; $(SIM_COMPILE)
+@SIM_ENABLE_ARCH_h8300_TRUE@-@am__include@ h8300/$(DEPDIR)/*.Po
 @SIM_ENABLE_ARCH_iq2000_TRUE@$(iq2000_libsim_a_OBJECTS) $(iq2000_libsim_a_LIBADD): iq2000/hw-config.h
 
-@SIM_ENABLE_ARCH_iq2000_TRUE@iq2000/%.o: iq2000/%.c
-@SIM_ENABLE_ARCH_iq2000_TRUE@  $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_iq2000_TRUE@iq2000/modules.o: iq2000/modules.c
+
+@SIM_ENABLE_ARCH_iq2000_TRUE@iq2000/%.o: common/%.c ; $(SIM_COMPILE)
+@SIM_ENABLE_ARCH_iq2000_TRUE@-@am__include@ iq2000/$(DEPDIR)/*.Po
 
-@SIM_ENABLE_ARCH_iq2000_TRUE@iq2000/%.o: common/%.c
-@SIM_ENABLE_ARCH_iq2000_TRUE@  $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
 @SIM_ENABLE_ARCH_iq2000_TRUE@iq2000/modules.c: | $(iq2000_BUILD_OUTPUTS)
 
 @SIM_ENABLE_ARCH_iq2000_TRUE@iq2000/mloop.c iq2000/eng.h: iq2000/stamp-mloop ; @true
-@SIM_ENABLE_ARCH_iq2000_TRUE@iq2000/stamp-mloop: $(srccom)/genmloop.sh iq2000/mloop.in
-@SIM_ENABLE_ARCH_iq2000_TRUE@  $(AM_V_GEN)$(SHELL) $(srccom)/genmloop.sh -shell $(SHELL) \
+@SIM_ENABLE_ARCH_iq2000_TRUE@iq2000/stamp-mloop: iq2000/mloop.in $(srccom)/genmloop.sh
+@SIM_ENABLE_ARCH_iq2000_TRUE@  $(AM_V_GEN)$(CGEN_GEN_MLOOP) \
 @SIM_ENABLE_ARCH_iq2000_TRUE@          -mono -fast -pbb -switch sem-switch.c \
-@SIM_ENABLE_ARCH_iq2000_TRUE@          -cpu iq2000bf \
-@SIM_ENABLE_ARCH_iq2000_TRUE@          -infile $(srcdir)/iq2000/mloop.in -outfile-prefix iq2000/
+@SIM_ENABLE_ARCH_iq2000_TRUE@          -cpu iq2000bf
 @SIM_ENABLE_ARCH_iq2000_TRUE@  $(AM_V_at)$(SHELL) $(srcroot)/move-if-change iq2000/eng.hin iq2000/eng.h
 @SIM_ENABLE_ARCH_iq2000_TRUE@  $(AM_V_at)$(SHELL) $(srcroot)/move-if-change iq2000/mloop.cin iq2000/mloop.c
 @SIM_ENABLE_ARCH_iq2000_TRUE@  $(AM_V_at)touch $@
@@ -4785,26 +5381,25 @@ testsuite/common/bits64m63.c: testsuite/common/bits-gen$(EXEEXT) testsuite/commo
 
 @SIM_ENABLE_ARCH_iq2000_TRUE@iq2000/cgen-arch:
 @SIM_ENABLE_ARCH_iq2000_TRUE@  $(AM_V_GEN)mach=iq2000 FLAGS="with-scache with-profile=fn"; $(CGEN_GEN_ARCH)
-@SIM_ENABLE_ARCH_iq2000_TRUE@iq2000/arch.h iq2000/arch.c iq2000/cpuall.h: @CGEN_MAINT@ iq2000/cgen-arch
+@SIM_ENABLE_ARCH_iq2000_TRUE@$(srcdir)/iq2000/arch.h $(srcdir)/iq2000/arch.c $(srcdir)/iq2000/cpuall.h: @CGEN_MAINT@ iq2000/cgen-arch
 
 @SIM_ENABLE_ARCH_iq2000_TRUE@iq2000/cgen-cpu-decode:
 @SIM_ENABLE_ARCH_iq2000_TRUE@  $(AM_V_GEN)cpu=iq2000bf mach=iq2000 FLAGS="with-scache with-profile=fn" EXTRAFILES="$(CGEN_CPU_SEM) $(CGEN_CPU_SEMSW)"; $(CGEN_GEN_CPU_DECODE)
-@SIM_ENABLE_ARCH_iq2000_TRUE@iq2000/cpu.h iq2000/sem.c iq2000/sem-switch.c iq2000/model.c iq2000/decode.c iq2000/decode.h: @CGEN_MAINT@ iq2000/cgen-cpu-decode
+@SIM_ENABLE_ARCH_iq2000_TRUE@$(srcdir)/iq2000/cpu.h $(srcdir)/iq2000/sem.c $(srcdir)/iq2000/sem-switch.c $(srcdir)/iq2000/model.c $(srcdir)/iq2000/decode.c $(srcdir)/iq2000/decode.h: @CGEN_MAINT@ iq2000/cgen-cpu-decode
 @SIM_ENABLE_ARCH_lm32_TRUE@$(lm32_libsim_a_OBJECTS) $(lm32_libsim_a_LIBADD): lm32/hw-config.h
 
-@SIM_ENABLE_ARCH_lm32_TRUE@lm32/%.o: lm32/%.c
-@SIM_ENABLE_ARCH_lm32_TRUE@    $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_lm32_TRUE@lm32/modules.o: lm32/modules.c
+
+@SIM_ENABLE_ARCH_lm32_TRUE@lm32/%.o: common/%.c ; $(SIM_COMPILE)
+@SIM_ENABLE_ARCH_lm32_TRUE@-@am__include@ lm32/$(DEPDIR)/*.Po
 
-@SIM_ENABLE_ARCH_lm32_TRUE@lm32/%.o: common/%.c
-@SIM_ENABLE_ARCH_lm32_TRUE@    $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
 @SIM_ENABLE_ARCH_lm32_TRUE@lm32/modules.c: | $(lm32_BUILD_OUTPUTS)
 
 @SIM_ENABLE_ARCH_lm32_TRUE@lm32/mloop.c lm32/eng.h: lm32/stamp-mloop ; @true
-@SIM_ENABLE_ARCH_lm32_TRUE@lm32/stamp-mloop: $(srccom)/genmloop.sh lm32/mloop.in
-@SIM_ENABLE_ARCH_lm32_TRUE@    $(AM_V_GEN)$(SHELL) $(srccom)/genmloop.sh -shell $(SHELL) \
+@SIM_ENABLE_ARCH_lm32_TRUE@lm32/stamp-mloop: lm32/mloop.in $(srccom)/genmloop.sh
+@SIM_ENABLE_ARCH_lm32_TRUE@    $(AM_V_GEN)$(CGEN_GEN_MLOOP) \
 @SIM_ENABLE_ARCH_lm32_TRUE@            -mono -fast -pbb -switch sem-switch.c \
-@SIM_ENABLE_ARCH_lm32_TRUE@            -cpu lm32bf \
-@SIM_ENABLE_ARCH_lm32_TRUE@            -infile $(srcdir)/lm32/mloop.in -outfile-prefix lm32/
+@SIM_ENABLE_ARCH_lm32_TRUE@            -cpu lm32bf
 @SIM_ENABLE_ARCH_lm32_TRUE@    $(AM_V_at)$(SHELL) $(srcroot)/move-if-change lm32/eng.hin lm32/eng.h
 @SIM_ENABLE_ARCH_lm32_TRUE@    $(AM_V_at)$(SHELL) $(srcroot)/move-if-change lm32/mloop.cin lm32/mloop.c
 @SIM_ENABLE_ARCH_lm32_TRUE@    $(AM_V_at)touch $@
@@ -4813,18 +5408,18 @@ testsuite/common/bits64m63.c: testsuite/common/bits-gen$(EXEEXT) testsuite/commo
 
 @SIM_ENABLE_ARCH_lm32_TRUE@lm32/cgen-arch:
 @SIM_ENABLE_ARCH_lm32_TRUE@    $(AM_V_GEN)mach=all FLAGS="with-scache with-profile=fn"; $(CGEN_GEN_ARCH)
-@SIM_ENABLE_ARCH_lm32_TRUE@lm32/arch.h lm32/arch.c lm32/cpuall.h: @CGEN_MAINT@ lm32/cgen-arch
+@SIM_ENABLE_ARCH_lm32_TRUE@$(srcdir)/lm32/arch.h $(srcdir)/lm32/arch.c $(srcdir)/lm32/cpuall.h: @CGEN_MAINT@ lm32/cgen-arch
 
 @SIM_ENABLE_ARCH_lm32_TRUE@lm32/cgen-cpu-decode:
 @SIM_ENABLE_ARCH_lm32_TRUE@    $(AM_V_GEN)cpu=lm32bf mach=lm32 FLAGS="with-scache with-profile=fn" EXTRAFILES="$(CGEN_CPU_SEM) $(CGEN_CPU_SEMSW)"; $(CGEN_GEN_CPU_DECODE)
-@SIM_ENABLE_ARCH_lm32_TRUE@lm32/cpu.h lm32/sem.c lm32/sem-switch.c lm32/model.c lm32/decode.c lm32/decode.h: @CGEN_MAINT@ lm32/cgen-cpu-decode
+@SIM_ENABLE_ARCH_lm32_TRUE@$(srcdir)/lm32/cpu.h $(srcdir)/lm32/sem.c $(srcdir)/lm32/sem-switch.c $(srcdir)/lm32/model.c $(srcdir)/lm32/decode.c $(srcdir)/lm32/decode.h: @CGEN_MAINT@ lm32/cgen-cpu-decode
 @SIM_ENABLE_ARCH_m32c_TRUE@$(m32c_libsim_a_OBJECTS) $(m32c_libsim_a_LIBADD): m32c/hw-config.h
 
-@SIM_ENABLE_ARCH_m32c_TRUE@m32c/%.o: m32c/%.c
-@SIM_ENABLE_ARCH_m32c_TRUE@    $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_m32c_TRUE@m32c/modules.o: m32c/modules.c
+
+@SIM_ENABLE_ARCH_m32c_TRUE@m32c/%.o: common/%.c ; $(SIM_COMPILE)
+@SIM_ENABLE_ARCH_m32c_TRUE@-@am__include@ m32c/$(DEPDIR)/*.Po
 
-@SIM_ENABLE_ARCH_m32c_TRUE@m32c/%.o: common/%.c
-@SIM_ENABLE_ARCH_m32c_TRUE@    $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
 @SIM_ENABLE_ARCH_m32c_TRUE@m32c/modules.c: | $(m32c_BUILD_OUTPUTS)
 
 # These rules are copied from automake, but tweaked to use FOR_BUILD variables.
@@ -4844,39 +5439,36 @@ testsuite/common/bits64m63.c: testsuite/common/bits-gen$(EXEEXT) testsuite/commo
 @SIM_ENABLE_ARCH_m32c_TRUE@    $(AM_V_at)mv $@.tmp $@
 @SIM_ENABLE_ARCH_m32r_TRUE@$(m32r_libsim_a_OBJECTS) $(m32r_libsim_a_LIBADD): m32r/hw-config.h
 
-@SIM_ENABLE_ARCH_m32r_TRUE@m32r/%.o: m32r/%.c
-@SIM_ENABLE_ARCH_m32r_TRUE@    $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_m32r_TRUE@m32r/modules.o: m32r/modules.c
+
+@SIM_ENABLE_ARCH_m32r_TRUE@m32r/%.o: common/%.c ; $(SIM_COMPILE)
+@SIM_ENABLE_ARCH_m32r_TRUE@-@am__include@ m32r/$(DEPDIR)/*.Po
 
-@SIM_ENABLE_ARCH_m32r_TRUE@m32r/%.o: common/%.c
-@SIM_ENABLE_ARCH_m32r_TRUE@    $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
 @SIM_ENABLE_ARCH_m32r_TRUE@m32r/modules.c: | $(m32r_BUILD_OUTPUTS)
 
 @SIM_ENABLE_ARCH_m32r_TRUE@m32r/mloop.c m32r/eng.h: m32r/stamp-mloop ; @true
-@SIM_ENABLE_ARCH_m32r_TRUE@m32r/stamp-mloop: $(srccom)/genmloop.sh m32r/mloop.in
-@SIM_ENABLE_ARCH_m32r_TRUE@    $(AM_V_GEN)$(SHELL) $(srccom)/genmloop.sh -shell $(SHELL) \
+@SIM_ENABLE_ARCH_m32r_TRUE@m32r/stamp-mloop: m32r/mloop.in $(srccom)/genmloop.sh
+@SIM_ENABLE_ARCH_m32r_TRUE@    $(AM_V_GEN)$(CGEN_GEN_MLOOP) \
 @SIM_ENABLE_ARCH_m32r_TRUE@            -mono -fast -pbb -switch sem-switch.c \
-@SIM_ENABLE_ARCH_m32r_TRUE@            -cpu m32rbf \
-@SIM_ENABLE_ARCH_m32r_TRUE@            -infile $(srcdir)/m32r/mloop.in -outfile-prefix m32r/
+@SIM_ENABLE_ARCH_m32r_TRUE@            -cpu m32rbf
 @SIM_ENABLE_ARCH_m32r_TRUE@    $(AM_V_at)$(SHELL) $(srcroot)/move-if-change m32r/eng.hin m32r/eng.h
 @SIM_ENABLE_ARCH_m32r_TRUE@    $(AM_V_at)$(SHELL) $(srcroot)/move-if-change m32r/mloop.cin m32r/mloop.c
 @SIM_ENABLE_ARCH_m32r_TRUE@    $(AM_V_at)touch $@
 
-@SIM_ENABLE_ARCH_m32r_TRUE@m32r/mloopx.c m32r/engx.h: m32r/stamp-mloop ; @true
-@SIM_ENABLE_ARCH_m32r_TRUE@m32r/stamp-mloop-x: $(srccom)/genmloop.sh m32r/mloop.in
-@SIM_ENABLE_ARCH_m32r_TRUE@    $(AM_V_GEN)$(SHELL) $(srccom)/genmloop.sh -shell $(SHELL) \
+@SIM_ENABLE_ARCH_m32r_TRUE@m32r/mloopx.c m32r/engx.h: m32r/stamp-mloop-x ; @true
+@SIM_ENABLE_ARCH_m32r_TRUE@m32r/stamp-mloop-x: m32r/mloopx.in $(srccom)/genmloop.sh
+@SIM_ENABLE_ARCH_m32r_TRUE@    $(AM_V_GEN)$(CGEN_GEN_MLOOP) \
 @SIM_ENABLE_ARCH_m32r_TRUE@            -mono -no-fast -pbb -parallel-write -switch semx-switch.c \
-@SIM_ENABLE_ARCH_m32r_TRUE@            -cpu m32rxf \
-@SIM_ENABLE_ARCH_m32r_TRUE@            -infile $(srcdir)/m32r/mloopx.in -outfile-prefix m32r/ -outfile-suffix x
+@SIM_ENABLE_ARCH_m32r_TRUE@            -cpu m32rxf -outfile-suffix x
 @SIM_ENABLE_ARCH_m32r_TRUE@    $(AM_V_at)$(SHELL) $(srcroot)/move-if-change m32r/engx.hin m32r/engx.h
 @SIM_ENABLE_ARCH_m32r_TRUE@    $(AM_V_at)$(SHELL) $(srcroot)/move-if-change m32r/mloopx.cin m32r/mloopx.c
 @SIM_ENABLE_ARCH_m32r_TRUE@    $(AM_V_at)touch $@
 
-@SIM_ENABLE_ARCH_m32r_TRUE@m32r/mloop2.c m32r/eng2.h: m32r/stamp-mloop ; @true
-@SIM_ENABLE_ARCH_m32r_TRUE@m32r/stamp-mloop-2: $(srccom)/genmloop.sh m32r/mloop.in
-@SIM_ENABLE_ARCH_m32r_TRUE@    $(AM_V_GEN)$(SHELL) $(srccom)/genmloop.sh -shell $(SHELL) \
+@SIM_ENABLE_ARCH_m32r_TRUE@m32r/mloop2.c m32r/eng2.h: m32r/stamp-mloop-2 ; @true
+@SIM_ENABLE_ARCH_m32r_TRUE@m32r/stamp-mloop-2: m32r/mloop2.in $(srccom)/genmloop.sh
+@SIM_ENABLE_ARCH_m32r_TRUE@    $(AM_V_GEN)$(CGEN_GEN_MLOOP) \
 @SIM_ENABLE_ARCH_m32r_TRUE@            -mono -no-fast -pbb -parallel-write -switch sem2-switch.c \
-@SIM_ENABLE_ARCH_m32r_TRUE@            -cpu m32r2f \
-@SIM_ENABLE_ARCH_m32r_TRUE@            -infile $(srcdir)/m32r/mloop2.in -outfile-prefix m32r/ -outfile-suffix 2
+@SIM_ENABLE_ARCH_m32r_TRUE@            -cpu m32r2f -outfile-suffix 2
 @SIM_ENABLE_ARCH_m32r_TRUE@    $(AM_V_at)$(SHELL) $(srcroot)/move-if-change m32r/eng2.hin m32r/eng2.h
 @SIM_ENABLE_ARCH_m32r_TRUE@    $(AM_V_at)$(SHELL) $(srcroot)/move-if-change m32r/mloop2.cin m32r/mloop2.c
 @SIM_ENABLE_ARCH_m32r_TRUE@    $(AM_V_at)touch $@
@@ -4885,26 +5477,26 @@ testsuite/common/bits64m63.c: testsuite/common/bits-gen$(EXEEXT) testsuite/commo
 
 @SIM_ENABLE_ARCH_m32r_TRUE@m32r/cgen-arch:
 @SIM_ENABLE_ARCH_m32r_TRUE@    $(AM_V_GEN)mach=all FLAGS="with-scache with-profile=fn"; $(CGEN_GEN_ARCH)
-@SIM_ENABLE_ARCH_m32r_TRUE@m32r/arch.h m32r/arch.c m32r/cpuall.h: @CGEN_MAINT@ m32r/cgen-arch
+@SIM_ENABLE_ARCH_m32r_TRUE@$(srcdir)/m32r/arch.h $(srcdir)/m32r/arch.c $(srcdir)/m32r/cpuall.h: @CGEN_MAINT@ m32r/cgen-arch
 
 @SIM_ENABLE_ARCH_m32r_TRUE@m32r/cgen-cpu-decode:
 @SIM_ENABLE_ARCH_m32r_TRUE@    $(AM_V_GEN)cpu=m32rbf mach=m32r FLAGS="with-scache with-profile=fn" EXTRAFILES="$(CGEN_CPU_SEM) $(CGEN_CPU_SEMSW)"; $(CGEN_GEN_CPU_DECODE)
-@SIM_ENABLE_ARCH_m32r_TRUE@m32r/cpu.h m32r/sem.c m32r/sem-switch.c m32r/model.c m32r/decode.c m32r/decode.h: @CGEN_MAINT@ m32r/cgen-cpu-decode
+@SIM_ENABLE_ARCH_m32r_TRUE@$(srcdir)/m32r/cpu.h $(srcdir)/m32r/sem.c $(srcdir)/m32r/sem-switch.c $(srcdir)/m32r/model.c $(srcdir)/m32r/decode.c $(srcdir)/m32r/decode.h: @CGEN_MAINT@ m32r/cgen-cpu-decode
 
 @SIM_ENABLE_ARCH_m32r_TRUE@m32r/cgen-cpu-decode-x:
 @SIM_ENABLE_ARCH_m32r_TRUE@    $(AM_V_GEN)cpu=m32rxf mach=m32rx SUFFIX=x FLAGS="with-scache with-profile=fn" EXTRAFILES="$(CGEN_CPU_SEMSW)"; $(CGEN_GEN_CPU_DECODE)
-@SIM_ENABLE_ARCH_m32r_TRUE@m32r/cpux.h m32r/semx-switch.c m32r/modelx.c m32r/decodex.c m32r/decodex.h: @CGEN_MAINT@ m32r/cgen-cpu-decode-x
+@SIM_ENABLE_ARCH_m32r_TRUE@$(srcdir)/m32r/cpux.h $(srcdir)/m32r/semx-switch.c $(srcdir)/m32r/modelx.c $(srcdir)/m32r/decodex.c $(srcdir)/m32r/decodex.h: @CGEN_MAINT@ m32r/cgen-cpu-decode-x
 
 @SIM_ENABLE_ARCH_m32r_TRUE@m32r/cgen-cpu-decode-2:
 @SIM_ENABLE_ARCH_m32r_TRUE@    $(AM_V_GEN)cpu=m32r2f mach=m32r2 SUFFIX=2 FLAGS="with-scache with-profile=fn" EXTRAFILES="$(CGEN_CPU_SEMSW)"; $(CGEN_GEN_CPU_DECODE)
-@SIM_ENABLE_ARCH_m32r_TRUE@m32r/cpu2.h m32r/sem2-switch.c m32r/model2.c m32r/decode2.c m32r/decode2.h: @CGEN_MAINT@ m32r/cgen-cpu-decode-2
+@SIM_ENABLE_ARCH_m32r_TRUE@$(srcdir)/m32r/cpu2.h $(srcdir)/m32r/sem2-switch.c $(srcdir)/m32r/model2.c $(srcdir)/m32r/decode2.c $(srcdir)/m32r/decode2.h: @CGEN_MAINT@ m32r/cgen-cpu-decode-2
 @SIM_ENABLE_ARCH_m68hc11_TRUE@$(m68hc11_libsim_a_OBJECTS) $(m68hc11_libsim_a_LIBADD): m68hc11/hw-config.h
 
-@SIM_ENABLE_ARCH_m68hc11_TRUE@m68hc11/%.o: m68hc11/%.c
-@SIM_ENABLE_ARCH_m68hc11_TRUE@ $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_m68hc11_TRUE@m68hc11/modules.o: m68hc11/modules.c
+
+@SIM_ENABLE_ARCH_m68hc11_TRUE@m68hc11/%.o: common/%.c ; $(SIM_COMPILE)
+@SIM_ENABLE_ARCH_m68hc11_TRUE@-@am__include@ m68hc11/$(DEPDIR)/*.Po
 
-@SIM_ENABLE_ARCH_m68hc11_TRUE@m68hc11/%.o: common/%.c
-@SIM_ENABLE_ARCH_m68hc11_TRUE@ $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
 @SIM_ENABLE_ARCH_m68hc11_TRUE@m68hc11/modules.c: | $(m68hc11_BUILD_OUTPUTS)
 
 # These rules are copied from automake, but tweaked to use FOR_BUILD variables.
@@ -4922,25 +5514,23 @@ testsuite/common/bits64m63.c: testsuite/common/bits-gen$(EXEEXT) testsuite/commo
 @SIM_ENABLE_ARCH_m68hc11_TRUE@ $(AM_V_GEN)$< -m6812 >$@
 @SIM_ENABLE_ARCH_mcore_TRUE@$(mcore_libsim_a_OBJECTS) $(mcore_libsim_a_LIBADD): mcore/hw-config.h
 
-@SIM_ENABLE_ARCH_mcore_TRUE@mcore/%.o: mcore/%.c
-@SIM_ENABLE_ARCH_mcore_TRUE@   $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_mcore_TRUE@mcore/modules.o: mcore/modules.c
 
-@SIM_ENABLE_ARCH_mcore_TRUE@mcore/%.o: common/%.c
-@SIM_ENABLE_ARCH_mcore_TRUE@   $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_mcore_TRUE@mcore/%.o: common/%.c ; $(SIM_COMPILE)
+@SIM_ENABLE_ARCH_mcore_TRUE@-@am__include@ mcore/$(DEPDIR)/*.Po
 @SIM_ENABLE_ARCH_microblaze_TRUE@$(microblaze_libsim_a_OBJECTS) $(microblaze_libsim_a_LIBADD): microblaze/hw-config.h
 
-@SIM_ENABLE_ARCH_microblaze_TRUE@microblaze/%.o: microblaze/%.c
-@SIM_ENABLE_ARCH_microblaze_TRUE@      $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_microblaze_TRUE@microblaze/modules.o: microblaze/modules.c
 
-@SIM_ENABLE_ARCH_microblaze_TRUE@microblaze/%.o: common/%.c
-@SIM_ENABLE_ARCH_microblaze_TRUE@      $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_microblaze_TRUE@microblaze/%.o: common/%.c ; $(SIM_COMPILE)
+@SIM_ENABLE_ARCH_microblaze_TRUE@-@am__include@ microblaze/$(DEPDIR)/*.Po
 @SIM_ENABLE_ARCH_mips_TRUE@$(mips_libsim_a_OBJECTS) $(mips_libsim_a_LIBADD): mips/hw-config.h
 
-@SIM_ENABLE_ARCH_mips_TRUE@mips/%.o: mips/%.c
-@SIM_ENABLE_ARCH_mips_TRUE@    $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_mips_TRUE@mips/modules.o: mips/modules.c
+
+@SIM_ENABLE_ARCH_mips_TRUE@mips/%.o: common/%.c ; $(SIM_COMPILE)
+@SIM_ENABLE_ARCH_mips_TRUE@-@am__include@ mips/$(DEPDIR)/*.Po
 
-@SIM_ENABLE_ARCH_mips_TRUE@mips/%.o: common/%.c
-@SIM_ENABLE_ARCH_mips_TRUE@    $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
 @SIM_ENABLE_ARCH_mips_TRUE@mips/modules.c: | $(mips_BUILD_OUTPUTS)
 
 @SIM_ENABLE_ARCH_mips_TRUE@$(mips_BUILT_SRC_FROM_IGEN_ITABLE): mips/stamp-igen-itable
@@ -5142,11 +5732,11 @@ testsuite/common/bits64m63.c: testsuite/common/bits-gen$(EXEEXT) testsuite/commo
 @SIM_ENABLE_ARCH_mips_TRUE@    $(AM_V_at)touch $@
 @SIM_ENABLE_ARCH_mn10300_TRUE@$(mn10300_libsim_a_OBJECTS) $(mn10300_libsim_a_LIBADD): mn10300/hw-config.h
 
-@SIM_ENABLE_ARCH_mn10300_TRUE@mn10300/%.o: mn10300/%.c
-@SIM_ENABLE_ARCH_mn10300_TRUE@ $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_mn10300_TRUE@mn10300/modules.o: mn10300/modules.c
+
+@SIM_ENABLE_ARCH_mn10300_TRUE@mn10300/%.o: common/%.c ; $(SIM_COMPILE)
+@SIM_ENABLE_ARCH_mn10300_TRUE@-@am__include@ mn10300/$(DEPDIR)/*.Po
 
-@SIM_ENABLE_ARCH_mn10300_TRUE@mn10300/%.o: common/%.c
-@SIM_ENABLE_ARCH_mn10300_TRUE@ $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
 @SIM_ENABLE_ARCH_mn10300_TRUE@mn10300/modules.c: | $(mn10300_BUILD_OUTPUTS)
 
 @SIM_ENABLE_ARCH_mn10300_TRUE@$(mn10300_BUILT_SRC_FROM_IGEN): mn10300/stamp-igen
@@ -5178,11 +5768,10 @@ testsuite/common/bits64m63.c: testsuite/common/bits-gen$(EXEEXT) testsuite/commo
 @SIM_ENABLE_ARCH_mn10300_TRUE@ $(AM_V_at)touch $@
 @SIM_ENABLE_ARCH_moxie_TRUE@$(moxie_libsim_a_OBJECTS) $(moxie_libsim_a_LIBADD): moxie/hw-config.h
 
-@SIM_ENABLE_ARCH_moxie_TRUE@moxie/%.o: moxie/%.c
-@SIM_ENABLE_ARCH_moxie_TRUE@   $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_moxie_TRUE@moxie/modules.o: moxie/modules.c
 
-@SIM_ENABLE_ARCH_moxie_TRUE@moxie/%.o: common/%.c
-@SIM_ENABLE_ARCH_moxie_TRUE@   $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_moxie_TRUE@moxie/%.o: common/%.c ; $(SIM_COMPILE)
+@SIM_ENABLE_ARCH_moxie_TRUE@-@am__include@ moxie/$(DEPDIR)/*.Po
 
 @SIM_ENABLE_ARCH_moxie_TRUE@moxie/moxie-gdb.dtb: @MAINT@ moxie/moxie-gdb.dts moxie/$(am__dirstamp)
 @SIM_ENABLE_ARCH_moxie_TRUE@   $(AM_V_GEN) \
@@ -5197,26 +5786,24 @@ testsuite/common/bits64m63.c: testsuite/common/bits-gen$(EXEEXT) testsuite/commo
 @SIM_ENABLE_ARCH_moxie_TRUE@   fi
 @SIM_ENABLE_ARCH_msp430_TRUE@$(msp430_libsim_a_OBJECTS) $(msp430_libsim_a_LIBADD): msp430/hw-config.h
 
-@SIM_ENABLE_ARCH_msp430_TRUE@msp430/%.o: msp430/%.c
-@SIM_ENABLE_ARCH_msp430_TRUE@  $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_msp430_TRUE@msp430/modules.o: msp430/modules.c
 
-@SIM_ENABLE_ARCH_msp430_TRUE@msp430/%.o: common/%.c
-@SIM_ENABLE_ARCH_msp430_TRUE@  $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_msp430_TRUE@msp430/%.o: common/%.c ; $(SIM_COMPILE)
+@SIM_ENABLE_ARCH_msp430_TRUE@-@am__include@ msp430/$(DEPDIR)/*.Po
 @SIM_ENABLE_ARCH_or1k_TRUE@$(or1k_libsim_a_OBJECTS) $(or1k_libsim_a_LIBADD): or1k/hw-config.h
 
-@SIM_ENABLE_ARCH_or1k_TRUE@or1k/%.o: or1k/%.c
-@SIM_ENABLE_ARCH_or1k_TRUE@    $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_or1k_TRUE@or1k/modules.o: or1k/modules.c
+
+@SIM_ENABLE_ARCH_or1k_TRUE@or1k/%.o: common/%.c ; $(SIM_COMPILE)
+@SIM_ENABLE_ARCH_or1k_TRUE@-@am__include@ or1k/$(DEPDIR)/*.Po
 
-@SIM_ENABLE_ARCH_or1k_TRUE@or1k/%.o: common/%.c
-@SIM_ENABLE_ARCH_or1k_TRUE@    $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
 @SIM_ENABLE_ARCH_or1k_TRUE@or1k/modules.c: | $(or1k_BUILD_OUTPUTS)
 
 @SIM_ENABLE_ARCH_or1k_TRUE@or1k/mloop.c or1k/eng.h: or1k/stamp-mloop ; @true
-@SIM_ENABLE_ARCH_or1k_TRUE@or1k/stamp-mloop: $(srccom)/genmloop.sh or1k/mloop.in
-@SIM_ENABLE_ARCH_or1k_TRUE@    $(AM_V_GEN)$(SHELL) $(srccom)/genmloop.sh -shell $(SHELL) \
+@SIM_ENABLE_ARCH_or1k_TRUE@or1k/stamp-mloop: or1k/mloop.in $(srccom)/genmloop.sh
+@SIM_ENABLE_ARCH_or1k_TRUE@    $(AM_V_GEN)$(CGEN_GEN_MLOOP) \
 @SIM_ENABLE_ARCH_or1k_TRUE@            -mono -fast -pbb -switch sem-switch.c \
-@SIM_ENABLE_ARCH_or1k_TRUE@            -cpu or1k32bf \
-@SIM_ENABLE_ARCH_or1k_TRUE@            -infile $(srcdir)/or1k/mloop.in -outfile-prefix or1k/
+@SIM_ENABLE_ARCH_or1k_TRUE@            -cpu or1k32bf
 @SIM_ENABLE_ARCH_or1k_TRUE@    $(AM_V_at)$(SHELL) $(srcroot)/move-if-change or1k/eng.hin or1k/eng.h
 @SIM_ENABLE_ARCH_or1k_TRUE@    $(AM_V_at)$(SHELL) $(srcroot)/move-if-change or1k/mloop.cin or1k/mloop.c
 @SIM_ENABLE_ARCH_or1k_TRUE@    $(AM_V_at)touch $@
@@ -5225,17 +5812,20 @@ testsuite/common/bits64m63.c: testsuite/common/bits-gen$(EXEEXT) testsuite/commo
 
 @SIM_ENABLE_ARCH_or1k_TRUE@or1k/cgen-arch:
 @SIM_ENABLE_ARCH_or1k_TRUE@    $(AM_V_GEN)mach=or32,or32nd FLAGS="with-scache"; $(CGEN_GEN_ARCH)
-@SIM_ENABLE_ARCH_or1k_TRUE@or1k/arch.h or1k/arch.c or1k/cpuall.h: @CGEN_MAINT@ or1k/cgen-arch
+@SIM_ENABLE_ARCH_or1k_TRUE@$(srcdir)/or1k/arch.h $(srcdir)/or1k/arch.c $(srcdir)/or1k/cpuall.h: @CGEN_MAINT@ or1k/cgen-arch
 
 @SIM_ENABLE_ARCH_or1k_TRUE@or1k/cgen-cpu-decode:
 @SIM_ENABLE_ARCH_or1k_TRUE@    $(AM_V_GEN)cpu=or1k32bf mach=or32,or32nd FLAGS="with-scache" EXTRAFILES="$(CGEN_CPU_SEM) $(CGEN_CPU_SEMSW)"; $(CGEN_GEN_CPU_DECODE)
-@SIM_ENABLE_ARCH_or1k_TRUE@or1k/cpu.h or1k/cpu.c or1k/model.c or1k/sem.c or1k/sem-switch.c or1k/decode.c or1k/decode.h: @CGEN_MAINT@ or1k/cgen-cpu-decode
+@SIM_ENABLE_ARCH_or1k_TRUE@$(srcdir)/or1k/cpu.h $(srcdir)/or1k/cpu.c $(srcdir)/or1k/model.c $(srcdir)/or1k/sem.c $(srcdir)/or1k/sem-switch.c $(srcdir)/or1k/decode.c $(srcdir)/or1k/decode.h: @CGEN_MAINT@ or1k/cgen-cpu-decode
 
-@SIM_ENABLE_ARCH_ppc_TRUE@ppc/psim$(EXEEXT): ppc/run$(EXEEXT)
-@SIM_ENABLE_ARCH_ppc_TRUE@     $(AM_V_GEN)ln $< $@ 2>/dev/null || $(LN_S) $< $@ 2>/dev/null || cp -p $< $@
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc/%.o: common/%.c ; $(SIM_COMPILE)
+@SIM_ENABLE_ARCH_ppc_TRUE@-@am__include@ ppc/$(DEPDIR)/*.Po
 
-@SIM_ENABLE_ARCH_ppc_TRUE@ppc/%.o: ppc/%.c | ppc/libsim.a $(SIM_ALL_RECURSIVE_DEPS)
-@SIM_ENABLE_ARCH_ppc_TRUE@     $(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc/defines.h: ppc/stamp-defines ; @true
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc/stamp-defines: config.h Makefile
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(AM_V_GEN)$(SED) -n -e '/^#define HAVE_.*1$$/{ s/ 1$$/",/; s/.* HAVE_/"HAVE_/; p }' < config.h > ppc/defines.hin
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(AM_V_at)$(SHELL) $(srcroot)/move-if-change ppc/defines.hin ppc/defines.h
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(AM_V_at)touch $@
 
 @SIM_ENABLE_ARCH_ppc_TRUE@ppc/spreg.c: @MAINT@ ppc/ppc-spr-table ppc/spreg-gen.py ppc/$(am__dirstamp)
 @SIM_ENABLE_ARCH_ppc_TRUE@     $(AM_V_GEN)$(srcdir)/ppc/spreg-gen.py --source $@.tmp
@@ -5246,19 +5836,118 @@ testsuite/common/bits64m63.c: testsuite/common/bits-gen$(EXEEXT) testsuite/commo
 @SIM_ENABLE_ARCH_ppc_TRUE@     $(AM_V_GEN)$(srcdir)/ppc/spreg-gen.py --header $@.tmp
 @SIM_ENABLE_ARCH_ppc_TRUE@     $(AM_V_at)$(SHELL) $(srcroot)/move-if-change $@.tmp $(srcdir)/ppc/spreg.h
 @SIM_ENABLE_ARCH_ppc_TRUE@     $(AM_V_at)touch $(srcdir)/ppc/spreg.h
+
+@SIM_ENABLE_ARCH_ppc_TRUE@$(ppc_BUILT_SRC_FROM_IGEN): ppc/stamp-igen
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc/stamp-igen: ppc/powerpc.igen ppc/altivec.igen ppc/e500.igen $(ppc_IGEN_OPCODE_RULES) $(PPC_IGEN)
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(AM_V_GEN)$(PPC_IGEN_RUN) \
+@SIM_ENABLE_ARCH_ppc_TRUE@             -o $(srcdir)/$(ppc_IGEN_OPCODE_RULES) \
+@SIM_ENABLE_ARCH_ppc_TRUE@             -I $(srcdir)/ppc -i $(srcdir)/ppc/powerpc.igen \
+@SIM_ENABLE_ARCH_ppc_TRUE@             -n icache.h    -hc ppc/icache.h \
+@SIM_ENABLE_ARCH_ppc_TRUE@             -n icache.c    -c  ppc/icache.c \
+@SIM_ENABLE_ARCH_ppc_TRUE@             -n semantics.h -hs ppc/semantics.h \
+@SIM_ENABLE_ARCH_ppc_TRUE@             -n semantics.c -s  ppc/semantics.c \
+@SIM_ENABLE_ARCH_ppc_TRUE@             -n idecode.h   -hd ppc/idecode.h \
+@SIM_ENABLE_ARCH_ppc_TRUE@             -n idecode.c   -d  ppc/idecode.c \
+@SIM_ENABLE_ARCH_ppc_TRUE@             -n itable.h    -ht ppc/itable.h \
+@SIM_ENABLE_ARCH_ppc_TRUE@             -n itable.c    -t  ppc/itable.c \
+@SIM_ENABLE_ARCH_ppc_TRUE@             -n model.h     -hm ppc/model.h \
+@SIM_ENABLE_ARCH_ppc_TRUE@             -n model.c     -m  ppc/model.c \
+@SIM_ENABLE_ARCH_ppc_TRUE@             -n support.h   -hf ppc/support.h \
+@SIM_ENABLE_ARCH_ppc_TRUE@             -n support.c   -f  ppc/support.c
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(AM_V_at)touch $@
+
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc/libigen.a: $(ppc_libigen_a_OBJECTS) $(ppc_libigen_a_DEPENDENCIES) $(EXTRA_ppc_libigen_a_DEPENDENCIES) ppc/$(am__dirstamp)
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(AM_V_at)-rm -f $@
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(AM_V_AR)$(AR_FOR_BUILD) $(ARFLAGS) $@ $(ppc_libigen_a_OBJECTS) $(ppc_libigen_a_LIBADD)
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(AM_V_at)$(RANLIB_FOR_BUILD) $@
+
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc/igen$(EXEEXT): $(ppc_igen_OBJECTS) $(ppc_igen_DEPENDENCIES) ppc/$(am__dirstamp)
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(AM_V_CCLD)$(LINK_FOR_BUILD) $(ppc_igen_OBJECTS) $(ppc_igen_LDADD)
+
+@SIM_ENABLE_ARCH_ppc_TRUE@$(ppc_libigen_a_OBJECTS) $(ppc_igen_OBJECTS): ppc/%.o: ppc/%.c
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(AM_V_CC)$(COMPILE_FOR_BUILD) -I$(srcdir)/igen -I$(srcdir)/ppc -c $< -o $@
+
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc/%-main.o: ppc/%.c
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(AM_V_CC)$(COMPILE_FOR_BUILD) -DMAIN -c $< -o $@
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc/hw.c ppc/hw.h: ppc/stamp-hw ; @true
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc/stamp-hw: Makefile $(ppc_HW_SRC) $(srcroot)/move-if-change
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(AM_V_GEN)\
+@SIM_ENABLE_ARCH_ppc_TRUE@     f=""; \
+@SIM_ENABLE_ARCH_ppc_TRUE@     for i in $(ppc_HW_SRC) ; do \
+@SIM_ENABLE_ARCH_ppc_TRUE@       case " $$f " in \
+@SIM_ENABLE_ARCH_ppc_TRUE@         *" $$i "*) ;; \
+@SIM_ENABLE_ARCH_ppc_TRUE@         *) f="$$f $$i" ;; \
+@SIM_ENABLE_ARCH_ppc_TRUE@       esac ; \
+@SIM_ENABLE_ARCH_ppc_TRUE@     done ; \
+@SIM_ENABLE_ARCH_ppc_TRUE@     for hw in $$f ; do echo $$hw ; done \
+@SIM_ENABLE_ARCH_ppc_TRUE@     | sed -e 's/^.*\(hw_.*\)\.c/\1/' \
+@SIM_ENABLE_ARCH_ppc_TRUE@             -e 's/^/extern const device_descriptor /' \
+@SIM_ENABLE_ARCH_ppc_TRUE@             -e 's/$$/_device_descriptor\[\];/' \
+@SIM_ENABLE_ARCH_ppc_TRUE@             > ppc/hw.hin; \
+@SIM_ENABLE_ARCH_ppc_TRUE@     f=""; \
+@SIM_ENABLE_ARCH_ppc_TRUE@     for i in $(ppc_HW_SRC) ; do \
+@SIM_ENABLE_ARCH_ppc_TRUE@       case " $$f " in \
+@SIM_ENABLE_ARCH_ppc_TRUE@         *" $$i "*) ;; \
+@SIM_ENABLE_ARCH_ppc_TRUE@         *) f="$$f $$i" ;; \
+@SIM_ENABLE_ARCH_ppc_TRUE@       esac ; \
+@SIM_ENABLE_ARCH_ppc_TRUE@     done ; \
+@SIM_ENABLE_ARCH_ppc_TRUE@     for hw in $$f ; do echo $$hw ; done \
+@SIM_ENABLE_ARCH_ppc_TRUE@     | sed -e 's/^.*\(hw_.*\)\.c/\1/' \
+@SIM_ENABLE_ARCH_ppc_TRUE@             -e 's/^/    /' \
+@SIM_ENABLE_ARCH_ppc_TRUE@             -e 's/$$/_device_descriptor,/' \
+@SIM_ENABLE_ARCH_ppc_TRUE@             > ppc/hw.cin
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(AM_V_at)$(SHELL) $(srcroot)/move-if-change ppc/hw.hin ppc/hw.h
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(AM_V_at)$(SHELL) $(srcroot)/move-if-change ppc/hw.cin ppc/hw.c
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(AM_V_at)touch $@
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc/hw.c ppc/hw.h: ppc/stamp-igen
+@SIM_ENABLE_ARCH_ppc_TRUE@$(srcdir)/ppc/pk.h: @MAINT@ ppc/stamp-pk ; @true
+@SIM_ENABLE_ARCH_ppc_TRUE@ppc/stamp-pk: $(srcdir)/ppc/Makefile.in $(ppc_PACKAGE_SRC) $(srcroot)/move-if-change
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(AM_V_GEN)echo "/* Generated file by local.mk; do not edit.  */" > ppc/pk.hin; \
+@SIM_ENABLE_ARCH_ppc_TRUE@     f=""; \
+@SIM_ENABLE_ARCH_ppc_TRUE@     for i in $(ppc_PACKAGE_SRC) ; do \
+@SIM_ENABLE_ARCH_ppc_TRUE@       case " $$f " in \
+@SIM_ENABLE_ARCH_ppc_TRUE@         *" $$i "*) ;; \
+@SIM_ENABLE_ARCH_ppc_TRUE@         *) f="$$f $$i" ;; \
+@SIM_ENABLE_ARCH_ppc_TRUE@       esac ; \
+@SIM_ENABLE_ARCH_ppc_TRUE@     done ; \
+@SIM_ENABLE_ARCH_ppc_TRUE@     for pk in $$f ; do echo $$pk ; done \
+@SIM_ENABLE_ARCH_ppc_TRUE@     | sed -e 's/^.*pk_\(.*\)\.c/\1/' \
+@SIM_ENABLE_ARCH_ppc_TRUE@             -e 's/^/extern package_create_instance_callback pk_/' \
+@SIM_ENABLE_ARCH_ppc_TRUE@             -e 's/$$/_create_instance;/' \
+@SIM_ENABLE_ARCH_ppc_TRUE@             >> ppc/pk.hin
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(AM_V_at)$(SHELL) $(srcroot)/move-if-change ppc/pk.hin $(srcdir)/ppc/pk.h
+@SIM_ENABLE_ARCH_ppc_TRUE@     $(AM_V_at)touch $@
 @SIM_ENABLE_ARCH_pru_TRUE@$(pru_libsim_a_OBJECTS) $(pru_libsim_a_LIBADD): pru/hw-config.h
 
-@SIM_ENABLE_ARCH_pru_TRUE@pru/%.o: pru/%.c
-@SIM_ENABLE_ARCH_pru_TRUE@     $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_pru_TRUE@pru/modules.o: pru/modules.c
+
+@SIM_ENABLE_ARCH_pru_TRUE@pru/%.o: common/%.c ; $(SIM_COMPILE)
+@SIM_ENABLE_ARCH_pru_TRUE@-@am__include@ pru/$(DEPDIR)/*.Po
+@SIM_ENABLE_ARCH_riscv_TRUE@$(riscv_libsim_a_OBJECTS) $(riscv_libsim_a_LIBADD): riscv/hw-config.h
+
+@SIM_ENABLE_ARCH_riscv_TRUE@riscv/modules.o: riscv/modules.c
+
+@SIM_ENABLE_ARCH_riscv_TRUE@riscv/%.o: common/%.c ; $(SIM_COMPILE)
+@SIM_ENABLE_ARCH_riscv_TRUE@-@am__include@ riscv/$(DEPDIR)/*.Po
+@SIM_ENABLE_ARCH_rl78_TRUE@$(rl78_libsim_a_OBJECTS) $(rl78_libsim_a_LIBADD): rl78/hw-config.h
 
-@SIM_ENABLE_ARCH_pru_TRUE@pru/%.o: common/%.c
-@SIM_ENABLE_ARCH_pru_TRUE@     $(AM_V_at)$(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_rl78_TRUE@rl78/modules.o: rl78/modules.c
 
-@SIM_ENABLE_ARCH_rl78_TRUE@rl78/%.o: rl78/%.c | rl78/libsim.a $(SIM_ALL_RECURSIVE_DEPS)
-@SIM_ENABLE_ARCH_rl78_TRUE@    $(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
+@SIM_ENABLE_ARCH_rl78_TRUE@rl78/%.o: common/%.c ; $(SIM_COMPILE)
+@SIM_ENABLE_ARCH_rl78_TRUE@-@am__include@ rl78/$(DEPDIR)/*.Po
+@SIM_ENABLE_ARCH_rx_TRUE@$(rx_libsim_a_OBJECTS) $(rx_libsim_a_LIBADD): rx/hw-config.h
+
+@SIM_ENABLE_ARCH_rx_TRUE@rx/modules.o: rx/modules.c
+
+@SIM_ENABLE_ARCH_rx_TRUE@rx/%.o: common/%.c ; $(SIM_COMPILE)
+@SIM_ENABLE_ARCH_rx_TRUE@-@am__include@ rx/$(DEPDIR)/*.Po
+@SIM_ENABLE_ARCH_sh_TRUE@$(sh_libsim_a_OBJECTS) $(sh_libsim_a_LIBADD): sh/hw-config.h
+
+@SIM_ENABLE_ARCH_sh_TRUE@sh/modules.o: sh/modules.c
+
+@SIM_ENABLE_ARCH_sh_TRUE@sh/%.o: common/%.c ; $(SIM_COMPILE)
+@SIM_ENABLE_ARCH_sh_TRUE@-@am__include@ sh/$(DEPDIR)/*.Po
 
-@SIM_ENABLE_ARCH_rx_TRUE@rx/%.o: rx/%.c | rx/libsim.a $(SIM_ALL_RECURSIVE_DEPS)
-@SIM_ENABLE_ARCH_rx_TRUE@      $(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
 @SIM_ENABLE_ARCH_sh_TRUE@sh/modules.c: | $(sh_BUILD_OUTPUTS)
 
 # These rules are copied from automake, but tweaked to use FOR_BUILD variables.
@@ -5277,6 +5966,13 @@ testsuite/common/bits64m63.c: testsuite/common/bits-gen$(EXEEXT) testsuite/commo
 
 @SIM_ENABLE_ARCH_sh_TRUE@sh/table.c: sh/gencode$(EXEEXT)
 @SIM_ENABLE_ARCH_sh_TRUE@      $(AM_V_GEN)$< -s >$@
+@SIM_ENABLE_ARCH_v850_TRUE@$(v850_libsim_a_OBJECTS) $(v850_libsim_a_LIBADD): v850/hw-config.h
+
+@SIM_ENABLE_ARCH_v850_TRUE@v850/modules.o: v850/modules.c
+
+@SIM_ENABLE_ARCH_v850_TRUE@v850/%.o: common/%.c ; $(SIM_COMPILE)
+@SIM_ENABLE_ARCH_v850_TRUE@-@am__include@ v850/$(DEPDIR)/*.Po
+
 @SIM_ENABLE_ARCH_v850_TRUE@v850/modules.c: | $(v850_BUILD_OUTPUTS)
 
 @SIM_ENABLE_ARCH_v850_TRUE@$(v850_BUILT_SRC_FROM_IGEN): v850/stamp-igen
@@ -5305,14 +6001,6 @@ testsuite/common/bits64m63.c: testsuite/common/bits-gen$(EXEEXT) testsuite/commo
 @SIM_ENABLE_ARCH_v850_TRUE@            -n irun.c      -r  v850/irun.c
 @SIM_ENABLE_ARCH_v850_TRUE@    $(AM_V_at)touch $@
 
-%/libsim.a: | $(SIM_ALL_RECURSIVE_DEPS)
-       $(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
-
-%/nrun.o: common/nrun.c | %/libsim.a $(SIM_ALL_RECURSIVE_DEPS)
-       $(MAKE) $(AM_MAKEFLAGS) -C $(@D) $(@F)
-
-all-recursive: $(SIM_ALL_RECURSIVE_DEPS)
-
 install-data-local: installdirs $(SIM_INSTALL_DATA_LOCAL_DEPS)
        $(AM_V_at)$(MKDIR_P) $(DESTDIR)$(libdir)
        lib=`echo sim | sed '$(program_transform_name)'`; \