]> git.ipfire.org Git - thirdparty/binutils-gdb.git/blobdiff - sim/common/sim-engine.h
Update years in copyright notice for the GDB files.
[thirdparty/binutils-gdb.git] / sim / common / sim-engine.h
index 41aa51f9ed0b7e04928b86abd4c60f5c4ba99b50..331e8fa44b5ad0e176266428366972dc89bbf260 100644 (file)
@@ -1,22 +1,21 @@
 /* Generic simulator halt/resume.
-   Copyright (C) 1997, 1998 Free Software Foundation, Inc.
+   Copyright (C) 1997-2013 Free Software Foundation, Inc.
    Contributed by Cygnus Support.
 
 This file is part of GDB, the GNU debugger.
 
 This program is free software; you can redistribute it and/or modify
 it under the terms of the GNU General Public License as published by
-the Free Software Foundation; either version 2, or (at your option)
-any later version.
+the Free Software Foundation; either version 3 of the License, or
+(at your option) any later version.
 
 This program is distributed in the hope that it will be useful,
 but WITHOUT ANY WARRANTY; without even the implied warranty of
 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
 GNU General Public License for more details.
 
-You should have received a copy of the GNU General Public License along
-with this program; if not, write to the Free Software Foundation, Inc.,
-59 Temple Place - Suite 330, Boston, MA 02111-1307, USA.  */
+You should have received a copy of the GNU General Public License
+along with this program.  If not, see <http://www.gnu.org/licenses/>.  */
 
 #ifndef SIM_ENGINE_H
 #define SIM_ENGINE_H
@@ -63,7 +62,7 @@ extern void sim_engine_halt
  sim_cpu *next_cpu, /* NULL -> succ (last_cpu) or event-mgr */
  sim_cia cia,
  enum sim_stop reason,
- int sigrc);
+ int sigrc) __attribute__ ((noreturn));
 
 /* Halt hook - allow target specific operation when halting a
    simulator */
@@ -73,7 +72,7 @@ extern void sim_engine_halt
 if ((LAST_CPU) != NULL) CIA_SET (LAST_CPU, CIA)
 #endif
 
-/* NB: If a port uses the SIM_CPU_EXCEPTION_* hooks, the default 
+/* NB: If a port uses the SIM_CPU_EXCEPTION_* hooks, the default
    SIM_ENGINE_HALT_HOOK and SIM_ENGINE_RESUME_HOOK must not be used.
    They conflict in that the PC set by the HALT_HOOK may overwrite the
    proper one, as intended to be saved by the EXCEPTION_TRIGGER
@@ -116,14 +115,14 @@ extern void sim_engine_abort
  sim_cpu *cpu,
  sim_cia cia,
  const char *fmt,
- ...) __attribute__ ((format (printf, 4, 5)));
+ ...) __attribute__ ((format (printf, 4, 5))) __attribute__ ((noreturn));
 
 extern void sim_engine_vabort
 (SIM_DESC sd,
  sim_cpu *cpu,
  sim_cia cia,
  const char *fmt,
- va_list ap);
+ va_list ap) __attribute__ ((noreturn));
 
 /* No abort hook - when possible this function exits using the
    engine_halt function (and SIM_ENGINE_HALT_HOOK). */