]> git.ipfire.org Git - thirdparty/linux.git/blame - .clang-format
clang-format: Use POSIX locale for `sort`
[thirdparty/linux.git] / .clang-format
CommitLineData
d4ef8d3f
MO
1# SPDX-License-Identifier: GPL-2.0
2#
3# clang-format configuration file. Intended for clang-format >= 4.
4#
5# For more information, see:
6#
7# Documentation/process/clang-format.rst
8# https://clang.llvm.org/docs/ClangFormat.html
9# https://clang.llvm.org/docs/ClangFormatStyleOptions.html
10#
11---
12AccessModifierOffset: -4
13AlignAfterOpenBracket: Align
14AlignConsecutiveAssignments: false
15AlignConsecutiveDeclarations: false
16#AlignEscapedNewlines: Left # Unknown to clang-format-4.0
17AlignOperands: true
18AlignTrailingComments: false
19AllowAllParametersOfDeclarationOnNextLine: false
20AllowShortBlocksOnASingleLine: false
21AllowShortCaseLabelsOnASingleLine: false
22AllowShortFunctionsOnASingleLine: None
23AllowShortIfStatementsOnASingleLine: false
24AllowShortLoopsOnASingleLine: false
25AlwaysBreakAfterDefinitionReturnType: None
26AlwaysBreakAfterReturnType: None
27AlwaysBreakBeforeMultilineStrings: false
28AlwaysBreakTemplateDeclarations: false
29BinPackArguments: true
30BinPackParameters: true
31BraceWrapping:
32 AfterClass: false
33 AfterControlStatement: false
34 AfterEnum: false
35 AfterFunction: true
36 AfterNamespace: true
37 AfterObjCDeclaration: false
38 AfterStruct: false
39 AfterUnion: false
40 #AfterExternBlock: false # Unknown to clang-format-5.0
41 BeforeCatch: false
42 BeforeElse: false
43 IndentBraces: false
44 #SplitEmptyFunction: true # Unknown to clang-format-4.0
45 #SplitEmptyRecord: true # Unknown to clang-format-4.0
46 #SplitEmptyNamespace: true # Unknown to clang-format-4.0
47BreakBeforeBinaryOperators: None
48BreakBeforeBraces: Custom
49#BreakBeforeInheritanceComma: false # Unknown to clang-format-4.0
50BreakBeforeTernaryOperators: false
51BreakConstructorInitializersBeforeComma: false
52#BreakConstructorInitializers: BeforeComma # Unknown to clang-format-4.0
53BreakAfterJavaFieldAnnotations: false
54BreakStringLiterals: false
55ColumnLimit: 80
56CommentPragmas: '^ IWYU pragma:'
57#CompactNamespaces: false # Unknown to clang-format-4.0
58ConstructorInitializerAllOnOneLineOrOnePerLine: false
59ConstructorInitializerIndentWidth: 8
60ContinuationIndentWidth: 8
61Cpp11BracedListStyle: false
62DerivePointerAlignment: false
63DisableFormat: false
64ExperimentalAutoDetectBinPacking: false
65#FixNamespaceComments: false # Unknown to clang-format-4.0
66
67# Taken from:
68# git grep -h '^#define [^[:space:]]*for_each[^[:space:]]*(' include/ \
69# | sed "s,^#define \([^[:space:]]*for_each[^[:space:]]*\)(.*$, - '\1'," \
43120879 70# | LC_ALL=C sort | uniq
d4ef8d3f 71ForEachMacros:
43120879
MO
72 - '__ata_qc_for_each'
73 - '__bio_for_each_bvec'
74 - '__bio_for_each_segment'
75 - '__for_each_mem_range'
76 - '__for_each_mem_range_rev'
77 - '__for_each_thread'
78 - '__hlist_for_each_rcu'
79 - '__rq_for_each_bio'
80 - '__shost_for_each_device'
d4ef8d3f
MO
81 - 'apei_estatus_for_each_section'
82 - 'ata_for_each_dev'
83 - 'ata_for_each_link'
99e309b6
JG
84 - 'ata_qc_for_each'
85 - 'ata_qc_for_each_raw'
86 - 'ata_qc_for_each_with_internal'
d4ef8d3f
MO
87 - 'ax25_for_each'
88 - 'ax25_uid_for_each'
f16628d6 89 - 'bio_for_each_bvec'
1072c12d 90 - 'bio_for_each_bvec_all'
88217894 91 - 'bio_for_each_folio_all'
d4ef8d3f 92 - 'bio_for_each_integrity_vec'
d4ef8d3f
MO
93 - 'bio_for_each_segment'
94 - 'bio_for_each_segment_all'
95 - 'bio_list_for_each'
96 - 'bip_for_each_vec'
d4ef8d3f
MO
97 - 'bond_for_each_slave'
98 - 'bond_for_each_slave_rcu'
99e309b6 99 - 'bpf_for_each_spilled_reg'
d4ef8d3f
MO
100 - 'btree_for_each_safe128'
101 - 'btree_for_each_safe32'
102 - 'btree_for_each_safe64'
103 - 'btree_for_each_safel'
104 - 'card_for_each_dev'
105 - 'cgroup_taskset_for_each'
106 - 'cgroup_taskset_for_each_leader'
88217894 107 - 'cpufreq_for_each_efficient_entry_idx'
d4ef8d3f
MO
108 - 'cpufreq_for_each_entry'
109 - 'cpufreq_for_each_entry_idx'
110 - 'cpufreq_for_each_valid_entry'
111 - 'cpufreq_for_each_valid_entry_idx'
112 - 'css_for_each_child'
113 - 'css_for_each_descendant_post'
114 - 'css_for_each_descendant_pre'
88217894
MO
115 - 'damon_for_each_region'
116 - 'damon_for_each_region_safe'
117 - 'damon_for_each_scheme'
118 - 'damon_for_each_scheme_safe'
119 - 'damon_for_each_target'
120 - 'damon_for_each_target_safe'
d4ef8d3f 121 - 'device_for_each_child_node'
4792f9dd 122 - 'displayid_iter_for_each'
88217894 123 - 'dma_fence_array_for_each'
52d08347 124 - 'dma_fence_chain_for_each'
88217894
MO
125 - 'dma_fence_unwrap_for_each'
126 - 'dma_resv_for_each_fence'
127 - 'dma_resv_for_each_fence_unlocked'
4e4bb894 128 - 'do_for_each_ftrace_op'
d4ef8d3f
MO
129 - 'drm_atomic_crtc_for_each_plane'
130 - 'drm_atomic_crtc_state_for_each_plane'
131 - 'drm_atomic_crtc_state_for_each_plane_state'
99e309b6 132 - 'drm_atomic_for_each_plane_damage'
52d08347
MO
133 - 'drm_client_for_each_connector_iter'
134 - 'drm_client_for_each_modeset'
99e309b6 135 - 'drm_connector_for_each_possible_encoder'
11a4a8f7 136 - 'drm_for_each_bridge_in_chain'
d4ef8d3f
MO
137 - 'drm_for_each_connector_iter'
138 - 'drm_for_each_crtc'
1074f8ec 139 - 'drm_for_each_crtc_reverse'
d4ef8d3f
MO
140 - 'drm_for_each_encoder'
141 - 'drm_for_each_encoder_mask'
142 - 'drm_for_each_fb'
143 - 'drm_for_each_legacy_plane'
144 - 'drm_for_each_plane'
145 - 'drm_for_each_plane_mask'
f16628d6 146 - 'drm_for_each_privobj'
d4ef8d3f
MO
147 - 'drm_mm_for_each_hole'
148 - 'drm_mm_for_each_node'
149 - 'drm_mm_for_each_node_in_range'
150 - 'drm_mm_for_each_node_safe'
88217894
MO
151 - 'dsa_switch_for_each_available_port'
152 - 'dsa_switch_for_each_cpu_port'
153 - 'dsa_switch_for_each_port'
154 - 'dsa_switch_for_each_port_continue_reverse'
155 - 'dsa_switch_for_each_port_safe'
156 - 'dsa_switch_for_each_user_port'
157 - 'dsa_tree_for_each_user_port'
f16628d6 158 - 'flow_action_for_each'
4792f9dd 159 - 'for_each_acpi_dev_match'
52d08347 160 - 'for_each_active_dev_scope'
d4ef8d3f
MO
161 - 'for_each_active_drhd_unit'
162 - 'for_each_active_iommu'
4e4bb894 163 - 'for_each_aggr_pgid'
d4ef8d3f
MO
164 - 'for_each_available_child_of_node'
165 - 'for_each_bio'
166 - 'for_each_board_func_rsrc'
167 - 'for_each_bvec'
11a4a8f7
MO
168 - 'for_each_card_auxs'
169 - 'for_each_card_auxs_safe'
99e309b6 170 - 'for_each_card_components'
5d65a021 171 - 'for_each_card_dapms'
11a4a8f7 172 - 'for_each_card_pre_auxs'
99e309b6
JG
173 - 'for_each_card_prelinks'
174 - 'for_each_card_rtds'
175 - 'for_each_card_rtds_safe'
5d65a021
MO
176 - 'for_each_card_widgets'
177 - 'for_each_card_widgets_safe'
99e309b6 178 - 'for_each_cgroup_storage_type'
d4ef8d3f
MO
179 - 'for_each_child_of_node'
180 - 'for_each_clear_bit'
181 - 'for_each_clear_bit_from'
88217894
MO
182 - 'for_each_clear_bitrange'
183 - 'for_each_clear_bitrange_from'
d4ef8d3f 184 - 'for_each_cmsghdr'
43120879 185 - 'for_each_comp_order'
d4ef8d3f 186 - 'for_each_compatible_node'
99e309b6
JG
187 - 'for_each_component_dais'
188 - 'for_each_component_dais_safe'
d4ef8d3f
MO
189 - 'for_each_console'
190 - 'for_each_cpu'
191 - 'for_each_cpu_and'
192 - 'for_each_cpu_not'
193 - 'for_each_cpu_wrap'
5d65a021 194 - 'for_each_dapm_widgets'
d4ef8d3f 195 - 'for_each_dev_addr'
52d08347 196 - 'for_each_dev_scope'
d4ef8d3f 197 - 'for_each_dma_cap_mask'
99e309b6
JG
198 - 'for_each_dpcm_be'
199 - 'for_each_dpcm_be_rollback'
200 - 'for_each_dpcm_be_safe'
201 - 'for_each_dpcm_fe'
d4ef8d3f
MO
202 - 'for_each_drhd_unit'
203 - 'for_each_dss_dev'
204 - 'for_each_efi_memory_desc'
205 - 'for_each_efi_memory_desc_in_map'
f16628d6
MO
206 - 'for_each_element'
207 - 'for_each_element_extid'
208 - 'for_each_element_id'
d4ef8d3f
MO
209 - 'for_each_endpoint_of_node'
210 - 'for_each_evictable_lru'
211 - 'for_each_fib6_node_rt_rcu'
212 - 'for_each_fib6_walker_rt'
52d08347
MO
213 - 'for_each_free_mem_pfn_range_in_zone'
214 - 'for_each_free_mem_pfn_range_in_zone_from'
d4ef8d3f
MO
215 - 'for_each_free_mem_range'
216 - 'for_each_free_mem_range_reverse'
217 - 'for_each_func_rsrc'
218 - 'for_each_hstate'
219 - 'for_each_if'
220 - 'for_each_iommu'
221 - 'for_each_ip_tunnel_rcu'
222 - 'for_each_irq_nr'
99e309b6 223 - 'for_each_link_codecs'
5d65a021 224 - 'for_each_link_cpus'
52d08347 225 - 'for_each_link_platforms'
d4ef8d3f
MO
226 - 'for_each_lru'
227 - 'for_each_matching_node'
228 - 'for_each_matching_node_and_match'
d4ef8d3f
MO
229 - 'for_each_mem_pfn_range'
230 - 'for_each_mem_range'
231 - 'for_each_mem_range_rev'
1074f8ec 232 - 'for_each_mem_region'
43120879 233 - 'for_each_member'
d4ef8d3f 234 - 'for_each_migratetype_order'
d4ef8d3f 235 - 'for_each_net'
11a4a8f7 236 - 'for_each_net_continue_reverse'
43120879 237 - 'for_each_net_rcu'
d4ef8d3f
MO
238 - 'for_each_netdev'
239 - 'for_each_netdev_continue'
240 - 'for_each_netdev_continue_rcu'
11a4a8f7 241 - 'for_each_netdev_continue_reverse'
d4ef8d3f
MO
242 - 'for_each_netdev_feature'
243 - 'for_each_netdev_in_bond_rcu'
244 - 'for_each_netdev_rcu'
245 - 'for_each_netdev_reverse'
246 - 'for_each_netdev_safe'
d4ef8d3f
MO
247 - 'for_each_new_connector_in_state'
248 - 'for_each_new_crtc_in_state'
f16628d6 249 - 'for_each_new_mst_mgr_in_state'
d4ef8d3f 250 - 'for_each_new_plane_in_state'
88217894 251 - 'for_each_new_plane_in_state_reverse'
d4ef8d3f
MO
252 - 'for_each_new_private_obj_in_state'
253 - 'for_each_node'
254 - 'for_each_node_by_name'
255 - 'for_each_node_by_type'
256 - 'for_each_node_mask'
257 - 'for_each_node_state'
258 - 'for_each_node_with_cpus'
259 - 'for_each_node_with_property'
4e4bb894 260 - 'for_each_nonreserved_multicast_dest_pgid'
d4ef8d3f
MO
261 - 'for_each_of_allnodes'
262 - 'for_each_of_allnodes_from'
99e309b6 263 - 'for_each_of_cpu_node'
d4ef8d3f
MO
264 - 'for_each_of_pci_range'
265 - 'for_each_old_connector_in_state'
266 - 'for_each_old_crtc_in_state'
f16628d6 267 - 'for_each_old_mst_mgr_in_state'
43120879
MO
268 - 'for_each_old_plane_in_state'
269 - 'for_each_old_private_obj_in_state'
d4ef8d3f
MO
270 - 'for_each_oldnew_connector_in_state'
271 - 'for_each_oldnew_crtc_in_state'
f16628d6 272 - 'for_each_oldnew_mst_mgr_in_state'
d4ef8d3f 273 - 'for_each_oldnew_plane_in_state'
99e309b6 274 - 'for_each_oldnew_plane_in_state_reverse'
d4ef8d3f 275 - 'for_each_oldnew_private_obj_in_state'
d4ef8d3f
MO
276 - 'for_each_online_cpu'
277 - 'for_each_online_node'
278 - 'for_each_online_pgdat'
279 - 'for_each_pci_bridge'
280 - 'for_each_pci_dev'
5d65a021 281 - 'for_each_pcm_streams'
4e4bb894 282 - 'for_each_physmem_range'
d4ef8d3f
MO
283 - 'for_each_populated_zone'
284 - 'for_each_possible_cpu'
285 - 'for_each_present_cpu'
286 - 'for_each_prime_number'
287 - 'for_each_prime_number_from'
288 - 'for_each_process'
289 - 'for_each_process_thread'
4792f9dd
MO
290 - 'for_each_prop_codec_conf'
291 - 'for_each_prop_dai_codec'
292 - 'for_each_prop_dai_cpu'
293 - 'for_each_prop_dlc_codecs'
294 - 'for_each_prop_dlc_cpus'
295 - 'for_each_prop_dlc_platforms'
d4ef8d3f 296 - 'for_each_property_of_node'
99e309b6 297 - 'for_each_registered_fb'
4e4bb894
MO
298 - 'for_each_requested_gpio'
299 - 'for_each_requested_gpio_in_range'
9f3d5eaa 300 - 'for_each_reserved_mem_range'
cc6de168 301 - 'for_each_reserved_mem_region'
5d65a021 302 - 'for_each_rtd_codec_dais'
11a4a8f7 303 - 'for_each_rtd_components'
5d65a021 304 - 'for_each_rtd_cpu_dais'
5d65a021 305 - 'for_each_rtd_dais'
d4ef8d3f
MO
306 - 'for_each_set_bit'
307 - 'for_each_set_bit_from'
88217894
MO
308 - 'for_each_set_bitrange'
309 - 'for_each_set_bitrange_from'
11a4a8f7 310 - 'for_each_set_clump8'
d4ef8d3f 311 - 'for_each_sg'
d901b276 312 - 'for_each_sg_dma_page'
d4ef8d3f 313 - 'for_each_sg_page'
4e4bb894
MO
314 - 'for_each_sgtable_dma_page'
315 - 'for_each_sgtable_dma_sg'
316 - 'for_each_sgtable_page'
317 - 'for_each_sgtable_sg'
99e309b6 318 - 'for_each_sibling_event'
f16628d6
MO
319 - 'for_each_subelement'
320 - 'for_each_subelement_extid'
321 - 'for_each_subelement_id'
d4ef8d3f 322 - 'for_each_thread'
4e4bb894 323 - 'for_each_unicast_dest_pgid'
1074f8ec 324 - 'for_each_vsi'
11a4a8f7 325 - 'for_each_wakeup_source'
d4ef8d3f
MO
326 - 'for_each_zone'
327 - 'for_each_zone_zonelist'
328 - 'for_each_zone_zonelist_nodemask'
329 - 'fwnode_for_each_available_child_node'
330 - 'fwnode_for_each_child_node'
331 - 'fwnode_graph_for_each_endpoint'
332 - 'gadget_for_each_ep'
f16628d6
MO
333 - 'genradix_for_each'
334 - 'genradix_for_each_from'
d4ef8d3f
MO
335 - 'hash_for_each'
336 - 'hash_for_each_possible'
337 - 'hash_for_each_possible_rcu'
338 - 'hash_for_each_possible_rcu_notrace'
339 - 'hash_for_each_possible_safe'
340 - 'hash_for_each_rcu'
341 - 'hash_for_each_safe'
342 - 'hctx_for_each_ctx'
343 - 'hlist_bl_for_each_entry'
344 - 'hlist_bl_for_each_entry_rcu'
345 - 'hlist_bl_for_each_entry_safe'
346 - 'hlist_for_each'
347 - 'hlist_for_each_entry'
348 - 'hlist_for_each_entry_continue'
349 - 'hlist_for_each_entry_continue_rcu'
350 - 'hlist_for_each_entry_continue_rcu_bh'
351 - 'hlist_for_each_entry_from'
352 - 'hlist_for_each_entry_from_rcu'
353 - 'hlist_for_each_entry_rcu'
354 - 'hlist_for_each_entry_rcu_bh'
355 - 'hlist_for_each_entry_rcu_notrace'
356 - 'hlist_for_each_entry_safe'
1074f8ec 357 - 'hlist_for_each_entry_srcu'
d4ef8d3f
MO
358 - 'hlist_for_each_safe'
359 - 'hlist_nulls_for_each_entry'
360 - 'hlist_nulls_for_each_entry_from'
361 - 'hlist_nulls_for_each_entry_rcu'
362 - 'hlist_nulls_for_each_entry_safe'
99e309b6
JG
363 - 'i3c_bus_for_each_i2cdev'
364 - 'i3c_bus_for_each_i3cdev'
d4ef8d3f
MO
365 - 'idr_for_each_entry'
366 - 'idr_for_each_entry_continue'
52d08347 367 - 'idr_for_each_entry_continue_ul'
d4ef8d3f 368 - 'idr_for_each_entry_ul'
52d08347
MO
369 - 'in_dev_for_each_ifa_rcu'
370 - 'in_dev_for_each_ifa_rtnl'
d4ef8d3f 371 - 'inet_bind_bucket_for_each'
88217894
MO
372 - 'inet_lhash2_for_each_icsk'
373 - 'inet_lhash2_for_each_icsk_continue'
d4ef8d3f 374 - 'inet_lhash2_for_each_icsk_rcu'
d4ef8d3f
MO
375 - 'key_for_each'
376 - 'key_for_each_safe'
377 - 'klp_for_each_func'
f16628d6
MO
378 - 'klp_for_each_func_safe'
379 - 'klp_for_each_func_static'
d4ef8d3f 380 - 'klp_for_each_object'
f16628d6
MO
381 - 'klp_for_each_object_safe'
382 - 'klp_for_each_object_static'
5d65a021 383 - 'kunit_suite_for_each_test_case'
d4ef8d3f 384 - 'kvm_for_each_memslot'
88217894 385 - 'kvm_for_each_memslot_in_gfn_range'
d4ef8d3f
MO
386 - 'kvm_for_each_vcpu'
387 - 'list_for_each'
99e309b6
JG
388 - 'list_for_each_codec'
389 - 'list_for_each_codec_safe'
11a4a8f7 390 - 'list_for_each_continue'
d4ef8d3f
MO
391 - 'list_for_each_entry'
392 - 'list_for_each_entry_continue'
393 - 'list_for_each_entry_continue_rcu'
394 - 'list_for_each_entry_continue_reverse'
395 - 'list_for_each_entry_from'
99e309b6 396 - 'list_for_each_entry_from_rcu'
d4ef8d3f
MO
397 - 'list_for_each_entry_from_reverse'
398 - 'list_for_each_entry_lockless'
399 - 'list_for_each_entry_rcu'
400 - 'list_for_each_entry_reverse'
401 - 'list_for_each_entry_safe'
402 - 'list_for_each_entry_safe_continue'
403 - 'list_for_each_entry_safe_from'
404 - 'list_for_each_entry_safe_reverse'
1074f8ec 405 - 'list_for_each_entry_srcu'
d4ef8d3f
MO
406 - 'list_for_each_prev'
407 - 'list_for_each_prev_safe'
408 - 'list_for_each_safe'
409 - 'llist_for_each'
410 - 'llist_for_each_entry'
411 - 'llist_for_each_entry_safe'
412 - 'llist_for_each_safe'
11a4a8f7 413 - 'mci_for_each_dimm'
d4ef8d3f
MO
414 - 'media_device_for_each_entity'
415 - 'media_device_for_each_intf'
416 - 'media_device_for_each_link'
417 - 'media_device_for_each_pad'
88217894 418 - 'msi_for_each_desc'
99e309b6 419 - 'nanddev_io_for_each_page'
d4ef8d3f
MO
420 - 'netdev_for_each_lower_dev'
421 - 'netdev_for_each_lower_private'
422 - 'netdev_for_each_lower_private_rcu'
423 - 'netdev_for_each_mc_addr'
424 - 'netdev_for_each_uc_addr'
425 - 'netdev_for_each_upper_dev_rcu'
426 - 'netdev_hw_addr_list_for_each'
427 - 'nft_rule_for_each_expr'
428 - 'nla_for_each_attr'
429 - 'nla_for_each_nested'
430 - 'nlmsg_for_each_attr'
431 - 'nlmsg_for_each_msg'
432 - 'nr_neigh_for_each'
433 - 'nr_neigh_for_each_safe'
434 - 'nr_node_for_each'
435 - 'nr_node_for_each_safe'
436 - 'of_for_each_phandle'
437 - 'of_property_for_each_string'
438 - 'of_property_for_each_u32'
439 - 'pci_bus_for_each_resource'
1074f8ec
MO
440 - 'pcl_for_each_chunk'
441 - 'pcl_for_each_segment'
5d65a021 442 - 'pcm_for_each_format'
d4ef8d3f
MO
443 - 'ping_portaddr_for_each_entry'
444 - 'plist_for_each'
445 - 'plist_for_each_continue'
446 - 'plist_for_each_entry'
447 - 'plist_for_each_entry_continue'
448 - 'plist_for_each_entry_safe'
449 - 'plist_for_each_safe'
450 - 'pnp_for_each_card'
451 - 'pnp_for_each_dev'
452 - 'protocol_for_each_card'
453 - 'protocol_for_each_dev'
454 - 'queue_for_each_hw_ctx'
d4ef8d3f
MO
455 - 'radix_tree_for_each_slot'
456 - 'radix_tree_for_each_tagged'
4792f9dd 457 - 'rb_for_each'
d4ef8d3f 458 - 'rbtree_postorder_for_each_entry_safe'
52d08347 459 - 'rdma_for_each_block'
ea1075ed 460 - 'rdma_for_each_port'
ebc24096 461 - 'rdma_umem_for_each_dma_block'
d4ef8d3f
MO
462 - 'resource_list_for_each_entry'
463 - 'resource_list_for_each_entry_safe'
464 - 'rhl_for_each_entry_rcu'
465 - 'rhl_for_each_rcu'
466 - 'rht_for_each'
d4ef8d3f 467 - 'rht_for_each_entry'
f7ad68bf 468 - 'rht_for_each_entry_from'
d4ef8d3f 469 - 'rht_for_each_entry_rcu'
f7ad68bf 470 - 'rht_for_each_entry_rcu_from'
d4ef8d3f 471 - 'rht_for_each_entry_safe'
52d08347 472 - 'rht_for_each_from'
d4ef8d3f 473 - 'rht_for_each_rcu'
f7ad68bf 474 - 'rht_for_each_rcu_from'
f16628d6 475 - 'rq_for_each_bvec'
d4ef8d3f 476 - 'rq_for_each_segment'
88217894
MO
477 - 'rq_list_for_each'
478 - 'rq_list_for_each_safe'
d4ef8d3f
MO
479 - 'scsi_for_each_prot_sg'
480 - 'scsi_for_each_sg'
481 - 'sctp_for_each_hentry'
482 - 'sctp_skb_for_each'
483 - 'shdma_for_each_chan'
d4ef8d3f
MO
484 - 'shost_for_each_device'
485 - 'sk_for_each'
486 - 'sk_for_each_bound'
487 - 'sk_for_each_entry_offset_rcu'
488 - 'sk_for_each_from'
489 - 'sk_for_each_rcu'
490 - 'sk_for_each_safe'
491 - 'sk_nulls_for_each'
492 - 'sk_nulls_for_each_from'
493 - 'sk_nulls_for_each_rcu'
99e309b6 494 - 'snd_array_for_each'
d4ef8d3f
MO
495 - 'snd_pcm_group_for_each_entry'
496 - 'snd_soc_dapm_widget_for_each_path'
497 - 'snd_soc_dapm_widget_for_each_path_safe'
498 - 'snd_soc_dapm_widget_for_each_sink_path'
499 - 'snd_soc_dapm_widget_for_each_source_path'
500 - 'tb_property_for_each'
88217894 501 - 'tcf_act_for_each_action'
99e309b6 502 - 'tcf_exts_for_each_action'
d4ef8d3f
MO
503 - 'udp_portaddr_for_each_entry'
504 - 'udp_portaddr_for_each_entry_rcu'
505 - 'usb_hub_for_each_child'
506 - 'v4l2_device_for_each_subdev'
507 - 'v4l2_m2m_for_each_dst_buf'
508 - 'v4l2_m2m_for_each_dst_buf_safe'
509 - 'v4l2_m2m_for_each_src_buf'
510 - 'v4l2_m2m_for_each_src_buf_safe'
99e309b6 511 - 'virtio_device_for_each_vq'
4e4bb894 512 - 'while_for_each_ftrace_op'
99e309b6 513 - 'xa_for_each'
f16628d6 514 - 'xa_for_each_marked'
11a4a8f7 515 - 'xa_for_each_range'
f16628d6 516 - 'xa_for_each_start'
99e309b6
JG
517 - 'xas_for_each'
518 - 'xas_for_each_conflict'
519 - 'xas_for_each_marked'
11a4a8f7
MO
520 - 'xbc_array_for_each_value'
521 - 'xbc_for_each_key_value'
522 - 'xbc_node_for_each_array_value'
523 - 'xbc_node_for_each_child'
524 - 'xbc_node_for_each_key_value'
88217894 525 - 'xbc_node_for_each_subkey'
d4ef8d3f
MO
526 - 'zorro_for_each_dev'
527
528#IncludeBlocks: Preserve # Unknown to clang-format-5.0
529IncludeCategories:
530 - Regex: '.*'
531 Priority: 1
532IncludeIsMainRegex: '(Test)?$'
533IndentCaseLabels: false
534#IndentPPDirectives: None # Unknown to clang-format-5.0
535IndentWidth: 8
7bee9bd2 536IndentWrappedFunctionNames: false
d4ef8d3f
MO
537JavaScriptQuotes: Leave
538JavaScriptWrapImports: true
539KeepEmptyLinesAtTheStartOfBlocks: false
540MacroBlockBegin: ''
541MacroBlockEnd: ''
542MaxEmptyLinesToKeep: 1
c90f3b8c 543NamespaceIndentation: None
d4ef8d3f
MO
544#ObjCBinPackProtocolList: Auto # Unknown to clang-format-5.0
545ObjCBlockIndentWidth: 8
546ObjCSpaceAfterProperty: true
547ObjCSpaceBeforeProtocolList: true
548
549# Taken from git's rules
550#PenaltyBreakAssignment: 10 # Unknown to clang-format-4.0
551PenaltyBreakBeforeFirstCallParameter: 30
552PenaltyBreakComment: 10
553PenaltyBreakFirstLessLess: 0
554PenaltyBreakString: 10
555PenaltyExcessCharacter: 100
556PenaltyReturnTypeOnItsOwnLine: 60
557
558PointerAlignment: Right
559ReflowComments: false
560SortIncludes: false
561#SortUsingDeclarations: false # Unknown to clang-format-4.0
562SpaceAfterCStyleCast: false
563SpaceAfterTemplateKeyword: true
564SpaceBeforeAssignmentOperators: true
565#SpaceBeforeCtorInitializerColon: true # Unknown to clang-format-5.0
566#SpaceBeforeInheritanceColon: true # Unknown to clang-format-5.0
567SpaceBeforeParens: ControlStatements
568#SpaceBeforeRangeBasedForLoopColon: true # Unknown to clang-format-5.0
569SpaceInEmptyParentheses: false
570SpacesBeforeTrailingComments: 1
571SpacesInAngles: false
572SpacesInContainerLiterals: false
573SpacesInCStyleCastParentheses: false
574SpacesInParentheses: false
575SpacesInSquareBrackets: false
576Standard: Cpp03
577TabWidth: 8
578UseTab: Always
579...