]> git.ipfire.org Git - thirdparty/gcc.git/blame - libgfortran/Makefile.in
libstdc++: Add missing constexpr to __atomic_impl::__clear_padding
[thirdparty/gcc.git] / libgfortran / Makefile.in
CommitLineData
22e05272 1# Makefile.in generated by automake 1.15.1 from Makefile.am.
6de9cd9a
DN
2# @configure_input@
3
22e05272
JM
4# Copyright (C) 1994-2017 Free Software Foundation, Inc.
5
6de9cd9a
DN
6# This Makefile.in is free software; the Free Software Foundation
7# gives unlimited permission to copy and/or distribute it,
8# with or without modifications, as long as this notice is preserved.
9
10# This program is distributed in the hope that it will be useful,
11# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
12# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
13# PARTICULAR PURPOSE.
14
15@SET_MAKE@
16
1ec601bf 17
8b198102 18
6de9cd9a 19VPATH = @srcdir@
22e05272
JM
20am__is_gnu_make = { \
21 if test -z '$(MAKELEVEL)'; then \
22 false; \
23 elif test -n '$(MAKE_HOST)'; then \
24 true; \
25 elif test -n '$(MAKE_VERSION)' && test -n '$(CURDIR)'; then \
26 true; \
27 else \
28 false; \
29 fi; \
30}
31am__make_running_with_option = \
32 case $${target_option-} in \
33 ?) ;; \
34 *) echo "am__make_running_with_option: internal error: invalid" \
35 "target option '$${target_option-}' specified" >&2; \
36 exit 1;; \
37 esac; \
38 has_opt=no; \
39 sane_makeflags=$$MAKEFLAGS; \
40 if $(am__is_gnu_make); then \
41 sane_makeflags=$$MFLAGS; \
42 else \
6c023041
JB
43 case $$MAKEFLAGS in \
44 *\\[\ \ ]*) \
22e05272
JM
45 bs=\\; \
46 sane_makeflags=`printf '%s\n' "$$MAKEFLAGS" \
47 | sed "s/$$bs$$bs[$$bs $$bs ]*//g"`;; \
6c023041 48 esac; \
22e05272
JM
49 fi; \
50 skip_next=no; \
51 strip_trailopt () \
52 { \
53 flg=`printf '%s\n' "$$flg" | sed "s/$$1.*$$//"`; \
54 }; \
55 for flg in $$sane_makeflags; do \
56 test $$skip_next = yes && { skip_next=no; continue; }; \
57 case $$flg in \
58 *=*|--*) continue;; \
59 -*I) strip_trailopt 'I'; skip_next=yes;; \
60 -*I?*) strip_trailopt 'I';; \
61 -*O) strip_trailopt 'O'; skip_next=yes;; \
62 -*O?*) strip_trailopt 'O';; \
63 -*l) strip_trailopt 'l'; skip_next=yes;; \
64 -*l?*) strip_trailopt 'l';; \
65 -[dEDm]) skip_next=yes;; \
66 -[JT]) skip_next=yes;; \
67 esac; \
68 case $$flg in \
69 *$$target_option*) has_opt=yes; break;; \
70 esac; \
71 done; \
72 test $$has_opt = yes
73am__make_dryrun = (target_option=n; $(am__make_running_with_option))
74am__make_keepgoing = (target_option=k; $(am__make_running_with_option))
6de9cd9a 75pkgdatadir = $(datadir)/@PACKAGE@
6de9cd9a 76pkgincludedir = $(includedir)/@PACKAGE@
5213506e
RW
77pkglibdir = $(libdir)/@PACKAGE@
78pkglibexecdir = $(libexecdir)/@PACKAGE@
6de9cd9a 79am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
6de9cd9a
DN
80install_sh_DATA = $(install_sh) -c -m 644
81install_sh_PROGRAM = $(install_sh) -c
82install_sh_SCRIPT = $(install_sh) -c
83INSTALL_HEADER = $(INSTALL_DATA)
84transform = $(program_transform_name)
85NORMAL_INSTALL = :
86PRE_INSTALL = :
87POST_INSTALL = :
88NORMAL_UNINSTALL = :
89PRE_UNINSTALL = :
90POST_UNINSTALL = :
96b8a615 91build_triplet = @build@
6de9cd9a 92host_triplet = @host@
96b8a615 93target_triplet = @target@
6a6d3817
IS
94@ENABLE_DARWIN_AT_RPATH_TRUE@am__append_1 = -Wc,-nodefaultrpaths \
95@ENABLE_DARWIN_AT_RPATH_TRUE@ -Wl,-rpath,@loader_path
96@LIBGFOR_MINIMAL_TRUE@am__append_2 = -DLIBGFOR_MINIMAL
97@LIBGFOR_MINIMAL_FALSE@am__append_3 = \
ee95f928
BS
98@LIBGFOR_MINIMAL_FALSE@io/close.c \
99@LIBGFOR_MINIMAL_FALSE@io/file_pos.c \
100@LIBGFOR_MINIMAL_FALSE@io/format.c \
101@LIBGFOR_MINIMAL_FALSE@io/inquire.c \
102@LIBGFOR_MINIMAL_FALSE@io/intrinsics.c \
103@LIBGFOR_MINIMAL_FALSE@io/list_read.c \
104@LIBGFOR_MINIMAL_FALSE@io/lock.c \
105@LIBGFOR_MINIMAL_FALSE@io/open.c \
106@LIBGFOR_MINIMAL_FALSE@io/read.c \
107@LIBGFOR_MINIMAL_FALSE@io/transfer.c \
108@LIBGFOR_MINIMAL_FALSE@io/transfer128.c \
109@LIBGFOR_MINIMAL_FALSE@io/unit.c \
110@LIBGFOR_MINIMAL_FALSE@io/unix.c \
111@LIBGFOR_MINIMAL_FALSE@io/write.c \
2b4c9065
NK
112@LIBGFOR_MINIMAL_FALSE@io/fbuf.c \
113@LIBGFOR_MINIMAL_FALSE@io/async.c
ee95f928 114
6a6d3817 115@LIBGFOR_MINIMAL_FALSE@am__append_4 = \
ee95f928
BS
116@LIBGFOR_MINIMAL_FALSE@intrinsics/access.c \
117@LIBGFOR_MINIMAL_FALSE@intrinsics/c99_functions.c \
118@LIBGFOR_MINIMAL_FALSE@intrinsics/chdir.c \
119@LIBGFOR_MINIMAL_FALSE@intrinsics/chmod.c \
120@LIBGFOR_MINIMAL_FALSE@intrinsics/clock.c \
121@LIBGFOR_MINIMAL_FALSE@intrinsics/cpu_time.c \
122@LIBGFOR_MINIMAL_FALSE@intrinsics/ctime.c \
123@LIBGFOR_MINIMAL_FALSE@intrinsics/date_and_time.c \
124@LIBGFOR_MINIMAL_FALSE@intrinsics/dtime.c \
125@LIBGFOR_MINIMAL_FALSE@intrinsics/env.c \
126@LIBGFOR_MINIMAL_FALSE@intrinsics/etime.c \
127@LIBGFOR_MINIMAL_FALSE@intrinsics/execute_command_line.c \
128@LIBGFOR_MINIMAL_FALSE@intrinsics/exit.c \
129@LIBGFOR_MINIMAL_FALSE@intrinsics/gerror.c \
130@LIBGFOR_MINIMAL_FALSE@intrinsics/getcwd.c \
131@LIBGFOR_MINIMAL_FALSE@intrinsics/getlog.c \
132@LIBGFOR_MINIMAL_FALSE@intrinsics/getXid.c \
133@LIBGFOR_MINIMAL_FALSE@intrinsics/hostnm.c \
134@LIBGFOR_MINIMAL_FALSE@intrinsics/kill.c \
135@LIBGFOR_MINIMAL_FALSE@intrinsics/link.c \
136@LIBGFOR_MINIMAL_FALSE@intrinsics/perror.c \
137@LIBGFOR_MINIMAL_FALSE@intrinsics/signal.c \
138@LIBGFOR_MINIMAL_FALSE@intrinsics/sleep.c \
139@LIBGFOR_MINIMAL_FALSE@intrinsics/system.c \
140@LIBGFOR_MINIMAL_FALSE@intrinsics/rename.c \
141@LIBGFOR_MINIMAL_FALSE@intrinsics/stat.c \
142@LIBGFOR_MINIMAL_FALSE@intrinsics/symlnk.c \
143@LIBGFOR_MINIMAL_FALSE@intrinsics/system_clock.c \
144@LIBGFOR_MINIMAL_FALSE@intrinsics/time.c \
145@LIBGFOR_MINIMAL_FALSE@intrinsics/umask.c \
146@LIBGFOR_MINIMAL_FALSE@intrinsics/unlink.c
147
6a6d3817
IS
148@IEEE_SUPPORT_TRUE@am__append_5 = ieee/ieee_helper.c
149@LIBGFOR_MINIMAL_TRUE@am__append_6 = runtime/minimal.c
150@LIBGFOR_MINIMAL_FALSE@am__append_7 = \
ee95f928
BS
151@LIBGFOR_MINIMAL_FALSE@runtime/backtrace.c \
152@LIBGFOR_MINIMAL_FALSE@runtime/convert_char.c \
153@LIBGFOR_MINIMAL_FALSE@runtime/environ.c \
154@LIBGFOR_MINIMAL_FALSE@runtime/error.c \
155@LIBGFOR_MINIMAL_FALSE@runtime/fpu.c \
156@LIBGFOR_MINIMAL_FALSE@runtime/main.c \
157@LIBGFOR_MINIMAL_FALSE@runtime/pause.c \
158@LIBGFOR_MINIMAL_FALSE@runtime/stop.c
159
5213506e 160subdir = .
6de9cd9a 161ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
029c8f3e 162am__aclocal_m4_deps = $(top_srcdir)/../config/depstand.m4 \
66dbcf2d 163 $(top_srcdir)/../config/hwcaps.m4 \
679c0f9a 164 $(top_srcdir)/../config/lead-dot.m4 \
7de6ba7a 165 $(top_srcdir)/../config/lthostflags.m4 \
9f9ef303 166 $(top_srcdir)/../config/multi.m4 \
6d26724a 167 $(top_srcdir)/../config/override.m4 \
029c8f3e 168 $(top_srcdir)/../config/stdint.m4 \
e8e66971 169 $(top_srcdir)/../config/toolexeclibdir.m4 \
029c8f3e
RW
170 $(top_srcdir)/../ltoptions.m4 $(top_srcdir)/../ltsugar.m4 \
171 $(top_srcdir)/../ltversion.m4 $(top_srcdir)/../lt~obsolete.m4 \
172 $(top_srcdir)/acinclude.m4 $(top_srcdir)/../config/acx.m4 \
891c05b1 173 $(top_srcdir)/../config/no-executables.m4 \
fd991039
TK
174 $(top_srcdir)/../config/math.m4 \
175 $(top_srcdir)/../config/ax_check_define.m4 \
26724ef9
IT
176 $(top_srcdir)/../config/enable.m4 \
177 $(top_srcdir)/../config/cet.m4 $(top_srcdir)/../libtool.m4 \
178 $(top_srcdir)/configure.ac
6de9cd9a
DN
179am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
180 $(ACLOCAL_M4)
22e05272 181DIST_COMMON = $(srcdir)/Makefile.am $(top_srcdir)/configure \
bbf18dc5 182 $(am__configure_deps) $(gfor_c_HEADERS)
6de9cd9a 183am__CONFIG_DISTCLEAN_FILES = config.status config.cache config.log \
5213506e 184 configure.lineno config.status.lineno
6de9cd9a
DN
185mkinstalldirs = $(SHELL) $(top_srcdir)/../mkinstalldirs
186CONFIG_HEADER = config.h
1ec601bf 187CONFIG_CLEAN_FILES = libgfortran.spec
5213506e 188CONFIG_CLEAN_VPATH_FILES =
d49ec2d1
KC
189am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
190am__vpath_adj = case $$p in \
191 $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
192 *) f=$$p;; \
193 esac;
5213506e
RW
194am__strip_dir = f=`echo $$p | sed -e 's|^.*/||'`;
195am__install_max = 40
196am__nobase_strip_setup = \
197 srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*|]/\\\\&/g'`
198am__nobase_strip = \
199 for p in $$list; do echo "$$p"; done | sed -e "s|$$srcdirstrip/||"
200am__nobase_list = $(am__nobase_strip_setup); \
201 for p in $$list; do echo "$$p $$p"; done | \
202 sed "s| $$srcdirstrip/| |;"' / .*\//!s/ .*/ ./; s,\( .*\)/[^/]*$$,\1,' | \
203 $(AWK) 'BEGIN { files["."] = "" } { files[$$2] = files[$$2] " " $$1; \
204 if (++n[$$2] == $(am__install_max)) \
205 { print $$2, files[$$2]; n[$$2] = 0; files[$$2] = "" } } \
206 END { for (dir in files) print dir, files[dir] }'
207am__base_list = \
208 sed '$$!N;$$!N;$$!N;$$!N;$$!N;$$!N;$$!N;s/\n/ /g' | \
209 sed '$$!N;$$!N;$$!N;$$!N;s/\n/ /g'
c20fdb91
JB
210am__uninstall_files_from_dir = { \
211 test -z "$$files" \
212 || { test ! -d "$$dir" && test ! -f "$$dir" && test ! -r "$$dir"; } \
213 || { echo " ( cd '$$dir' && rm -f" $$files ")"; \
214 $(am__cd) "$$dir" && rm -f $$files; }; \
215 }
7e3d293f 216am__installdirs = "$(DESTDIR)$(cafexeclibdir)" \
43cbef95 217 "$(DESTDIR)$(toolexeclibdir)" "$(DESTDIR)$(toolexeclibdir)" \
bbf18dc5 218 "$(DESTDIR)$(gfor_cdir)" "$(DESTDIR)$(fincludedir)"
43cbef95 219LTLIBRARIES = $(cafexeclib_LTLIBRARIES) $(toolexeclib_LTLIBRARIES)
7e3d293f 220libcaf_single_la_LIBADD =
affd24bf
FXC
221am__dirstamp = $(am__leading_dot)dirstamp
222am_libcaf_single_la_OBJECTS = caf/single.lo
7e3d293f 223libcaf_single_la_OBJECTS = $(am_libcaf_single_la_OBJECTS)
fd9b5249 224libgfortran_la_LIBADD =
affd24bf
FXC
225@LIBGFOR_MINIMAL_TRUE@am__objects_1 = runtime/minimal.lo
226@LIBGFOR_MINIMAL_FALSE@am__objects_2 = runtime/backtrace.lo \
227@LIBGFOR_MINIMAL_FALSE@ runtime/convert_char.lo \
228@LIBGFOR_MINIMAL_FALSE@ runtime/environ.lo runtime/error.lo \
229@LIBGFOR_MINIMAL_FALSE@ runtime/fpu.lo runtime/main.lo \
230@LIBGFOR_MINIMAL_FALSE@ runtime/pause.lo runtime/stop.lo
231am__objects_3 = runtime/bounds.lo runtime/compile_options.lo \
232 runtime/memory.lo runtime/string.lo runtime/select.lo \
233 $(am__objects_1) $(am__objects_2)
234am__objects_4 = generated/all_l1.lo generated/all_l2.lo \
235 generated/all_l4.lo generated/all_l8.lo generated/all_l16.lo
236am__objects_5 = generated/any_l1.lo generated/any_l2.lo \
237 generated/any_l4.lo generated/any_l8.lo generated/any_l16.lo
238am__objects_6 = generated/count_1_l.lo generated/count_2_l.lo \
239 generated/count_4_l.lo generated/count_8_l.lo \
240 generated/count_16_l.lo
241am__objects_7 = generated/maxloc0_4_i1.lo generated/maxloc0_8_i1.lo \
242 generated/maxloc0_16_i1.lo generated/maxloc0_4_i2.lo \
243 generated/maxloc0_8_i2.lo generated/maxloc0_16_i2.lo \
244 generated/maxloc0_4_i4.lo generated/maxloc0_8_i4.lo \
245 generated/maxloc0_16_i4.lo generated/maxloc0_4_i8.lo \
246 generated/maxloc0_8_i8.lo generated/maxloc0_16_i8.lo \
247 generated/maxloc0_4_i16.lo generated/maxloc0_8_i16.lo \
248 generated/maxloc0_16_i16.lo generated/maxloc0_4_r4.lo \
249 generated/maxloc0_8_r4.lo generated/maxloc0_16_r4.lo \
250 generated/maxloc0_4_r8.lo generated/maxloc0_8_r8.lo \
251 generated/maxloc0_16_r8.lo generated/maxloc0_4_r10.lo \
252 generated/maxloc0_8_r10.lo generated/maxloc0_16_r10.lo \
253 generated/maxloc0_4_r16.lo generated/maxloc0_8_r16.lo \
254 generated/maxloc0_16_r16.lo generated/maxloc0_4_r17.lo \
255 generated/maxloc0_8_r17.lo generated/maxloc0_16_r17.lo
256am__objects_8 = generated/maxloc1_4_i1.lo generated/maxloc1_8_i1.lo \
257 generated/maxloc1_16_i1.lo generated/maxloc1_4_i2.lo \
258 generated/maxloc1_8_i2.lo generated/maxloc1_16_i2.lo \
259 generated/maxloc1_4_i4.lo generated/maxloc1_8_i4.lo \
260 generated/maxloc1_16_i4.lo generated/maxloc1_4_i8.lo \
261 generated/maxloc1_8_i8.lo generated/maxloc1_16_i8.lo \
262 generated/maxloc1_4_i16.lo generated/maxloc1_8_i16.lo \
263 generated/maxloc1_16_i16.lo generated/maxloc1_4_r4.lo \
264 generated/maxloc1_8_r4.lo generated/maxloc1_16_r4.lo \
265 generated/maxloc1_4_r8.lo generated/maxloc1_8_r8.lo \
266 generated/maxloc1_16_r8.lo generated/maxloc1_4_r10.lo \
267 generated/maxloc1_8_r10.lo generated/maxloc1_16_r10.lo \
268 generated/maxloc1_4_r16.lo generated/maxloc1_8_r16.lo \
269 generated/maxloc1_16_r16.lo generated/maxloc1_4_r17.lo \
270 generated/maxloc1_8_r17.lo generated/maxloc1_16_r17.lo
271am__objects_9 = generated/maxval_i1.lo generated/maxval_i2.lo \
272 generated/maxval_i4.lo generated/maxval_i8.lo \
273 generated/maxval_i16.lo generated/maxval_r4.lo \
274 generated/maxval_r8.lo generated/maxval_r10.lo \
275 generated/maxval_r16.lo generated/maxval_r17.lo
276am__objects_10 = generated/minloc0_4_i1.lo generated/minloc0_8_i1.lo \
277 generated/minloc0_16_i1.lo generated/minloc0_4_i2.lo \
278 generated/minloc0_8_i2.lo generated/minloc0_16_i2.lo \
279 generated/minloc0_4_i4.lo generated/minloc0_8_i4.lo \
280 generated/minloc0_16_i4.lo generated/minloc0_4_i8.lo \
281 generated/minloc0_8_i8.lo generated/minloc0_16_i8.lo \
282 generated/minloc0_4_i16.lo generated/minloc0_8_i16.lo \
283 generated/minloc0_16_i16.lo generated/minloc0_4_r4.lo \
284 generated/minloc0_8_r4.lo generated/minloc0_16_r4.lo \
285 generated/minloc0_4_r8.lo generated/minloc0_8_r8.lo \
286 generated/minloc0_16_r8.lo generated/minloc0_4_r10.lo \
287 generated/minloc0_8_r10.lo generated/minloc0_16_r10.lo \
288 generated/minloc0_4_r16.lo generated/minloc0_8_r16.lo \
289 generated/minloc0_16_r16.lo generated/minloc0_4_r17.lo \
290 generated/minloc0_8_r17.lo generated/minloc0_16_r17.lo
291am__objects_11 = generated/minloc1_4_i1.lo generated/minloc1_8_i1.lo \
292 generated/minloc1_16_i1.lo generated/minloc1_4_i2.lo \
293 generated/minloc1_8_i2.lo generated/minloc1_16_i2.lo \
294 generated/minloc1_4_i4.lo generated/minloc1_8_i4.lo \
295 generated/minloc1_16_i4.lo generated/minloc1_4_i8.lo \
296 generated/minloc1_8_i8.lo generated/minloc1_16_i8.lo \
297 generated/minloc1_4_i16.lo generated/minloc1_8_i16.lo \
298 generated/minloc1_16_i16.lo generated/minloc1_4_r4.lo \
299 generated/minloc1_8_r4.lo generated/minloc1_16_r4.lo \
300 generated/minloc1_4_r8.lo generated/minloc1_8_r8.lo \
301 generated/minloc1_16_r8.lo generated/minloc1_4_r10.lo \
302 generated/minloc1_8_r10.lo generated/minloc1_16_r10.lo \
303 generated/minloc1_4_r16.lo generated/minloc1_8_r16.lo \
304 generated/minloc1_16_r16.lo generated/minloc1_4_r17.lo \
305 generated/minloc1_8_r17.lo generated/minloc1_16_r17.lo
306am__objects_12 = generated/minval_i1.lo generated/minval_i2.lo \
307 generated/minval_i4.lo generated/minval_i8.lo \
308 generated/minval_i16.lo generated/minval_r4.lo \
309 generated/minval_r8.lo generated/minval_r10.lo \
310 generated/minval_r16.lo generated/minval_r17.lo
311am__objects_13 = generated/product_i1.lo generated/product_i2.lo \
312 generated/product_i4.lo generated/product_i8.lo \
313 generated/product_i16.lo generated/product_r4.lo \
314 generated/product_r8.lo generated/product_r10.lo \
315 generated/product_r16.lo generated/product_r17.lo \
316 generated/product_c4.lo generated/product_c8.lo \
317 generated/product_c10.lo generated/product_c16.lo \
318 generated/product_c17.lo
319am__objects_14 = generated/sum_i1.lo generated/sum_i2.lo \
320 generated/sum_i4.lo generated/sum_i8.lo generated/sum_i16.lo \
321 generated/sum_r4.lo generated/sum_r8.lo generated/sum_r10.lo \
322 generated/sum_r16.lo generated/sum_r17.lo generated/sum_c4.lo \
323 generated/sum_c8.lo generated/sum_c10.lo generated/sum_c16.lo \
324 generated/sum_c17.lo
325am__objects_15 = generated/bessel_r4.lo generated/bessel_r8.lo \
326 generated/bessel_r10.lo generated/bessel_r16.lo \
327 generated/bessel_r17.lo
328am__objects_16 = generated/iall_i1.lo generated/iall_i2.lo \
329 generated/iall_i4.lo generated/iall_i8.lo \
330 generated/iall_i16.lo
331am__objects_17 = generated/iany_i1.lo generated/iany_i2.lo \
332 generated/iany_i4.lo generated/iany_i8.lo \
333 generated/iany_i16.lo
334am__objects_18 = generated/iparity_i1.lo generated/iparity_i2.lo \
335 generated/iparity_i4.lo generated/iparity_i8.lo \
336 generated/iparity_i16.lo
337am__objects_19 = generated/norm2_r4.lo generated/norm2_r8.lo \
338 generated/norm2_r10.lo generated/norm2_r16.lo \
339 generated/norm2_r17.lo
340am__objects_20 = generated/parity_l1.lo generated/parity_l2.lo \
341 generated/parity_l4.lo generated/parity_l8.lo \
342 generated/parity_l16.lo
343am__objects_21 = generated/matmul_i1.lo generated/matmul_i2.lo \
344 generated/matmul_i4.lo generated/matmul_i8.lo \
345 generated/matmul_i16.lo generated/matmul_r4.lo \
346 generated/matmul_r8.lo generated/matmul_r10.lo \
347 generated/matmul_r16.lo generated/matmul_r17.lo \
348 generated/matmul_c4.lo generated/matmul_c8.lo \
349 generated/matmul_c10.lo generated/matmul_c16.lo \
350 generated/matmul_c17.lo
351am__objects_22 = generated/matmul_l4.lo generated/matmul_l8.lo \
352 generated/matmul_l16.lo
353am__objects_23 = generated/shape_i1.lo generated/shape_i2.lo \
354 generated/shape_i4.lo generated/shape_i8.lo \
355 generated/shape_i16.lo
356am__objects_24 = generated/eoshift1_4.lo generated/eoshift1_8.lo \
357 generated/eoshift1_16.lo
358am__objects_25 = generated/eoshift3_4.lo generated/eoshift3_8.lo \
359 generated/eoshift3_16.lo
360am__objects_26 = generated/cshift1_4.lo generated/cshift1_8.lo \
361 generated/cshift1_16.lo
362am__objects_27 = generated/reshape_i4.lo generated/reshape_i8.lo \
363 generated/reshape_i16.lo generated/reshape_r4.lo \
364 generated/reshape_r8.lo generated/reshape_r10.lo \
365 generated/reshape_r16.lo generated/reshape_r17.lo \
366 generated/reshape_c4.lo generated/reshape_c8.lo \
367 generated/reshape_c10.lo generated/reshape_c16.lo \
368 generated/reshape_c17.lo
369am__objects_28 = generated/in_pack_i1.lo generated/in_pack_i2.lo \
370 generated/in_pack_i4.lo generated/in_pack_i8.lo \
371 generated/in_pack_i16.lo generated/in_pack_r4.lo \
372 generated/in_pack_r8.lo generated/in_pack_r10.lo \
373 generated/in_pack_r16.lo generated/in_pack_r17.lo \
374 generated/in_pack_c4.lo generated/in_pack_c8.lo \
375 generated/in_pack_c10.lo generated/in_pack_c16.lo \
376 generated/in_pack_c17.lo
377am__objects_29 = generated/in_unpack_i1.lo generated/in_unpack_i2.lo \
378 generated/in_unpack_i4.lo generated/in_unpack_i8.lo \
379 generated/in_unpack_i16.lo generated/in_unpack_r4.lo \
380 generated/in_unpack_r8.lo generated/in_unpack_r10.lo \
381 generated/in_unpack_r16.lo generated/in_unpack_r17.lo \
382 generated/in_unpack_c4.lo generated/in_unpack_c8.lo \
383 generated/in_unpack_c10.lo generated/in_unpack_c16.lo \
384 generated/in_unpack_c17.lo
385am__objects_30 = generated/pow_i4_i4.lo generated/pow_i8_i4.lo \
386 generated/pow_i16_i4.lo generated/pow_r16_i4.lo \
387 generated/pow_r17_i4.lo generated/pow_c4_i4.lo \
388 generated/pow_c8_i4.lo generated/pow_c10_i4.lo \
389 generated/pow_c16_i4.lo generated/pow_c17_i4.lo \
390 generated/pow_i4_i8.lo generated/pow_i8_i8.lo \
391 generated/pow_i16_i8.lo generated/pow_r4_i8.lo \
392 generated/pow_r8_i8.lo generated/pow_r10_i8.lo \
393 generated/pow_r16_i8.lo generated/pow_r17_i8.lo \
394 generated/pow_c4_i8.lo generated/pow_c8_i8.lo \
395 generated/pow_c10_i8.lo generated/pow_c16_i8.lo \
396 generated/pow_c17_i8.lo generated/pow_i4_i16.lo \
397 generated/pow_i8_i16.lo generated/pow_i16_i16.lo \
398 generated/pow_r4_i16.lo generated/pow_r8_i16.lo \
399 generated/pow_r10_i16.lo generated/pow_r16_i16.lo \
400 generated/pow_r17_i16.lo generated/pow_c4_i16.lo \
401 generated/pow_c8_i16.lo generated/pow_c10_i16.lo \
402 generated/pow_c16_i16.lo generated/pow_c17_i16.lo
403am__objects_31 = generated/pack_i1.lo generated/pack_i2.lo \
404 generated/pack_i4.lo generated/pack_i8.lo \
405 generated/pack_i16.lo generated/pack_r4.lo \
406 generated/pack_r8.lo generated/pack_r10.lo \
407 generated/pack_r16.lo generated/pack_r17.lo \
408 generated/pack_c4.lo generated/pack_c8.lo \
409 generated/pack_c10.lo generated/pack_c16.lo \
410 generated/pack_c17.lo
411am__objects_32 = generated/unpack_i1.lo generated/unpack_i2.lo \
412 generated/unpack_i4.lo generated/unpack_i8.lo \
413 generated/unpack_i16.lo generated/unpack_r4.lo \
414 generated/unpack_r8.lo generated/unpack_r10.lo \
415 generated/unpack_r16.lo generated/unpack_r17.lo \
416 generated/unpack_c4.lo generated/unpack_c8.lo \
417 generated/unpack_c10.lo generated/unpack_c16.lo \
418 generated/unpack_c17.lo
419am__objects_33 = generated/matmulavx128_i1.lo \
420 generated/matmulavx128_i2.lo generated/matmulavx128_i4.lo \
421 generated/matmulavx128_i8.lo generated/matmulavx128_i16.lo \
422 generated/matmulavx128_r4.lo generated/matmulavx128_r8.lo \
423 generated/matmulavx128_r10.lo generated/matmulavx128_r16.lo \
424 generated/matmulavx128_r17.lo generated/matmulavx128_c4.lo \
425 generated/matmulavx128_c8.lo generated/matmulavx128_c10.lo \
426 generated/matmulavx128_c16.lo generated/matmulavx128_c17.lo
427am__objects_34 = generated/spread_i1.lo generated/spread_i2.lo \
428 generated/spread_i4.lo generated/spread_i8.lo \
429 generated/spread_i16.lo generated/spread_r4.lo \
430 generated/spread_r8.lo generated/spread_r10.lo \
431 generated/spread_r16.lo generated/spread_r17.lo \
432 generated/spread_c4.lo generated/spread_c8.lo \
433 generated/spread_c10.lo generated/spread_c16.lo \
434 generated/spread_c17.lo
435am__objects_35 = generated/cshift0_i1.lo generated/cshift0_i2.lo \
436 generated/cshift0_i4.lo generated/cshift0_i8.lo \
437 generated/cshift0_i16.lo generated/cshift0_r4.lo \
438 generated/cshift0_r8.lo generated/cshift0_r10.lo \
439 generated/cshift0_r16.lo generated/cshift0_r17.lo \
440 generated/cshift0_c4.lo generated/cshift0_c8.lo \
441 generated/cshift0_c10.lo generated/cshift0_c16.lo \
442 generated/cshift0_c17.lo
443am__objects_36 = generated/cshift1_4_i1.lo generated/cshift1_4_i2.lo \
444 generated/cshift1_4_i4.lo generated/cshift1_4_i8.lo \
445 generated/cshift1_4_i16.lo generated/cshift1_4_r4.lo \
446 generated/cshift1_4_r8.lo generated/cshift1_4_r10.lo \
447 generated/cshift1_4_r16.lo generated/cshift1_4_r17.lo \
448 generated/cshift1_4_c4.lo generated/cshift1_4_c8.lo \
449 generated/cshift1_4_c10.lo generated/cshift1_4_c16.lo \
450 generated/cshift1_4_c17.lo generated/cshift1_8_i1.lo \
451 generated/cshift1_8_i2.lo generated/cshift1_8_i4.lo \
452 generated/cshift1_8_i8.lo generated/cshift1_8_i16.lo \
453 generated/cshift1_8_r4.lo generated/cshift1_8_r8.lo \
454 generated/cshift1_8_r10.lo generated/cshift1_8_r16.lo \
455 generated/cshift1_8_r17.lo generated/cshift1_8_c4.lo \
456 generated/cshift1_8_c8.lo generated/cshift1_8_c10.lo \
457 generated/cshift1_8_c16.lo generated/cshift1_8_c17.lo \
458 generated/cshift1_16_i1.lo generated/cshift1_16_i2.lo \
459 generated/cshift1_16_i4.lo generated/cshift1_16_i8.lo \
460 generated/cshift1_16_i16.lo generated/cshift1_16_r4.lo \
461 generated/cshift1_16_r8.lo generated/cshift1_16_r10.lo \
462 generated/cshift1_16_r16.lo generated/cshift1_16_r17.lo \
463 generated/cshift1_16_c4.lo generated/cshift1_16_c8.lo \
464 generated/cshift1_16_c10.lo generated/cshift1_16_c16.lo \
465 generated/cshift1_16_c17.lo
466am__objects_37 = generated/maxloc0_4_s1.lo generated/maxloc0_4_s4.lo \
467 generated/maxloc0_8_s1.lo generated/maxloc0_8_s4.lo \
468 generated/maxloc0_16_s1.lo generated/maxloc0_16_s4.lo
469am__objects_38 = generated/minloc0_4_s1.lo generated/minloc0_4_s4.lo \
470 generated/minloc0_8_s1.lo generated/minloc0_8_s4.lo \
471 generated/minloc0_16_s1.lo generated/minloc0_16_s4.lo
472am__objects_39 = generated/maxloc1_4_s1.lo generated/maxloc1_4_s4.lo \
473 generated/maxloc1_8_s1.lo generated/maxloc1_8_s4.lo \
474 generated/maxloc1_16_s1.lo generated/maxloc1_16_s4.lo
475am__objects_40 = generated/minloc1_4_s1.lo generated/minloc1_4_s4.lo \
476 generated/minloc1_8_s1.lo generated/minloc1_8_s4.lo \
477 generated/minloc1_16_s1.lo generated/minloc1_16_s4.lo
478am__objects_41 = generated/maxloc2_4_s1.lo generated/maxloc2_4_s4.lo \
479 generated/maxloc2_8_s1.lo generated/maxloc2_8_s4.lo \
480 generated/maxloc2_16_s1.lo generated/maxloc2_16_s4.lo
481am__objects_42 = generated/minloc2_4_s1.lo generated/minloc2_4_s4.lo \
482 generated/minloc2_8_s1.lo generated/minloc2_8_s4.lo \
483 generated/minloc2_16_s1.lo generated/minloc2_16_s4.lo
484am__objects_43 = generated/maxval0_s1.lo generated/maxval0_s4.lo
485am__objects_44 = generated/minval0_s1.lo generated/minval0_s4.lo
486am__objects_45 = generated/maxval1_s1.lo generated/maxval1_s4.lo
487am__objects_46 = generated/minval1_s1.lo generated/minval1_s4.lo
488am__objects_47 = generated/findloc0_i1.lo generated/findloc0_i2.lo \
489 generated/findloc0_i4.lo generated/findloc0_i8.lo \
490 generated/findloc0_i16.lo generated/findloc0_r4.lo \
491 generated/findloc0_r8.lo generated/findloc0_r10.lo \
492 generated/findloc0_r16.lo generated/findloc0_r17.lo \
493 generated/findloc0_c4.lo generated/findloc0_c8.lo \
494 generated/findloc0_c10.lo generated/findloc0_c16.lo \
495 generated/findloc0_c17.lo
496am__objects_48 = generated/findloc0_s1.lo generated/findloc0_s4.lo
497am__objects_49 = generated/findloc1_i1.lo generated/findloc1_i2.lo \
498 generated/findloc1_i4.lo generated/findloc1_i8.lo \
499 generated/findloc1_i16.lo generated/findloc1_r4.lo \
500 generated/findloc1_r8.lo generated/findloc1_r10.lo \
501 generated/findloc1_r16.lo generated/findloc1_r17.lo \
502 generated/findloc1_c4.lo generated/findloc1_c8.lo \
503 generated/findloc1_c10.lo generated/findloc1_c16.lo \
504 generated/findloc1_c17.lo
505am__objects_50 = generated/findloc1_s1.lo generated/findloc1_s4.lo
506am__objects_51 = generated/findloc2_s1.lo generated/findloc2_s4.lo
507am__objects_52 = runtime/ISO_Fortran_binding.lo
bbf18dc5 508am__objects_53 = $(am__objects_4) $(am__objects_5) $(am__objects_6) \
ee95f928
BS
509 $(am__objects_7) $(am__objects_8) $(am__objects_9) \
510 $(am__objects_10) $(am__objects_11) $(am__objects_12) \
511 $(am__objects_13) $(am__objects_14) $(am__objects_15) \
512 $(am__objects_16) $(am__objects_17) $(am__objects_18) \
513 $(am__objects_19) $(am__objects_20) $(am__objects_21) \
514 $(am__objects_22) $(am__objects_23) $(am__objects_24) \
515 $(am__objects_25) $(am__objects_26) $(am__objects_27) \
516 $(am__objects_28) $(am__objects_29) $(am__objects_30) \
517 $(am__objects_31) $(am__objects_32) $(am__objects_33) \
ddc9995b
TK
518 $(am__objects_34) $(am__objects_35) $(am__objects_36) \
519 $(am__objects_37) $(am__objects_38) $(am__objects_39) \
0ac74254
TK
520 $(am__objects_40) $(am__objects_41) $(am__objects_42) \
521 $(am__objects_43) $(am__objects_44) $(am__objects_45) \
01ce9e31 522 $(am__objects_46) $(am__objects_47) $(am__objects_48) \
bbf18dc5
PT
523 $(am__objects_49) $(am__objects_50) $(am__objects_51) \
524 $(am__objects_52)
affd24bf
FXC
525@LIBGFOR_MINIMAL_FALSE@am__objects_54 = io/close.lo io/file_pos.lo \
526@LIBGFOR_MINIMAL_FALSE@ io/format.lo io/inquire.lo \
527@LIBGFOR_MINIMAL_FALSE@ io/intrinsics.lo io/list_read.lo \
528@LIBGFOR_MINIMAL_FALSE@ io/lock.lo io/open.lo io/read.lo \
529@LIBGFOR_MINIMAL_FALSE@ io/transfer.lo io/transfer128.lo \
530@LIBGFOR_MINIMAL_FALSE@ io/unit.lo io/unix.lo io/write.lo \
531@LIBGFOR_MINIMAL_FALSE@ io/fbuf.lo io/async.lo
532am__objects_55 = io/size_from_kind.lo $(am__objects_54)
533@LIBGFOR_MINIMAL_FALSE@am__objects_56 = intrinsics/access.lo \
534@LIBGFOR_MINIMAL_FALSE@ intrinsics/c99_functions.lo \
535@LIBGFOR_MINIMAL_FALSE@ intrinsics/chdir.lo intrinsics/chmod.lo \
536@LIBGFOR_MINIMAL_FALSE@ intrinsics/clock.lo \
537@LIBGFOR_MINIMAL_FALSE@ intrinsics/cpu_time.lo \
538@LIBGFOR_MINIMAL_FALSE@ intrinsics/ctime.lo \
539@LIBGFOR_MINIMAL_FALSE@ intrinsics/date_and_time.lo \
540@LIBGFOR_MINIMAL_FALSE@ intrinsics/dtime.lo intrinsics/env.lo \
541@LIBGFOR_MINIMAL_FALSE@ intrinsics/etime.lo \
542@LIBGFOR_MINIMAL_FALSE@ intrinsics/execute_command_line.lo \
543@LIBGFOR_MINIMAL_FALSE@ intrinsics/exit.lo intrinsics/gerror.lo \
544@LIBGFOR_MINIMAL_FALSE@ intrinsics/getcwd.lo \
545@LIBGFOR_MINIMAL_FALSE@ intrinsics/getlog.lo \
546@LIBGFOR_MINIMAL_FALSE@ intrinsics/getXid.lo \
547@LIBGFOR_MINIMAL_FALSE@ intrinsics/hostnm.lo intrinsics/kill.lo \
548@LIBGFOR_MINIMAL_FALSE@ intrinsics/link.lo intrinsics/perror.lo \
549@LIBGFOR_MINIMAL_FALSE@ intrinsics/signal.lo \
550@LIBGFOR_MINIMAL_FALSE@ intrinsics/sleep.lo \
551@LIBGFOR_MINIMAL_FALSE@ intrinsics/system.lo \
552@LIBGFOR_MINIMAL_FALSE@ intrinsics/rename.lo intrinsics/stat.lo \
553@LIBGFOR_MINIMAL_FALSE@ intrinsics/symlnk.lo \
554@LIBGFOR_MINIMAL_FALSE@ intrinsics/system_clock.lo \
555@LIBGFOR_MINIMAL_FALSE@ intrinsics/time.lo intrinsics/umask.lo \
556@LIBGFOR_MINIMAL_FALSE@ intrinsics/unlink.lo
557@IEEE_SUPPORT_TRUE@am__objects_57 = ieee/ieee_helper.lo
558am__objects_58 = intrinsics/associated.lo intrinsics/abort.lo \
559 intrinsics/args.lo intrinsics/cshift0.lo \
560 intrinsics/eoshift0.lo intrinsics/eoshift2.lo \
561 intrinsics/erfc_scaled.lo intrinsics/extends_type_of.lo \
562 intrinsics/fnum.lo intrinsics/ierrno.lo intrinsics/ishftc.lo \
563 intrinsics/is_contiguous.lo intrinsics/mvbits.lo \
564 intrinsics/move_alloc.lo intrinsics/pack_generic.lo \
565 intrinsics/selected_char_kind.lo intrinsics/size.lo \
566 intrinsics/spread_generic.lo intrinsics/string_intrinsics.lo \
567 intrinsics/rand.lo intrinsics/random.lo \
568 intrinsics/reshape_generic.lo intrinsics/reshape_packed.lo \
569 intrinsics/selected_int_kind.lo \
570 intrinsics/selected_real_kind.lo intrinsics/trigd.lo \
571 intrinsics/unpack_generic.lo runtime/in_pack_generic.lo \
572 runtime/in_unpack_generic.lo $(am__objects_56) \
57391dda 573 $(am__objects_57)
affd24bf
FXC
574@IEEE_SUPPORT_TRUE@am__objects_59 = ieee/ieee_arithmetic.lo \
575@IEEE_SUPPORT_TRUE@ ieee/ieee_exceptions.lo \
576@IEEE_SUPPORT_TRUE@ ieee/ieee_features.lo
bbf18dc5 577am__objects_60 =
affd24bf
FXC
578am__objects_61 = generated/_abs_c4.lo generated/_abs_c8.lo \
579 generated/_abs_c10.lo generated/_abs_c16.lo \
580 generated/_abs_c17.lo generated/_abs_i4.lo \
581 generated/_abs_i8.lo generated/_abs_i16.lo \
582 generated/_abs_r4.lo generated/_abs_r8.lo \
583 generated/_abs_r10.lo generated/_abs_r16.lo \
584 generated/_abs_r17.lo generated/_aimag_c4.lo \
585 generated/_aimag_c8.lo generated/_aimag_c10.lo \
586 generated/_aimag_c16.lo generated/_aimag_c17.lo \
587 generated/_exp_r4.lo generated/_exp_r8.lo \
588 generated/_exp_r10.lo generated/_exp_r16.lo \
589 generated/_exp_r17.lo generated/_exp_c4.lo \
590 generated/_exp_c8.lo generated/_exp_c10.lo \
591 generated/_exp_c16.lo generated/_exp_c17.lo \
592 generated/_log_r4.lo generated/_log_r8.lo \
593 generated/_log_r10.lo generated/_log_r16.lo \
594 generated/_log_r17.lo generated/_log_c4.lo \
595 generated/_log_c8.lo generated/_log_c10.lo \
596 generated/_log_c16.lo generated/_log_c17.lo \
597 generated/_log10_r4.lo generated/_log10_r8.lo \
598 generated/_log10_r10.lo generated/_log10_r16.lo \
599 generated/_log10_r17.lo generated/_sqrt_r4.lo \
600 generated/_sqrt_r8.lo generated/_sqrt_r10.lo \
601 generated/_sqrt_r16.lo generated/_sqrt_r17.lo \
602 generated/_sqrt_c4.lo generated/_sqrt_c8.lo \
603 generated/_sqrt_c10.lo generated/_sqrt_c16.lo \
604 generated/_sqrt_c17.lo generated/_asin_r4.lo \
605 generated/_asin_r8.lo generated/_asin_r10.lo \
606 generated/_asin_r16.lo generated/_asin_r17.lo \
607 generated/_asinh_r4.lo generated/_asinh_r8.lo \
608 generated/_asinh_r10.lo generated/_asinh_r16.lo \
609 generated/_asinh_r17.lo generated/_acos_r4.lo \
610 generated/_acos_r8.lo generated/_acos_r10.lo \
611 generated/_acos_r16.lo generated/_acos_r17.lo \
612 generated/_acosh_r4.lo generated/_acosh_r8.lo \
613 generated/_acosh_r10.lo generated/_acosh_r16.lo \
614 generated/_acosh_r17.lo generated/_atan_r4.lo \
615 generated/_atan_r8.lo generated/_atan_r10.lo \
616 generated/_atan_r16.lo generated/_atan_r17.lo \
617 generated/_atanh_r4.lo generated/_atanh_r8.lo \
618 generated/_atanh_r10.lo generated/_atanh_r16.lo \
619 generated/_atanh_r17.lo generated/_sin_r4.lo \
620 generated/_sin_r8.lo generated/_sin_r10.lo \
621 generated/_sin_r16.lo generated/_sin_r17.lo \
622 generated/_sin_c4.lo generated/_sin_c8.lo \
623 generated/_sin_c10.lo generated/_sin_c16.lo \
624 generated/_sin_c17.lo generated/_cos_r4.lo \
625 generated/_cos_r8.lo generated/_cos_r10.lo \
626 generated/_cos_r16.lo generated/_cos_r17.lo \
627 generated/_cos_c4.lo generated/_cos_c8.lo \
628 generated/_cos_c10.lo generated/_cos_c16.lo \
629 generated/_cos_c17.lo generated/_tan_r4.lo \
630 generated/_tan_r8.lo generated/_tan_r10.lo \
631 generated/_tan_r16.lo generated/_tan_r17.lo \
632 generated/_sinh_r4.lo generated/_sinh_r8.lo \
633 generated/_sinh_r10.lo generated/_sinh_r16.lo \
634 generated/_sinh_r17.lo generated/_cosh_r4.lo \
635 generated/_cosh_r8.lo generated/_cosh_r10.lo \
636 generated/_cosh_r16.lo generated/_cosh_r17.lo \
637 generated/_tanh_r4.lo generated/_tanh_r8.lo \
638 generated/_tanh_r10.lo generated/_tanh_r16.lo \
639 generated/_tanh_r17.lo generated/_conjg_c4.lo \
640 generated/_conjg_c8.lo generated/_conjg_c10.lo \
641 generated/_conjg_c16.lo generated/_conjg_c17.lo \
642 generated/_aint_r4.lo generated/_aint_r8.lo \
643 generated/_aint_r10.lo generated/_aint_r16.lo \
644 generated/_aint_r17.lo generated/_anint_r4.lo \
645 generated/_anint_r8.lo generated/_anint_r10.lo \
646 generated/_anint_r16.lo generated/_anint_r17.lo
647am__objects_62 = generated/_sign_i4.lo generated/_sign_i8.lo \
648 generated/_sign_i16.lo generated/_sign_r4.lo \
649 generated/_sign_r8.lo generated/_sign_r10.lo \
650 generated/_sign_r16.lo generated/_sign_r17.lo \
651 generated/_dim_i4.lo generated/_dim_i8.lo \
652 generated/_dim_i16.lo generated/_dim_r4.lo \
653 generated/_dim_r8.lo generated/_dim_r10.lo \
654 generated/_dim_r16.lo generated/_dim_r17.lo \
655 generated/_atan2_r4.lo generated/_atan2_r8.lo \
656 generated/_atan2_r10.lo generated/_atan2_r16.lo \
657 generated/_atan2_r17.lo generated/_mod_i4.lo \
658 generated/_mod_i8.lo generated/_mod_i16.lo \
659 generated/_mod_r4.lo generated/_mod_r8.lo \
660 generated/_mod_r10.lo generated/_mod_r16.lo \
661 generated/_mod_r17.lo
662am__objects_63 = generated/misc_specifics.lo
bbf18dc5 663am__objects_64 = $(am__objects_61) $(am__objects_62) $(am__objects_63) \
affd24bf
FXC
664 intrinsics/dprod_r8.lo intrinsics/f2c_specifics.lo \
665 intrinsics/random_init.lo
ecc96eb5
FXC
666am_libgfortran_la_OBJECTS = $(am__objects_3) $(am__objects_53) \
667 $(am__objects_55) $(am__objects_58) $(am__objects_59) \
668 $(am__objects_60) $(am__objects_64)
6de9cd9a 669libgfortran_la_OBJECTS = $(am_libgfortran_la_OBJECTS)
22e05272
JM
670AM_V_P = $(am__v_P_@AM_V@)
671am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
672am__v_P_0 = false
673am__v_P_1 = :
674AM_V_GEN = $(am__v_GEN_@AM_V@)
675am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
676am__v_GEN_0 = @echo " GEN " $@;
677am__v_GEN_1 =
678AM_V_at = $(am__v_at_@AM_V@)
679am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
680am__v_at_0 = @
681am__v_at_1 =
5213506e 682DEFAULT_INCLUDES = -I.@am__isrc@
679c0f9a
TT
683depcomp = $(SHELL) $(top_srcdir)/../depcomp
684am__depfiles_maybe = depfiles
5213506e 685am__mv = mv -f
affd24bf
FXC
686PPFCCOMPILE = $(FC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
687 $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_FCFLAGS) $(FCFLAGS)
22e05272
JM
688AM_V_lt = $(am__v_lt_@AM_V@)
689am__v_lt_ = $(am__v_lt_@AM_DEFAULT_V@)
690am__v_lt_0 = --silent
691am__v_lt_1 =
22e05272
JM
692LTPPFCCOMPILE = $(LIBTOOL) $(AM_V_lt) --tag=FC $(AM_LIBTOOLFLAGS) \
693 $(LIBTOOLFLAGS) --mode=compile $(FC) $(DEFS) \
694 $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) \
695 $(AM_FCFLAGS) $(FCFLAGS)
696AM_V_PPFC = $(am__v_PPFC_@AM_V@)
697am__v_PPFC_ = $(am__v_PPFC_@AM_DEFAULT_V@)
698am__v_PPFC_0 = @echo " PPFC " $@;
699am__v_PPFC_1 =
973ff4c0 700FCLD = $(FC)
22e05272
JM
701FCLINK = $(LIBTOOL) $(AM_V_lt) --tag=FC $(AM_LIBTOOLFLAGS) \
702 $(LIBTOOLFLAGS) --mode=link $(FCLD) $(AM_FCFLAGS) $(FCFLAGS) \
703 $(AM_LDFLAGS) $(LDFLAGS) -o $@
704AM_V_FCLD = $(am__v_FCLD_@AM_V@)
705am__v_FCLD_ = $(am__v_FCLD_@AM_DEFAULT_V@)
706am__v_FCLD_0 = @echo " FCLD " $@;
707am__v_FCLD_1 =
6de9cd9a
DN
708COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
709 $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
22e05272
JM
710LTCOMPILE = $(LIBTOOL) $(AM_V_lt) --tag=CC $(AM_LIBTOOLFLAGS) \
711 $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) \
712 $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) \
713 $(AM_CFLAGS) $(CFLAGS)
714AM_V_CC = $(am__v_CC_@AM_V@)
715am__v_CC_ = $(am__v_CC_@AM_DEFAULT_V@)
716am__v_CC_0 = @echo " CC " $@;
717am__v_CC_1 =
6de9cd9a 718CCLD = $(CC)
22e05272
JM
719LINK = $(LIBTOOL) $(AM_V_lt) --tag=CC $(AM_LIBTOOLFLAGS) \
720 $(LIBTOOLFLAGS) --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) \
721 $(AM_LDFLAGS) $(LDFLAGS) -o $@
722AM_V_CCLD = $(am__v_CCLD_@AM_V@)
723am__v_CCLD_ = $(am__v_CCLD_@AM_DEFAULT_V@)
724am__v_CCLD_0 = @echo " CCLD " $@;
725am__v_CCLD_1 =
d49ec2d1 726FCCOMPILE = $(FC) $(AM_FCFLAGS) $(FCFLAGS)
22e05272
JM
727LTFCCOMPILE = $(LIBTOOL) $(AM_V_lt) --tag=FC $(AM_LIBTOOLFLAGS) \
728 $(LIBTOOLFLAGS) --mode=compile $(FC) $(AM_FCFLAGS) $(FCFLAGS)
729AM_V_FC = $(am__v_FC_@AM_V@)
730am__v_FC_ = $(am__v_FC_@AM_DEFAULT_V@)
731am__v_FC_0 = @echo " FC " $@;
732am__v_FC_1 =
43cbef95 733SOURCES = $(libcaf_single_la_SOURCES) $(libgfortran_la_SOURCES)
6c023041
JB
734am__can_run_installinfo = \
735 case $$AM_UPDATE_INFO_DIR in \
736 n|no|NO) false;; \
737 *) (install-info --version) >/dev/null 2>&1;; \
738 esac
1ec601bf 739DATA = $(toolexeclib_DATA)
bbf18dc5 740HEADERS = $(gfor_c_HEADERS) $(nodist_finclude_HEADERS)
22e05272
JM
741am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) \
742 $(LISP)config.h.in
743# Read a list of newline-separated strings from the standard input,
744# and print each of them once, without duplicates. Input order is
745# *not* preserved.
746am__uniquify_input = $(AWK) '\
747 BEGIN { nonempty = 0; } \
748 { items[$$0] = 1; nonempty = 1; } \
749 END { if (nonempty) { for (i in items) print i; }; } \
750'
751# Make sure the list of sources is unique. This is necessary because,
752# e.g., the same source file might be shared among _SOURCES variables
753# for different programs/libraries.
754am__define_uniq_tagged_files = \
755 list='$(am__tagged_files)'; \
756 unique=`for i in $$list; do \
757 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
758 done | $(am__uniquify_input)`
6de9cd9a
DN
759ETAGS = etags
760CTAGS = ctags
22e05272
JM
761CSCOPE = cscope
762AM_RECURSIVE_TARGETS = cscope
6de9cd9a 763ACLOCAL = @ACLOCAL@
6de9cd9a 764AMTAR = @AMTAR@
cc4947e6
JB
765
766# Fortran rules for complex multiplication and division
3ce1cf69
KK
767
768# Use -ffunction-sections -fdata-sections if supported by the compiler
769
770# Some targets require additional compiler options for IEEE compatibility.
9fc77574 771AM_CFLAGS = @AM_CFLAGS@ -fcx-fortran-rules $(SECTION_FLAGS) \
6a6d3817 772 $(IEEE_FLAGS) $(am__append_2)
22e05272 773AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
8b198102 774AM_FCFLAGS = @AM_FCFLAGS@ $(IEEE_FLAGS)
6de9cd9a 775AR = @AR@
96b8a615 776AS = @AS@
6de9cd9a
DN
777AUTOCONF = @AUTOCONF@
778AUTOHEADER = @AUTOHEADER@
779AUTOMAKE = @AUTOMAKE@
780AWK = @AWK@
781CC = @CC@
679c0f9a 782CCDEPMODE = @CCDEPMODE@
f4e9e7fa 783CFLAGS = @CFLAGS@
6de9cd9a
DN
784CPP = @CPP@
785CPPFLAGS = @CPPFLAGS@
786CYGPATH_W = @CYGPATH_W@
787DEFS = @DEFS@
679c0f9a 788DEPDIR = @DEPDIR@
d58dead8 789DSYMUTIL = @DSYMUTIL@
1cf3d07d 790DUMPBIN = @DUMPBIN@
6de9cd9a
DN
791ECHO_C = @ECHO_C@
792ECHO_N = @ECHO_N@
793ECHO_T = @ECHO_T@
794EGREP = @EGREP@
795EXEEXT = @EXEEXT@
c7a35fe9
AS
796FC = @FC@
797FCFLAGS = @FCFLAGS@
1cf3d07d 798FGREP = @FGREP@
944b8b35 799FPU_HOST_HEADER = @FPU_HOST_HEADER@
1cf3d07d 800GREP = @GREP@
66dbcf2d 801HWCAP_LDFLAGS = @HWCAP_LDFLAGS@
9fc77574 802IEEE_FLAGS = @IEEE_FLAGS@
8b198102 803IEEE_SUPPORT = @IEEE_SUPPORT@
5213506e 804INSTALL = @INSTALL@
6de9cd9a
DN
805INSTALL_DATA = @INSTALL_DATA@
806INSTALL_PROGRAM = @INSTALL_PROGRAM@
807INSTALL_SCRIPT = @INSTALL_SCRIPT@
808INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
1cf3d07d 809LD = @LD@
6de9cd9a 810LDFLAGS = @LDFLAGS@
2e764ae1
TB
811LIBGOMP_CHECKED_INT_KINDS = @LIBGOMP_CHECKED_INT_KINDS@
812LIBGOMP_CHECKED_REAL_KINDS = @LIBGOMP_CHECKED_REAL_KINDS@
9b025925 813LIBM = @LIBM@
6de9cd9a 814LIBOBJS = @LIBOBJS@
1ec601bf
FXC
815LIBQUADINCLUDE = @LIBQUADINCLUDE@
816LIBQUADLIB = @LIBQUADLIB@
817LIBQUADLIB_DEP = @LIBQUADLIB_DEP@
818LIBQUADSPEC = @LIBQUADSPEC@
6de9cd9a
DN
819LIBS = @LIBS@
820LIBTOOL = @LIBTOOL@
d58dead8 821LIPO = @LIPO@
6de9cd9a
DN
822LN_S = @LN_S@
823LTLIBOBJS = @LTLIBOBJS@
824MAINT = @MAINT@
6de9cd9a 825MAKEINFO = @MAKEINFO@
5213506e 826MKDIR_P = @MKDIR_P@
1cf3d07d 827NM = @NM@
d58dead8
PG
828NMEDIT = @NMEDIT@
829OBJDUMP = @OBJDUMP@
6de9cd9a 830OBJEXT = @OBJEXT@
d58dead8
PG
831OTOOL = @OTOOL@
832OTOOL64 = @OTOOL64@
6de9cd9a
DN
833PACKAGE = @PACKAGE@
834PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
835PACKAGE_NAME = @PACKAGE_NAME@
836PACKAGE_STRING = @PACKAGE_STRING@
837PACKAGE_TARNAME = @PACKAGE_TARNAME@
5213506e 838PACKAGE_URL = @PACKAGE_URL@
6de9cd9a
DN
839PACKAGE_VERSION = @PACKAGE_VERSION@
840PATH_SEPARATOR = @PATH_SEPARATOR@
841RANLIB = @RANLIB@
c049309d 842SECTION_FLAGS = @SECTION_FLAGS@
1cf3d07d 843SED = @SED@
6de9cd9a
DN
844SET_MAKE = @SET_MAKE@
845SHELL = @SHELL@
846STRIP = @STRIP@
133d0d42 847USE_IEC_60559 = @USE_IEC_60559@
6de9cd9a 848VERSION = @VERSION@
5213506e
RW
849abs_builddir = @abs_builddir@
850abs_srcdir = @abs_srcdir@
851abs_top_builddir = @abs_top_builddir@
852abs_top_srcdir = @abs_top_srcdir@
6de9cd9a 853ac_ct_CC = @ac_ct_CC@
1cf3d07d 854ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
c7a35fe9 855ac_ct_FC = @ac_ct_FC@
679c0f9a 856am__include = @am__include@
6de9cd9a 857am__leading_dot = @am__leading_dot@
679c0f9a 858am__quote = @am__quote@
d49ec2d1
KC
859am__tar = @am__tar@
860am__untar = @am__untar@
6de9cd9a
DN
861bindir = @bindir@
862build = @build@
863build_alias = @build_alias@
864build_cpu = @build_cpu@
dae2efc4 865build_libsubdir = @build_libsubdir@
6de9cd9a 866build_os = @build_os@
891c05b1 867build_subdir = @build_subdir@
6de9cd9a 868build_vendor = @build_vendor@
5213506e 869builddir = @builddir@
6de9cd9a 870datadir = @datadir@
5213506e
RW
871datarootdir = @datarootdir@
872docdir = @docdir@
873dvidir = @dvidir@
6de9cd9a
DN
874enable_shared = @enable_shared@
875enable_static = @enable_static@
876exec_prefix = @exec_prefix@
e87045f3 877extra_ldflags_libgfortran = @extra_ldflags_libgfortran@
3c36aa6b 878get_gcc_base_ver = @get_gcc_base_ver@
6de9cd9a
DN
879host = @host@
880host_alias = @host_alias@
881host_cpu = @host_cpu@
882host_os = @host_os@
891c05b1 883host_subdir = @host_subdir@
6de9cd9a 884host_vendor = @host_vendor@
5213506e 885htmldir = @htmldir@
6de9cd9a
DN
886includedir = @includedir@
887infodir = @infodir@
888install_sh = @install_sh@
889libdir = @libdir@
890libexecdir = @libexecdir@
5213506e 891localedir = @localedir@
6de9cd9a 892localstatedir = @localstatedir@
7de6ba7a 893lt_host_flags = @lt_host_flags@
6de9cd9a
DN
894mandir = @mandir@
895mkdir_p = @mkdir_p@
96b8a615 896multi_basedir = @multi_basedir@
6de9cd9a 897oldincludedir = @oldincludedir@
5213506e 898pdfdir = @pdfdir@
6de9cd9a
DN
899prefix = @prefix@
900program_transform_name = @program_transform_name@
5213506e 901psdir = @psdir@
6de9cd9a
DN
902sbindir = @sbindir@
903sharedstatedir = @sharedstatedir@
5213506e 904srcdir = @srcdir@
6de9cd9a 905sysconfdir = @sysconfdir@
96b8a615 906target = @target@
6de9cd9a 907target_alias = @target_alias@
96b8a615 908target_cpu = @target_cpu@
60858d8a 909target_noncanonical = @target_noncanonical@
96b8a615 910target_os = @target_os@
891c05b1 911target_subdir = @target_subdir@
96b8a615 912target_vendor = @target_vendor@
47ddb895 913tmake_file = @tmake_file@
96b8a615
AJ
914toolexecdir = @toolexecdir@
915toolexeclibdir = @toolexeclibdir@
5213506e
RW
916top_build_prefix = @top_build_prefix@
917top_builddir = @top_builddir@
918top_srcdir = @top_srcdir@
affd24bf 919AUTOMAKE_OPTIONS = foreign subdir-objects
01a6a27a 920ACLOCAL_AMFLAGS = -I .. -I ../config
3c36aa6b 921gcc_version := $(shell @get_gcc_base_ver@ $(top_srcdir)/../gcc/BASE-VER)
07c60b8e
JJ
922
923# -Wc is only a libtool option.
924@LIBGFOR_USE_SYMVER_TRUE@comma = ,
925@LIBGFOR_USE_SYMVER_TRUE@PREPROCESS = $(subst -Wc$(comma), , $(COMPILE)) -E
c0e7e2bf 926@LIBGFOR_USE_SYMVER_FALSE@version_arg =
07c60b8e
JJ
927@LIBGFOR_USE_SYMVER_GNU_TRUE@@LIBGFOR_USE_SYMVER_TRUE@version_arg = -Wl,--version-script=gfortran.ver
928@LIBGFOR_USE_SYMVER_SUN_TRUE@@LIBGFOR_USE_SYMVER_TRUE@version_arg = -Wl,-M,gfortran.ver-sun
49ea7a0e 929@LIBGFOR_USE_SYMVER_FALSE@version_dep =
07c60b8e
JJ
930@LIBGFOR_USE_SYMVER_GNU_TRUE@@LIBGFOR_USE_SYMVER_TRUE@version_dep = gfortran.ver
931@LIBGFOR_USE_SYMVER_SUN_TRUE@@LIBGFOR_USE_SYMVER_TRUE@version_dep = gfortran.ver-sun gfortran.ver
6a6d3817
IS
932extra_darwin_ldflags_libgfortran = @extra_ldflags_libgfortran@ \
933 $(am__append_1)
fef67987 934gfor_c_HEADERS = ISO_Fortran_binding.h
13beaf9e 935gfor_cdir = $(libdir)/gcc/$(target_alias)/$(gcc_version)/include
0a940ddd 936LTLDFLAGS = $(shell $(SHELL) $(top_srcdir)/../libtool-ldflags $(LDFLAGS)) \
7de6ba7a 937 $(lt_host_flags)
0a940ddd 938
f3ed85f6 939toolexeclib_LTLIBRARIES = libgfortran.la
1ec601bf 940toolexeclib_DATA = libgfortran.spec
84fec8a5 941libgfortran_la_LINK = $(LINK) $(libgfortran_la_LDFLAGS)
0efbd687 942libgfortran_la_LDFLAGS = -version-info `grep -v '^\#' $(srcdir)/libtool-version` \
ad4f95e3 943 $(LTLDFLAGS) $(LIBQUADLIB) ../libbacktrace/libbacktrace.la \
66dbcf2d 944 $(HWCAP_LDFLAGS) \
6a6d3817 945 $(LIBM) $(extra_darwin_ldflags_libgfortran) \
0efbd687
RO
946 $(version_arg) -Wc,-shared-libgcc
947
1ec601bf 948libgfortran_la_DEPENDENCIES = $(version_dep) libgfortran.spec $(LIBQUADLIB_DEP)
7e3d293f
TB
949cafexeclib_LTLIBRARIES = libcaf_single.la
950cafexeclibdir = $(libdir)/gcc/$(target_alias)/$(gcc_version)$(MULTISUBDIR)
951libcaf_single_la_SOURCES = caf/single.c
952libcaf_single_la_LDFLAGS = -static
953libcaf_single_la_DEPENDENCIES = caf/libcaf.h
954libcaf_single_la_LINK = $(LINK) $(libcaf_single_la_LDFLAGS)
8b198102
FXC
955@IEEE_SUPPORT_TRUE@fincludedir = $(libdir)/gcc/$(target_alias)/$(gcc_version)$(MULTISUBDIR)/finclude
956@IEEE_SUPPORT_TRUE@nodist_finclude_HEADERS = ieee_arithmetic.mod ieee_exceptions.mod ieee_features.mod
5e805e44 957AM_CPPFLAGS = -iquote$(srcdir)/io -I$(srcdir)/$(MULTISRCTOP)../gcc \
1ec601bf 958 -I$(srcdir)/$(MULTISRCTOP)../gcc/config $(LIBQUADINCLUDE) \
5d1c8e77
RO
959 -I$(MULTIBUILDTOP)../../$(host_subdir)/gcc \
960 -I$(srcdir)/$(MULTISRCTOP)../libgcc \
ad4f95e3
FXC
961 -I$(MULTIBUILDTOP)../libgcc \
962 -I$(srcdir)/$(MULTISRCTOP)../libbacktrace \
963 -I$(MULTIBUILDTOP)../libbacktrace \
964 -I../libbacktrace
5e805e44 965
6a6d3817 966gfor_io_src = io/size_from_kind.c $(am__append_3)
6de9cd9a 967gfor_io_headers = \
92cbdb68
JB
968io/io.h \
969io/fbuf.h \
970io/format.h \
2b4c9065
NK
971io/unix.h \
972io/async.h
6de9cd9a 973
8b198102 974gfor_helper_src = intrinsics/associated.c intrinsics/abort.c \
bed192f4 975 intrinsics/args.c intrinsics/cshift0.c intrinsics/eoshift0.c \
ee95f928 976 intrinsics/eoshift2.c intrinsics/erfc_scaled.c \
8b198102 977 intrinsics/extends_type_of.c intrinsics/fnum.c \
bbf18dc5
PT
978 intrinsics/ierrno.c intrinsics/ishftc.c \
979 intrinsics/is_contiguous.c intrinsics/mvbits.c \
c52b53d6
FXC
980 intrinsics/move_alloc.c intrinsics/pack_generic.c \
981 intrinsics/selected_char_kind.c intrinsics/size.c \
982 intrinsics/spread_generic.c intrinsics/string_intrinsics.c \
983 intrinsics/rand.c intrinsics/random.c \
984 intrinsics/reshape_generic.c intrinsics/reshape_packed.c \
985 intrinsics/selected_int_kind.f90 \
57391dda
FR
986 intrinsics/selected_real_kind.f90 intrinsics/trigd.c \
987 intrinsics/unpack_generic.c runtime/in_pack_generic.c \
6a6d3817 988 runtime/in_unpack_generic.c $(am__append_4) $(am__append_5)
49295426 989@IEEE_SUPPORT_TRUE@gfor_ieee_helper_src = ieee/ieee_helper.c
8b198102
FXC
990@IEEE_SUPPORT_FALSE@gfor_ieee_src =
991@IEEE_SUPPORT_TRUE@gfor_ieee_src = \
992@IEEE_SUPPORT_TRUE@ieee/ieee_arithmetic.F90 \
993@IEEE_SUPPORT_TRUE@ieee/ieee_exceptions.F90 \
994@IEEE_SUPPORT_TRUE@ieee/ieee_features.F90
6de9cd9a 995
ee95f928 996gfor_src = runtime/bounds.c runtime/compile_options.c runtime/memory.c \
6a6d3817
IS
997 runtime/string.c runtime/select.c $(am__append_6) \
998 $(am__append_7)
6de9cd9a 999i_all_c = \
affd24bf
FXC
1000generated/all_l1.c \
1001generated/all_l2.c \
1002generated/all_l4.c \
1003generated/all_l8.c \
1004generated/all_l16.c
6de9cd9a
DN
1005
1006i_any_c = \
affd24bf
FXC
1007generated/any_l1.c \
1008generated/any_l2.c \
1009generated/any_l4.c \
1010generated/any_l8.c \
1011generated/any_l16.c
6de9cd9a 1012
47b99694 1013i_bessel_c = \
affd24bf
FXC
1014generated/bessel_r4.c \
1015generated/bessel_r8.c \
1016generated/bessel_r10.c \
1017generated/bessel_r16.c \
1018generated/bessel_r17.c
47b99694 1019
6de9cd9a 1020i_count_c = \
affd24bf
FXC
1021generated/count_1_l.c \
1022generated/count_2_l.c \
1023generated/count_4_l.c \
1024generated/count_8_l.c \
1025generated/count_16_l.c
6de9cd9a 1026
195a95c4 1027i_iall_c = \
affd24bf
FXC
1028generated/iall_i1.c \
1029generated/iall_i2.c \
1030generated/iall_i4.c \
1031generated/iall_i8.c \
1032generated/iall_i16.c
195a95c4
TB
1033
1034i_iany_c = \
affd24bf
FXC
1035generated/iany_i1.c \
1036generated/iany_i2.c \
1037generated/iany_i4.c \
1038generated/iany_i8.c \
1039generated/iany_i16.c
195a95c4
TB
1040
1041i_iparity_c = \
affd24bf
FXC
1042generated/iparity_i1.c \
1043generated/iparity_i2.c \
1044generated/iparity_i4.c \
1045generated/iparity_i8.c \
1046generated/iparity_i16.c
195a95c4 1047
01ce9e31 1048i_findloc0_c = \
affd24bf
FXC
1049generated/findloc0_i1.c \
1050generated/findloc0_i2.c \
1051generated/findloc0_i4.c \
1052generated/findloc0_i8.c \
1053generated/findloc0_i16.c \
1054generated/findloc0_r4.c \
1055generated/findloc0_r8.c \
1056generated/findloc0_r10.c \
1057generated/findloc0_r16.c \
1058generated/findloc0_r17.c \
1059generated/findloc0_c4.c \
1060generated/findloc0_c8.c \
1061generated/findloc0_c10.c \
1062generated/findloc0_c16.c \
1063generated/findloc0_c17.c
01ce9e31
TK
1064
1065i_findloc0s_c = \
affd24bf
FXC
1066generated/findloc0_s1.c \
1067generated/findloc0_s4.c
01ce9e31
TK
1068
1069i_findloc1_c = \
affd24bf
FXC
1070generated/findloc1_i1.c \
1071generated/findloc1_i2.c \
1072generated/findloc1_i4.c \
1073generated/findloc1_i8.c \
1074generated/findloc1_i16.c \
1075generated/findloc1_r4.c \
1076generated/findloc1_r8.c \
1077generated/findloc1_r10.c \
1078generated/findloc1_r16.c \
1079generated/findloc1_r17.c \
1080generated/findloc1_c4.c \
1081generated/findloc1_c8.c \
1082generated/findloc1_c10.c \
1083generated/findloc1_c16.c \
1084generated/findloc1_c17.c
01ce9e31
TK
1085
1086i_findloc1s_c = \
affd24bf
FXC
1087generated/findloc1_s1.c \
1088generated/findloc1_s4.c
01ce9e31
TK
1089
1090i_findloc2s_c = \
affd24bf
FXC
1091generated/findloc2_s1.c \
1092generated/findloc2_s4.c
01ce9e31 1093
6de9cd9a 1094i_maxloc0_c = \
affd24bf
FXC
1095generated/maxloc0_4_i1.c \
1096generated/maxloc0_8_i1.c \
1097generated/maxloc0_16_i1.c \
1098generated/maxloc0_4_i2.c \
1099generated/maxloc0_8_i2.c \
1100generated/maxloc0_16_i2.c \
1101generated/maxloc0_4_i4.c \
1102generated/maxloc0_8_i4.c \
1103generated/maxloc0_16_i4.c \
1104generated/maxloc0_4_i8.c \
1105generated/maxloc0_8_i8.c \
1106generated/maxloc0_16_i8.c \
1107generated/maxloc0_4_i16.c \
1108generated/maxloc0_8_i16.c \
1109generated/maxloc0_16_i16.c \
1110generated/maxloc0_4_r4.c \
1111generated/maxloc0_8_r4.c \
1112generated/maxloc0_16_r4.c \
1113generated/maxloc0_4_r8.c \
1114generated/maxloc0_8_r8.c \
1115generated/maxloc0_16_r8.c \
1116generated/maxloc0_4_r10.c \
1117generated/maxloc0_8_r10.c \
1118generated/maxloc0_16_r10.c \
1119generated/maxloc0_4_r16.c \
1120generated/maxloc0_8_r16.c \
1121generated/maxloc0_16_r16.c \
1122generated/maxloc0_4_r17.c \
1123generated/maxloc0_8_r17.c \
1124generated/maxloc0_16_r17.c
6de9cd9a 1125
ddc9995b 1126i_maxloc0s_c = \
affd24bf
FXC
1127generated/maxloc0_4_s1.c \
1128generated/maxloc0_4_s4.c \
1129generated/maxloc0_8_s1.c \
1130generated/maxloc0_8_s4.c \
1131generated/maxloc0_16_s1.c \
1132generated/maxloc0_16_s4.c
ddc9995b 1133
6de9cd9a 1134i_maxloc1_c = \
affd24bf
FXC
1135generated/maxloc1_4_i1.c \
1136generated/maxloc1_8_i1.c \
1137generated/maxloc1_16_i1.c \
1138generated/maxloc1_4_i2.c \
1139generated/maxloc1_8_i2.c \
1140generated/maxloc1_16_i2.c \
1141generated/maxloc1_4_i4.c \
1142generated/maxloc1_8_i4.c \
1143generated/maxloc1_16_i4.c \
1144generated/maxloc1_4_i8.c \
1145generated/maxloc1_8_i8.c \
1146generated/maxloc1_16_i8.c \
1147generated/maxloc1_4_i16.c \
1148generated/maxloc1_8_i16.c \
1149generated/maxloc1_16_i16.c \
1150generated/maxloc1_4_r4.c \
1151generated/maxloc1_8_r4.c \
1152generated/maxloc1_16_r4.c \
1153generated/maxloc1_4_r8.c \
1154generated/maxloc1_8_r8.c \
1155generated/maxloc1_16_r8.c \
1156generated/maxloc1_4_r10.c \
1157generated/maxloc1_8_r10.c \
1158generated/maxloc1_16_r10.c \
1159generated/maxloc1_4_r16.c \
1160generated/maxloc1_8_r16.c \
1161generated/maxloc1_16_r16.c \
1162generated/maxloc1_4_r17.c \
1163generated/maxloc1_8_r17.c \
1164generated/maxloc1_16_r17.c
6de9cd9a 1165
ddc9995b 1166i_maxloc1s_c = \
affd24bf
FXC
1167generated/maxloc1_4_s1.c \
1168generated/maxloc1_4_s4.c \
1169generated/maxloc1_8_s1.c \
1170generated/maxloc1_8_s4.c \
1171generated/maxloc1_16_s1.c \
1172generated/maxloc1_16_s4.c
ddc9995b
TK
1173
1174i_maxloc2s_c = \
affd24bf
FXC
1175generated/maxloc2_4_s1.c \
1176generated/maxloc2_4_s4.c \
1177generated/maxloc2_8_s1.c \
1178generated/maxloc2_8_s4.c \
1179generated/maxloc2_16_s1.c \
1180generated/maxloc2_16_s4.c
ddc9995b 1181
6de9cd9a 1182i_maxval_c = \
affd24bf
FXC
1183generated/maxval_i1.c \
1184generated/maxval_i2.c \
1185generated/maxval_i4.c \
1186generated/maxval_i8.c \
1187generated/maxval_i16.c \
1188generated/maxval_r4.c \
1189generated/maxval_r8.c \
1190generated/maxval_r10.c \
1191generated/maxval_r16.c \
1192generated/maxval_r17.c
6de9cd9a 1193
0ac74254 1194i_maxval0s_c = \
affd24bf
FXC
1195generated/maxval0_s1.c \
1196generated/maxval0_s4.c
0ac74254
TK
1197
1198i_maxval1s_c = \
affd24bf
FXC
1199generated/maxval1_s1.c \
1200generated/maxval1_s4.c
0ac74254 1201
6de9cd9a 1202i_minloc0_c = \
affd24bf
FXC
1203generated/minloc0_4_i1.c \
1204generated/minloc0_8_i1.c \
1205generated/minloc0_16_i1.c \
1206generated/minloc0_4_i2.c \
1207generated/minloc0_8_i2.c \
1208generated/minloc0_16_i2.c \
1209generated/minloc0_4_i4.c \
1210generated/minloc0_8_i4.c \
1211generated/minloc0_16_i4.c \
1212generated/minloc0_4_i8.c \
1213generated/minloc0_8_i8.c \
1214generated/minloc0_16_i8.c \
1215generated/minloc0_4_i16.c \
1216generated/minloc0_8_i16.c \
1217generated/minloc0_16_i16.c \
1218generated/minloc0_4_r4.c \
1219generated/minloc0_8_r4.c \
1220generated/minloc0_16_r4.c \
1221generated/minloc0_4_r8.c \
1222generated/minloc0_8_r8.c \
1223generated/minloc0_16_r8.c \
1224generated/minloc0_4_r10.c \
1225generated/minloc0_8_r10.c \
1226generated/minloc0_16_r10.c \
1227generated/minloc0_4_r16.c \
1228generated/minloc0_8_r16.c \
1229generated/minloc0_16_r16.c \
1230generated/minloc0_4_r17.c \
1231generated/minloc0_8_r17.c \
1232generated/minloc0_16_r17.c
6de9cd9a 1233
ddc9995b 1234i_minloc0s_c = \
affd24bf
FXC
1235generated/minloc0_4_s1.c \
1236generated/minloc0_4_s4.c \
1237generated/minloc0_8_s1.c \
1238generated/minloc0_8_s4.c \
1239generated/minloc0_16_s1.c \
1240generated/minloc0_16_s4.c
ddc9995b 1241
6de9cd9a 1242i_minloc1_c = \
affd24bf
FXC
1243generated/minloc1_4_i1.c \
1244generated/minloc1_8_i1.c \
1245generated/minloc1_16_i1.c \
1246generated/minloc1_4_i2.c \
1247generated/minloc1_8_i2.c \
1248generated/minloc1_16_i2.c \
1249generated/minloc1_4_i4.c \
1250generated/minloc1_8_i4.c \
1251generated/minloc1_16_i4.c \
1252generated/minloc1_4_i8.c \
1253generated/minloc1_8_i8.c \
1254generated/minloc1_16_i8.c \
1255generated/minloc1_4_i16.c \
1256generated/minloc1_8_i16.c \
1257generated/minloc1_16_i16.c \
1258generated/minloc1_4_r4.c \
1259generated/minloc1_8_r4.c \
1260generated/minloc1_16_r4.c \
1261generated/minloc1_4_r8.c \
1262generated/minloc1_8_r8.c \
1263generated/minloc1_16_r8.c \
1264generated/minloc1_4_r10.c \
1265generated/minloc1_8_r10.c \
1266generated/minloc1_16_r10.c \
1267generated/minloc1_4_r16.c \
1268generated/minloc1_8_r16.c \
1269generated/minloc1_16_r16.c \
1270generated/minloc1_4_r17.c \
1271generated/minloc1_8_r17.c \
1272generated/minloc1_16_r17.c
6de9cd9a 1273
ddc9995b 1274i_minloc1s_c = \
affd24bf
FXC
1275generated/minloc1_4_s1.c \
1276generated/minloc1_4_s4.c \
1277generated/minloc1_8_s1.c \
1278generated/minloc1_8_s4.c \
1279generated/minloc1_16_s1.c \
1280generated/minloc1_16_s4.c
ddc9995b
TK
1281
1282i_minloc2s_c = \
affd24bf
FXC
1283generated/minloc2_4_s1.c \
1284generated/minloc2_4_s4.c \
1285generated/minloc2_8_s1.c \
1286generated/minloc2_8_s4.c \
1287generated/minloc2_16_s1.c \
1288generated/minloc2_16_s4.c
ddc9995b 1289
6de9cd9a 1290i_minval_c = \
affd24bf
FXC
1291generated/minval_i1.c \
1292generated/minval_i2.c \
1293generated/minval_i4.c \
1294generated/minval_i8.c \
1295generated/minval_i16.c \
1296generated/minval_r4.c \
1297generated/minval_r8.c \
1298generated/minval_r10.c \
1299generated/minval_r16.c \
1300generated/minval_r17.c
6de9cd9a 1301
0ac74254 1302i_minval0s_c = \
affd24bf
FXC
1303generated/minval0_s1.c \
1304generated/minval0_s4.c
0ac74254
TK
1305
1306i_minval1s_c = \
affd24bf
FXC
1307generated/minval1_s1.c \
1308generated/minval1_s4.c
0ac74254 1309
0cd0559e 1310i_norm2_c = \
affd24bf
FXC
1311generated/norm2_r4.c \
1312generated/norm2_r8.c \
1313generated/norm2_r10.c \
1314generated/norm2_r16.c \
1315generated/norm2_r17.c
0cd0559e
TB
1316
1317i_parity_c = \
affd24bf
FXC
1318generated/parity_l1.c \
1319generated/parity_l2.c \
1320generated/parity_l4.c \
1321generated/parity_l8.c \
1322generated/parity_l16.c
0cd0559e 1323
6de9cd9a 1324i_sum_c = \
affd24bf
FXC
1325generated/sum_i1.c \
1326generated/sum_i2.c \
1327generated/sum_i4.c \
1328generated/sum_i8.c \
1329generated/sum_i16.c \
1330generated/sum_r4.c \
1331generated/sum_r8.c \
1332generated/sum_r10.c \
1333generated/sum_r16.c \
1334generated/sum_r17.c \
1335generated/sum_c4.c \
1336generated/sum_c8.c \
1337generated/sum_c10.c \
1338generated/sum_c16.c \
1339generated/sum_c17.c
6de9cd9a
DN
1340
1341i_product_c = \
affd24bf
FXC
1342generated/product_i1.c \
1343generated/product_i2.c \
1344generated/product_i4.c \
1345generated/product_i8.c \
1346generated/product_i16.c \
1347generated/product_r4.c \
1348generated/product_r8.c \
1349generated/product_r10.c \
1350generated/product_r16.c \
1351generated/product_r17.c \
1352generated/product_c4.c \
1353generated/product_c8.c \
1354generated/product_c10.c \
1355generated/product_c16.c \
1356generated/product_c17.c
6de9cd9a 1357
6de9cd9a 1358i_matmul_c = \
affd24bf
FXC
1359generated/matmul_i1.c \
1360generated/matmul_i2.c \
1361generated/matmul_i4.c \
1362generated/matmul_i8.c \
1363generated/matmul_i16.c \
1364generated/matmul_r4.c \
1365generated/matmul_r8.c \
1366generated/matmul_r10.c \
1367generated/matmul_r16.c \
1368generated/matmul_r17.c \
1369generated/matmul_c4.c \
1370generated/matmul_c8.c \
1371generated/matmul_c10.c \
1372generated/matmul_c16.c \
1373generated/matmul_c17.c
6de9cd9a 1374
1d5cf7fc 1375i_matmulavx128_c = \
affd24bf
FXC
1376generated/matmulavx128_i1.c \
1377generated/matmulavx128_i2.c \
1378generated/matmulavx128_i4.c \
1379generated/matmulavx128_i8.c \
1380generated/matmulavx128_i16.c \
1381generated/matmulavx128_r4.c \
1382generated/matmulavx128_r8.c \
1383generated/matmulavx128_r10.c \
1384generated/matmulavx128_r16.c \
1385generated/matmulavx128_r17.c \
1386generated/matmulavx128_c4.c \
1387generated/matmulavx128_c8.c \
1388generated/matmulavx128_c10.c \
1389generated/matmulavx128_c16.c \
1390generated/matmulavx128_c17.c
1d5cf7fc 1391
6de9cd9a 1392i_matmull_c = \
affd24bf
FXC
1393generated/matmul_l4.c \
1394generated/matmul_l8.c \
1395generated/matmul_l16.c
6de9cd9a 1396
6de9cd9a 1397i_shape_c = \
affd24bf
FXC
1398generated/shape_i1.c \
1399generated/shape_i2.c \
1400generated/shape_i4.c \
1401generated/shape_i8.c \
1402generated/shape_i16.c
6de9cd9a
DN
1403
1404i_reshape_c = \
affd24bf
FXC
1405generated/reshape_i4.c \
1406generated/reshape_i8.c \
1407generated/reshape_i16.c \
1408generated/reshape_r4.c \
1409generated/reshape_r8.c \
1410generated/reshape_r10.c \
1411generated/reshape_r16.c \
1412generated/reshape_r17.c \
1413generated/reshape_c4.c \
1414generated/reshape_c8.c \
1415generated/reshape_c10.c \
1416generated/reshape_c16.c \
1417generated/reshape_c17.c
6de9cd9a
DN
1418
1419i_eoshift1_c = \
affd24bf
FXC
1420generated/eoshift1_4.c \
1421generated/eoshift1_8.c \
1422generated/eoshift1_16.c
6de9cd9a
DN
1423
1424i_eoshift3_c = \
affd24bf
FXC
1425generated/eoshift3_4.c \
1426generated/eoshift3_8.c \
1427generated/eoshift3_16.c
6de9cd9a 1428
c2b00cdc 1429i_cshift0_c = \
affd24bf
FXC
1430generated/cshift0_i1.c \
1431generated/cshift0_i2.c \
1432generated/cshift0_i4.c \
1433generated/cshift0_i8.c \
1434generated/cshift0_i16.c \
1435generated/cshift0_r4.c \
1436generated/cshift0_r8.c \
1437generated/cshift0_r10.c \
1438generated/cshift0_r16.c \
1439generated/cshift0_r17.c \
1440generated/cshift0_c4.c \
1441generated/cshift0_c8.c \
1442generated/cshift0_c10.c \
1443generated/cshift0_c16.c \
1444generated/cshift0_c17.c
c2b00cdc 1445
6de9cd9a 1446i_cshift1_c = \
affd24bf
FXC
1447generated/cshift1_4.c \
1448generated/cshift1_8.c \
1449generated/cshift1_16.c
6de9cd9a 1450
e56e3fda 1451i_cshift1a_c = \
affd24bf
FXC
1452generated/cshift1_4_i1.c \
1453generated/cshift1_4_i2.c \
1454generated/cshift1_4_i4.c \
1455generated/cshift1_4_i8.c \
1456generated/cshift1_4_i16.c \
1457generated/cshift1_4_r4.c \
1458generated/cshift1_4_r8.c \
1459generated/cshift1_4_r10.c \
1460generated/cshift1_4_r16.c \
1461generated/cshift1_4_r17.c \
1462generated/cshift1_4_c4.c \
1463generated/cshift1_4_c8.c \
1464generated/cshift1_4_c10.c \
1465generated/cshift1_4_c16.c \
1466generated/cshift1_4_c17.c \
1467generated/cshift1_8_i1.c \
1468generated/cshift1_8_i2.c \
1469generated/cshift1_8_i4.c \
1470generated/cshift1_8_i8.c \
1471generated/cshift1_8_i16.c \
1472generated/cshift1_8_r4.c \
1473generated/cshift1_8_r8.c \
1474generated/cshift1_8_r10.c \
1475generated/cshift1_8_r16.c \
1476generated/cshift1_8_r17.c \
1477generated/cshift1_8_c4.c \
1478generated/cshift1_8_c8.c \
1479generated/cshift1_8_c10.c \
1480generated/cshift1_8_c16.c \
1481generated/cshift1_8_c17.c \
1482generated/cshift1_16_i1.c \
1483generated/cshift1_16_i2.c \
1484generated/cshift1_16_i4.c \
1485generated/cshift1_16_i8.c \
1486generated/cshift1_16_i16.c \
1487generated/cshift1_16_r4.c \
1488generated/cshift1_16_r8.c \
1489generated/cshift1_16_r10.c \
1490generated/cshift1_16_r16.c \
1491generated/cshift1_16_r17.c \
1492generated/cshift1_16_c4.c \
1493generated/cshift1_16_c8.c \
1494generated/cshift1_16_c10.c \
1495generated/cshift1_16_c16.c \
1496generated/cshift1_16_c17.c
e56e3fda 1497
6de9cd9a 1498in_pack_c = \
affd24bf
FXC
1499generated/in_pack_i1.c \
1500generated/in_pack_i2.c \
1501generated/in_pack_i4.c \
1502generated/in_pack_i8.c \
1503generated/in_pack_i16.c \
1504generated/in_pack_r4.c \
1505generated/in_pack_r8.c \
1506generated/in_pack_r10.c \
1507generated/in_pack_r16.c \
1508generated/in_pack_r17.c \
1509generated/in_pack_c4.c \
1510generated/in_pack_c8.c \
1511generated/in_pack_c10.c \
1512generated/in_pack_c16.c \
1513generated/in_pack_c17.c
6de9cd9a
DN
1514
1515in_unpack_c = \
affd24bf
FXC
1516generated/in_unpack_i1.c \
1517generated/in_unpack_i2.c \
1518generated/in_unpack_i4.c \
1519generated/in_unpack_i8.c \
1520generated/in_unpack_i16.c \
1521generated/in_unpack_r4.c \
1522generated/in_unpack_r8.c \
1523generated/in_unpack_r10.c \
1524generated/in_unpack_r16.c \
1525generated/in_unpack_r17.c \
1526generated/in_unpack_c4.c \
1527generated/in_unpack_c8.c \
1528generated/in_unpack_c10.c \
1529generated/in_unpack_c16.c \
1530generated/in_unpack_c17.c
6de9cd9a 1531
5b200ac2 1532i_pow_c = \
affd24bf
FXC
1533generated/pow_i4_i4.c \
1534generated/pow_i8_i4.c \
1535generated/pow_i16_i4.c \
1536generated/pow_r16_i4.c \
1537generated/pow_r17_i4.c \
1538generated/pow_c4_i4.c \
1539generated/pow_c8_i4.c \
1540generated/pow_c10_i4.c \
1541generated/pow_c16_i4.c \
1542generated/pow_c17_i4.c \
1543generated/pow_i4_i8.c \
1544generated/pow_i8_i8.c \
1545generated/pow_i16_i8.c \
1546generated/pow_r4_i8.c \
1547generated/pow_r8_i8.c \
1548generated/pow_r10_i8.c \
1549generated/pow_r16_i8.c \
1550generated/pow_r17_i8.c \
1551generated/pow_c4_i8.c \
1552generated/pow_c8_i8.c \
1553generated/pow_c10_i8.c \
1554generated/pow_c16_i8.c \
1555generated/pow_c17_i8.c \
1556generated/pow_i4_i16.c \
1557generated/pow_i8_i16.c \
1558generated/pow_i16_i16.c \
1559generated/pow_r4_i16.c \
1560generated/pow_r8_i16.c \
1561generated/pow_r10_i16.c \
1562generated/pow_r16_i16.c \
1563generated/pow_r17_i16.c \
1564generated/pow_c4_i16.c \
1565generated/pow_c8_i16.c \
1566generated/pow_c10_i16.c \
1567generated/pow_c16_i16.c \
1568generated/pow_c17_i16.c
5b200ac2 1569
3ef2513a 1570i_pack_c = \
affd24bf
FXC
1571generated/pack_i1.c \
1572generated/pack_i2.c \
1573generated/pack_i4.c \
1574generated/pack_i8.c \
1575generated/pack_i16.c \
1576generated/pack_r4.c \
1577generated/pack_r8.c \
1578generated/pack_r10.c \
1579generated/pack_r16.c \
1580generated/pack_r17.c \
1581generated/pack_c4.c \
1582generated/pack_c8.c \
1583generated/pack_c10.c \
1584generated/pack_c16.c \
1585generated/pack_c17.c
3ef2513a 1586
3478bba4 1587i_unpack_c = \
affd24bf
FXC
1588generated/unpack_i1.c \
1589generated/unpack_i2.c \
1590generated/unpack_i4.c \
1591generated/unpack_i8.c \
1592generated/unpack_i16.c \
1593generated/unpack_r4.c \
1594generated/unpack_r8.c \
1595generated/unpack_r10.c \
1596generated/unpack_r16.c \
1597generated/unpack_r17.c \
1598generated/unpack_c4.c \
1599generated/unpack_c8.c \
1600generated/unpack_c10.c \
1601generated/unpack_c16.c \
1602generated/unpack_c17.c
3478bba4 1603
75f2543f 1604i_spread_c = \
affd24bf
FXC
1605generated/spread_i1.c \
1606generated/spread_i2.c \
1607generated/spread_i4.c \
1608generated/spread_i8.c \
1609generated/spread_i16.c \
1610generated/spread_r4.c \
1611generated/spread_r8.c \
1612generated/spread_r10.c \
1613generated/spread_r16.c \
1614generated/spread_r17.c \
1615generated/spread_c4.c \
1616generated/spread_c8.c \
1617generated/spread_c10.c \
1618generated/spread_c16.c \
1619generated/spread_c17.c
75f2543f 1620
bbf18dc5 1621i_isobinding_c = \
affd24bf 1622runtime/ISO_Fortran_binding.c
bbf18dc5 1623
c9e66eda 1624m4_files = m4/iparm.m4 m4/ifunction.m4 m4/iforeach.m4 m4/all.m4 \
49ad4d2c
TK
1625 m4/any.m4 m4/count.m4 m4/maxloc0.m4 m4/maxloc1.m4 m4/maxval.m4 \
1626 m4/minloc0.m4 m4/minloc1.m4 m4/minval.m4 m4/product.m4 m4/sum.m4 \
1627 m4/matmul.m4 m4/matmull.m4 m4/ifunction_logical.m4 \
1628 m4/ctrig.m4 m4/cexp.m4 m4/chyp.m4 m4/mtype.m4 \
1629 m4/specific.m4 m4/specific2.m4 m4/head.m4 m4/shape.m4 m4/reshape.m4 \
1630 m4/eoshift1.m4 m4/eoshift3.m4 \
1631 m4/pow.m4 \
1632 m4/misc_specifics.m4 m4/pack.m4 \
1633 m4/unpack.m4 m4/spread.m4 m4/bessel.m4 m4/norm2.m4 m4/parity.m4 \
1634 m4/iall.m4 m4/iany.m4 m4/iparity.m4 m4/iforeach-s.m4 m4/findloc0.m4 \
1635 m4/findloc0s.m4 m4/ifindloc0.m4 m4/findloc1.m4 m4/ifindloc1.m4 \
1636 m4/findloc2s.m4 m4/ifindloc2.m4
6de9cd9a
DN
1637
1638gfor_built_src = $(i_all_c) $(i_any_c) $(i_count_c) $(i_maxloc0_c) \
49ad4d2c
TK
1639 $(i_maxloc1_c) $(i_maxval_c) $(i_minloc0_c) $(i_minloc1_c) $(i_minval_c) \
1640 $(i_product_c) $(i_sum_c) $(i_bessel_c) $(i_iall_c) $(i_iany_c) \
1641 $(i_iparity_c) $(i_norm2_c) $(i_parity_c) \
1642 $(i_matmul_c) $(i_matmull_c) $(i_shape_c) $(i_eoshift1_c) \
1643 $(i_eoshift3_c) $(i_cshift1_c) $(i_reshape_c) $(in_pack_c) $(in_unpack_c) \
1644 $(i_pow_c) $(i_pack_c) $(i_unpack_c) $(i_matmulavx128_c) \
1645 $(i_spread_c) selected_int_kind.inc selected_real_kind.inc kinds.h \
1646 $(i_cshift0_c) kinds.inc c99_protos.inc fpu-target.h fpu-target.inc \
1647 include/ISO_Fortran_binding.h \
1648 $(i_cshift1a_c) $(i_maxloc0s_c) $(i_minloc0s_c) $(i_maxloc1s_c) \
1649 $(i_minloc1s_c) $(i_maxloc2s_c) $(i_minloc2s_c) $(i_maxvals_c) \
1650 $(i_maxval0s_c) $(i_minval0s_c) $(i_maxval1s_c) $(i_minval1s_c) \
1651 $(i_findloc0_c) $(i_findloc0s_c) $(i_findloc1_c) $(i_findloc1s_c) \
1652 $(i_findloc2s_c) $(i_isobinding_c)
6de9cd9a
DN
1653
1654
6de9cd9a
DN
1655# Machine generated specifics
1656gfor_built_specific_src = \
affd24bf
FXC
1657generated/_abs_c4.F90 \
1658generated/_abs_c8.F90 \
1659generated/_abs_c10.F90 \
1660generated/_abs_c16.F90 \
1661generated/_abs_c17.F90 \
1662generated/_abs_i4.F90 \
1663generated/_abs_i8.F90 \
1664generated/_abs_i16.F90 \
1665generated/_abs_r4.F90 \
1666generated/_abs_r8.F90 \
1667generated/_abs_r10.F90 \
1668generated/_abs_r16.F90 \
1669generated/_abs_r17.F90 \
1670generated/_aimag_c4.F90 \
1671generated/_aimag_c8.F90 \
1672generated/_aimag_c10.F90 \
1673generated/_aimag_c16.F90 \
1674generated/_aimag_c17.F90 \
1675generated/_exp_r4.F90 \
1676generated/_exp_r8.F90 \
1677generated/_exp_r10.F90 \
1678generated/_exp_r16.F90 \
1679generated/_exp_r17.F90 \
1680generated/_exp_c4.F90 \
1681generated/_exp_c8.F90 \
1682generated/_exp_c10.F90 \
1683generated/_exp_c16.F90 \
1684generated/_exp_c17.F90 \
1685generated/_log_r4.F90 \
1686generated/_log_r8.F90 \
1687generated/_log_r10.F90 \
1688generated/_log_r16.F90 \
1689generated/_log_r17.F90 \
1690generated/_log_c4.F90 \
1691generated/_log_c8.F90 \
1692generated/_log_c10.F90 \
1693generated/_log_c16.F90 \
1694generated/_log_c17.F90 \
1695generated/_log10_r4.F90 \
1696generated/_log10_r8.F90 \
1697generated/_log10_r10.F90 \
1698generated/_log10_r16.F90 \
1699generated/_log10_r17.F90 \
1700generated/_sqrt_r4.F90 \
1701generated/_sqrt_r8.F90 \
1702generated/_sqrt_r10.F90 \
1703generated/_sqrt_r16.F90 \
1704generated/_sqrt_r17.F90 \
1705generated/_sqrt_c4.F90 \
1706generated/_sqrt_c8.F90 \
1707generated/_sqrt_c10.F90 \
1708generated/_sqrt_c16.F90 \
1709generated/_sqrt_c17.F90 \
1710generated/_asin_r4.F90 \
1711generated/_asin_r8.F90 \
1712generated/_asin_r10.F90 \
1713generated/_asin_r16.F90 \
1714generated/_asin_r17.F90 \
1715generated/_asinh_r4.F90 \
1716generated/_asinh_r8.F90 \
1717generated/_asinh_r10.F90 \
1718generated/_asinh_r16.F90 \
1719generated/_asinh_r17.F90 \
1720generated/_acos_r4.F90 \
1721generated/_acos_r8.F90 \
1722generated/_acos_r10.F90 \
1723generated/_acos_r16.F90 \
1724generated/_acos_r17.F90 \
1725generated/_acosh_r4.F90 \
1726generated/_acosh_r8.F90 \
1727generated/_acosh_r10.F90 \
1728generated/_acosh_r16.F90 \
1729generated/_acosh_r17.F90 \
1730generated/_atan_r4.F90 \
1731generated/_atan_r8.F90 \
1732generated/_atan_r10.F90 \
1733generated/_atan_r16.F90 \
1734generated/_atan_r17.F90 \
1735generated/_atanh_r4.F90 \
1736generated/_atanh_r8.F90 \
1737generated/_atanh_r10.F90 \
1738generated/_atanh_r16.F90 \
1739generated/_atanh_r17.F90 \
1740generated/_sin_r4.F90 \
1741generated/_sin_r8.F90 \
1742generated/_sin_r10.F90 \
1743generated/_sin_r16.F90 \
1744generated/_sin_r17.F90 \
1745generated/_sin_c4.F90 \
1746generated/_sin_c8.F90 \
1747generated/_sin_c10.F90 \
1748generated/_sin_c16.F90 \
1749generated/_sin_c17.F90 \
1750generated/_cos_r4.F90 \
1751generated/_cos_r8.F90 \
1752generated/_cos_r10.F90 \
1753generated/_cos_r16.F90 \
1754generated/_cos_r17.F90 \
1755generated/_cos_c4.F90 \
1756generated/_cos_c8.F90 \
1757generated/_cos_c10.F90 \
1758generated/_cos_c16.F90 \
1759generated/_cos_c17.F90 \
1760generated/_tan_r4.F90 \
1761generated/_tan_r8.F90 \
1762generated/_tan_r10.F90 \
1763generated/_tan_r16.F90 \
1764generated/_tan_r17.F90 \
1765generated/_sinh_r4.F90 \
1766generated/_sinh_r8.F90 \
1767generated/_sinh_r10.F90 \
1768generated/_sinh_r16.F90 \
1769generated/_sinh_r17.F90 \
1770generated/_cosh_r4.F90 \
1771generated/_cosh_r8.F90 \
1772generated/_cosh_r10.F90 \
1773generated/_cosh_r16.F90 \
1774generated/_cosh_r17.F90 \
1775generated/_tanh_r4.F90 \
1776generated/_tanh_r8.F90 \
1777generated/_tanh_r10.F90 \
1778generated/_tanh_r16.F90 \
1779generated/_tanh_r17.F90 \
1780generated/_conjg_c4.F90 \
1781generated/_conjg_c8.F90 \
1782generated/_conjg_c10.F90 \
1783generated/_conjg_c16.F90 \
1784generated/_conjg_c17.F90 \
1785generated/_aint_r4.F90 \
1786generated/_aint_r8.F90 \
1787generated/_aint_r10.F90 \
1788generated/_aint_r16.F90 \
1789generated/_aint_r17.F90 \
1790generated/_anint_r4.F90 \
1791generated/_anint_r8.F90 \
1792generated/_anint_r10.F90 \
1793generated/_anint_r16.F90 \
1794generated/_anint_r17.F90
6de9cd9a
DN
1795
1796gfor_built_specific2_src = \
affd24bf
FXC
1797generated/_sign_i4.F90 \
1798generated/_sign_i8.F90 \
1799generated/_sign_i16.F90 \
1800generated/_sign_r4.F90 \
1801generated/_sign_r8.F90 \
1802generated/_sign_r10.F90 \
1803generated/_sign_r16.F90 \
1804generated/_sign_r17.F90 \
1805generated/_dim_i4.F90 \
1806generated/_dim_i8.F90 \
1807generated/_dim_i16.F90 \
1808generated/_dim_r4.F90 \
1809generated/_dim_r8.F90 \
1810generated/_dim_r10.F90 \
1811generated/_dim_r16.F90 \
1812generated/_dim_r17.F90 \
1813generated/_atan2_r4.F90 \
1814generated/_atan2_r8.F90 \
1815generated/_atan2_r10.F90 \
1816generated/_atan2_r16.F90 \
1817generated/_atan2_r17.F90 \
1818generated/_mod_i4.F90 \
1819generated/_mod_i8.F90 \
1820generated/_mod_i16.F90 \
1821generated/_mod_r4.F90 \
1822generated/_mod_r8.F90 \
1823generated/_mod_r10.F90 \
1824generated/_mod_r16.F90 \
1825generated/_mod_r17.F90
1826
1827gfor_misc_specifics = generated/misc_specifics.F90
6de9cd9a
DN
1828gfor_specific_src = \
1829$(gfor_built_specific_src) \
1830$(gfor_built_specific2_src) \
0e7e7e6e 1831$(gfor_misc_specifics) \
973ff4c0 1832intrinsics/dprod_r8.f90 \
ddd3e26e
SK
1833intrinsics/f2c_specifics.F90 \
1834intrinsics/random_init.f90
6de9cd9a 1835
1409cd0b 1836BUILT_SOURCES = $(gfor_built_src) $(gfor_built_specific_src) \
ecc96eb5 1837 $(gfor_built_specific2_src) $(gfor_misc_specifics)
6de9cd9a 1838
ecc96eb5
FXC
1839libgfortran_la_SOURCES = $(gfor_src) $(gfor_built_src) $(gfor_io_src) \
1840 $(gfor_helper_src) $(gfor_ieee_src) $(gfor_io_headers) $(gfor_specific_src)
b808ac33 1841
49ad4d2c 1842I_M4_DEPS = m4/iparm.m4 m4/mtype.m4
6de9cd9a
DN
1843I_M4_DEPS0 = $(I_M4_DEPS) m4/iforeach.m4
1844I_M4_DEPS1 = $(I_M4_DEPS) m4/ifunction.m4
90469382 1845I_M4_DEPS2 = $(I_M4_DEPS) m4/ifunction_logical.m4
ddc9995b
TK
1846I_M4_DEPS3 = $(I_M4_DEPS) m4/iforeach-s.m4
1847I_M4_DEPS4 = $(I_M4_DEPS) m4/ifunction-s.m4
0ac74254
TK
1848I_M4_DEPS5 = $(I_M4_DEPS) m4/iforeach-s2.m4
1849I_M4_DEPS6 = $(I_M4_DEPS) m4/ifunction-s2.m4
01ce9e31
TK
1850I_M4_DEPS7 = $(I_M4_DEPS) m4/ifindloc0.m4
1851I_M4_DEPS8 = $(I_M4_DEPS) m4/ifindloc1.m4
1852I_M4_DEPS9 = $(I_M4_DEPS) m4/ifindloc2.m4
6de9cd9a 1853EXTRA_DIST = $(m4_files)
22e05272
JM
1854MULTISRCTOP =
1855MULTIBUILDTOP =
1856MULTIDIRS =
1857MULTISUBDIR =
1858MULTIDO = true
1859MULTICLEAN = true
6de9cd9a
DN
1860all: $(BUILT_SOURCES) config.h
1861 $(MAKE) $(AM_MAKEFLAGS) all-am
1862
1863.SUFFIXES:
973ff4c0 1864.SUFFIXES: .F90 .c .f90 .lo .o .obj
c20fdb91 1865am--refresh: Makefile
6de9cd9a 1866 @:
22e05272 1867$(srcdir)/Makefile.in: @MAINTAINER_MODE_TRUE@ $(srcdir)/Makefile.am $(top_srcdir)/../multilib.am $(am__configure_deps)
6de9cd9a
DN
1868 @for dep in $?; do \
1869 case '$(am__configure_deps)' in \
1870 *$$dep*) \
5213506e
RW
1871 echo ' cd $(srcdir) && $(AUTOMAKE) --foreign'; \
1872 $(am__cd) $(srcdir) && $(AUTOMAKE) --foreign \
6de9cd9a
DN
1873 && exit 0; \
1874 exit 1;; \
1875 esac; \
1876 done; \
5213506e
RW
1877 echo ' cd $(top_srcdir) && $(AUTOMAKE) --foreign Makefile'; \
1878 $(am__cd) $(top_srcdir) && \
1879 $(AUTOMAKE) --foreign Makefile
6de9cd9a
DN
1880Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
1881 @case '$?' in \
1882 *config.status*) \
1883 echo ' $(SHELL) ./config.status'; \
1884 $(SHELL) ./config.status;; \
1885 *) \
1886 echo ' cd $(top_builddir) && $(SHELL) ./config.status $@ $(am__depfiles_maybe)'; \
1887 cd $(top_builddir) && $(SHELL) ./config.status $@ $(am__depfiles_maybe);; \
1888 esac;
22e05272 1889$(top_srcdir)/../multilib.am $(am__empty):
6de9cd9a
DN
1890
1891$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
1892 $(SHELL) ./config.status --recheck
1893
1894$(top_srcdir)/configure: @MAINTAINER_MODE_TRUE@ $(am__configure_deps)
5213506e 1895 $(am__cd) $(srcdir) && $(AUTOCONF)
6de9cd9a 1896$(ACLOCAL_M4): @MAINTAINER_MODE_TRUE@ $(am__aclocal_m4_deps)
5213506e
RW
1897 $(am__cd) $(srcdir) && $(ACLOCAL) $(ACLOCAL_AMFLAGS)
1898$(am__aclocal_m4_deps):
6de9cd9a
DN
1899
1900config.h: stamp-h1
22e05272
JM
1901 @test -f $@ || rm -f stamp-h1
1902 @test -f $@ || $(MAKE) $(AM_MAKEFLAGS) stamp-h1
6de9cd9a
DN
1903
1904stamp-h1: $(srcdir)/config.h.in $(top_builddir)/config.status
1905 @rm -f stamp-h1
1906 cd $(top_builddir) && $(SHELL) ./config.status config.h
1907$(srcdir)/config.h.in: @MAINTAINER_MODE_TRUE@ $(am__configure_deps)
5213506e 1908 ($(am__cd) $(top_srcdir) && $(AUTOHEADER))
6de9cd9a
DN
1909 rm -f stamp-h1
1910 touch $@
1911
1912distclean-hdr:
1913 -rm -f config.h stamp-h1
1ec601bf
FXC
1914libgfortran.spec: $(top_builddir)/config.status $(srcdir)/libgfortran.spec.in
1915 cd $(top_builddir) && $(SHELL) ./config.status $@
22e05272 1916
7e3d293f
TB
1917install-cafexeclibLTLIBRARIES: $(cafexeclib_LTLIBRARIES)
1918 @$(NORMAL_INSTALL)
7e3d293f
TB
1919 @list='$(cafexeclib_LTLIBRARIES)'; test -n "$(cafexeclibdir)" || list=; \
1920 list2=; for p in $$list; do \
1921 if test -f $$p; then \
1922 list2="$$list2 $$p"; \
1923 else :; fi; \
1924 done; \
1925 test -z "$$list2" || { \
6c023041
JB
1926 echo " $(MKDIR_P) '$(DESTDIR)$(cafexeclibdir)'"; \
1927 $(MKDIR_P) "$(DESTDIR)$(cafexeclibdir)" || exit 1; \
7e3d293f
TB
1928 echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(INSTALL) $(INSTALL_STRIP_FLAG) $$list2 '$(DESTDIR)$(cafexeclibdir)'"; \
1929 $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(INSTALL) $(INSTALL_STRIP_FLAG) $$list2 "$(DESTDIR)$(cafexeclibdir)"; \
1930 }
1931
1932uninstall-cafexeclibLTLIBRARIES:
1933 @$(NORMAL_UNINSTALL)
1934 @list='$(cafexeclib_LTLIBRARIES)'; test -n "$(cafexeclibdir)" || list=; \
1935 for p in $$list; do \
1936 $(am__strip_dir) \
1937 echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(cafexeclibdir)/$$f'"; \
1938 $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(cafexeclibdir)/$$f"; \
1939 done
1940
1941clean-cafexeclibLTLIBRARIES:
1942 -test -z "$(cafexeclib_LTLIBRARIES)" || rm -f $(cafexeclib_LTLIBRARIES)
22e05272
JM
1943 @list='$(cafexeclib_LTLIBRARIES)'; \
1944 locs=`for p in $$list; do echo $$p; done | \
1945 sed 's|^[^/]*$$|.|; s|/[^/]*$$||; s|$$|/so_locations|' | \
1946 sort -u`; \
1947 test -z "$$locs" || { \
1948 echo rm -f $${locs}; \
1949 rm -f $${locs}; \
1950 }
1951
96b8a615 1952install-toolexeclibLTLIBRARIES: $(toolexeclib_LTLIBRARIES)
6de9cd9a 1953 @$(NORMAL_INSTALL)
5213506e
RW
1954 @list='$(toolexeclib_LTLIBRARIES)'; test -n "$(toolexeclibdir)" || list=; \
1955 list2=; for p in $$list; do \
6de9cd9a 1956 if test -f $$p; then \
5213506e 1957 list2="$$list2 $$p"; \
6de9cd9a 1958 else :; fi; \
5213506e
RW
1959 done; \
1960 test -z "$$list2" || { \
6c023041
JB
1961 echo " $(MKDIR_P) '$(DESTDIR)$(toolexeclibdir)'"; \
1962 $(MKDIR_P) "$(DESTDIR)$(toolexeclibdir)" || exit 1; \
5213506e
RW
1963 echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(INSTALL) $(INSTALL_STRIP_FLAG) $$list2 '$(DESTDIR)$(toolexeclibdir)'"; \
1964 $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(INSTALL) $(INSTALL_STRIP_FLAG) $$list2 "$(DESTDIR)$(toolexeclibdir)"; \
1965 }
6de9cd9a 1966
96b8a615 1967uninstall-toolexeclibLTLIBRARIES:
6de9cd9a 1968 @$(NORMAL_UNINSTALL)
5213506e
RW
1969 @list='$(toolexeclib_LTLIBRARIES)'; test -n "$(toolexeclibdir)" || list=; \
1970 for p in $$list; do \
1971 $(am__strip_dir) \
1972 echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(toolexeclibdir)/$$f'"; \
1973 $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(toolexeclibdir)/$$f"; \
6de9cd9a
DN
1974 done
1975
96b8a615
AJ
1976clean-toolexeclibLTLIBRARIES:
1977 -test -z "$(toolexeclib_LTLIBRARIES)" || rm -f $(toolexeclib_LTLIBRARIES)
22e05272
JM
1978 @list='$(toolexeclib_LTLIBRARIES)'; \
1979 locs=`for p in $$list; do echo $$p; done | \
1980 sed 's|^[^/]*$$|.|; s|/[^/]*$$||; s|$$|/so_locations|' | \
1981 sort -u`; \
1982 test -z "$$locs" || { \
1983 echo rm -f $${locs}; \
1984 rm -f $${locs}; \
1985 }
affd24bf
FXC
1986caf/$(am__dirstamp):
1987 @$(MKDIR_P) caf
1988 @: > caf/$(am__dirstamp)
1989caf/$(DEPDIR)/$(am__dirstamp):
1990 @$(MKDIR_P) caf/$(DEPDIR)
1991 @: > caf/$(DEPDIR)/$(am__dirstamp)
1992caf/single.lo: caf/$(am__dirstamp) caf/$(DEPDIR)/$(am__dirstamp)
22e05272 1993
c20fdb91 1994libcaf_single.la: $(libcaf_single_la_OBJECTS) $(libcaf_single_la_DEPENDENCIES) $(EXTRA_libcaf_single_la_DEPENDENCIES)
22e05272 1995 $(AM_V_GEN)$(libcaf_single_la_LINK) -rpath $(cafexeclibdir) $(libcaf_single_la_OBJECTS) $(libcaf_single_la_LIBADD) $(LIBS)
affd24bf
FXC
1996runtime/$(am__dirstamp):
1997 @$(MKDIR_P) runtime
1998 @: > runtime/$(am__dirstamp)
1999runtime/$(DEPDIR)/$(am__dirstamp):
2000 @$(MKDIR_P) runtime/$(DEPDIR)
2001 @: > runtime/$(DEPDIR)/$(am__dirstamp)
2002runtime/bounds.lo: runtime/$(am__dirstamp) \
2003 runtime/$(DEPDIR)/$(am__dirstamp)
2004runtime/compile_options.lo: runtime/$(am__dirstamp) \
2005 runtime/$(DEPDIR)/$(am__dirstamp)
2006runtime/memory.lo: runtime/$(am__dirstamp) \
2007 runtime/$(DEPDIR)/$(am__dirstamp)
2008runtime/string.lo: runtime/$(am__dirstamp) \
2009 runtime/$(DEPDIR)/$(am__dirstamp)
2010runtime/select.lo: runtime/$(am__dirstamp) \
2011 runtime/$(DEPDIR)/$(am__dirstamp)
2012runtime/minimal.lo: runtime/$(am__dirstamp) \
2013 runtime/$(DEPDIR)/$(am__dirstamp)
2014runtime/backtrace.lo: runtime/$(am__dirstamp) \
2015 runtime/$(DEPDIR)/$(am__dirstamp)
2016runtime/convert_char.lo: runtime/$(am__dirstamp) \
2017 runtime/$(DEPDIR)/$(am__dirstamp)
2018runtime/environ.lo: runtime/$(am__dirstamp) \
2019 runtime/$(DEPDIR)/$(am__dirstamp)
2020runtime/error.lo: runtime/$(am__dirstamp) \
2021 runtime/$(DEPDIR)/$(am__dirstamp)
2022runtime/fpu.lo: runtime/$(am__dirstamp) \
2023 runtime/$(DEPDIR)/$(am__dirstamp)
2024runtime/main.lo: runtime/$(am__dirstamp) \
2025 runtime/$(DEPDIR)/$(am__dirstamp)
2026runtime/pause.lo: runtime/$(am__dirstamp) \
2027 runtime/$(DEPDIR)/$(am__dirstamp)
2028runtime/stop.lo: runtime/$(am__dirstamp) \
2029 runtime/$(DEPDIR)/$(am__dirstamp)
2030generated/$(am__dirstamp):
2031 @$(MKDIR_P) generated
2032 @: > generated/$(am__dirstamp)
2033generated/$(DEPDIR)/$(am__dirstamp):
2034 @$(MKDIR_P) generated/$(DEPDIR)
2035 @: > generated/$(DEPDIR)/$(am__dirstamp)
2036generated/all_l1.lo: generated/$(am__dirstamp) \
2037 generated/$(DEPDIR)/$(am__dirstamp)
2038generated/all_l2.lo: generated/$(am__dirstamp) \
2039 generated/$(DEPDIR)/$(am__dirstamp)
2040generated/all_l4.lo: generated/$(am__dirstamp) \
2041 generated/$(DEPDIR)/$(am__dirstamp)
2042generated/all_l8.lo: generated/$(am__dirstamp) \
2043 generated/$(DEPDIR)/$(am__dirstamp)
2044generated/all_l16.lo: generated/$(am__dirstamp) \
2045 generated/$(DEPDIR)/$(am__dirstamp)
2046generated/any_l1.lo: generated/$(am__dirstamp) \
2047 generated/$(DEPDIR)/$(am__dirstamp)
2048generated/any_l2.lo: generated/$(am__dirstamp) \
2049 generated/$(DEPDIR)/$(am__dirstamp)
2050generated/any_l4.lo: generated/$(am__dirstamp) \
2051 generated/$(DEPDIR)/$(am__dirstamp)
2052generated/any_l8.lo: generated/$(am__dirstamp) \
2053 generated/$(DEPDIR)/$(am__dirstamp)
2054generated/any_l16.lo: generated/$(am__dirstamp) \
2055 generated/$(DEPDIR)/$(am__dirstamp)
2056generated/count_1_l.lo: generated/$(am__dirstamp) \
2057 generated/$(DEPDIR)/$(am__dirstamp)
2058generated/count_2_l.lo: generated/$(am__dirstamp) \
2059 generated/$(DEPDIR)/$(am__dirstamp)
2060generated/count_4_l.lo: generated/$(am__dirstamp) \
2061 generated/$(DEPDIR)/$(am__dirstamp)
2062generated/count_8_l.lo: generated/$(am__dirstamp) \
2063 generated/$(DEPDIR)/$(am__dirstamp)
2064generated/count_16_l.lo: generated/$(am__dirstamp) \
2065 generated/$(DEPDIR)/$(am__dirstamp)
2066generated/maxloc0_4_i1.lo: generated/$(am__dirstamp) \
2067 generated/$(DEPDIR)/$(am__dirstamp)
2068generated/maxloc0_8_i1.lo: generated/$(am__dirstamp) \
2069 generated/$(DEPDIR)/$(am__dirstamp)
2070generated/maxloc0_16_i1.lo: generated/$(am__dirstamp) \
2071 generated/$(DEPDIR)/$(am__dirstamp)
2072generated/maxloc0_4_i2.lo: generated/$(am__dirstamp) \
2073 generated/$(DEPDIR)/$(am__dirstamp)
2074generated/maxloc0_8_i2.lo: generated/$(am__dirstamp) \
2075 generated/$(DEPDIR)/$(am__dirstamp)
2076generated/maxloc0_16_i2.lo: generated/$(am__dirstamp) \
2077 generated/$(DEPDIR)/$(am__dirstamp)
2078generated/maxloc0_4_i4.lo: generated/$(am__dirstamp) \
2079 generated/$(DEPDIR)/$(am__dirstamp)
2080generated/maxloc0_8_i4.lo: generated/$(am__dirstamp) \
2081 generated/$(DEPDIR)/$(am__dirstamp)
2082generated/maxloc0_16_i4.lo: generated/$(am__dirstamp) \
2083 generated/$(DEPDIR)/$(am__dirstamp)
2084generated/maxloc0_4_i8.lo: generated/$(am__dirstamp) \
2085 generated/$(DEPDIR)/$(am__dirstamp)
2086generated/maxloc0_8_i8.lo: generated/$(am__dirstamp) \
2087 generated/$(DEPDIR)/$(am__dirstamp)
2088generated/maxloc0_16_i8.lo: generated/$(am__dirstamp) \
2089 generated/$(DEPDIR)/$(am__dirstamp)
2090generated/maxloc0_4_i16.lo: generated/$(am__dirstamp) \
2091 generated/$(DEPDIR)/$(am__dirstamp)
2092generated/maxloc0_8_i16.lo: generated/$(am__dirstamp) \
2093 generated/$(DEPDIR)/$(am__dirstamp)
2094generated/maxloc0_16_i16.lo: generated/$(am__dirstamp) \
2095 generated/$(DEPDIR)/$(am__dirstamp)
2096generated/maxloc0_4_r4.lo: generated/$(am__dirstamp) \
2097 generated/$(DEPDIR)/$(am__dirstamp)
2098generated/maxloc0_8_r4.lo: generated/$(am__dirstamp) \
2099 generated/$(DEPDIR)/$(am__dirstamp)
2100generated/maxloc0_16_r4.lo: generated/$(am__dirstamp) \
2101 generated/$(DEPDIR)/$(am__dirstamp)
2102generated/maxloc0_4_r8.lo: generated/$(am__dirstamp) \
2103 generated/$(DEPDIR)/$(am__dirstamp)
2104generated/maxloc0_8_r8.lo: generated/$(am__dirstamp) \
2105 generated/$(DEPDIR)/$(am__dirstamp)
2106generated/maxloc0_16_r8.lo: generated/$(am__dirstamp) \
2107 generated/$(DEPDIR)/$(am__dirstamp)
2108generated/maxloc0_4_r10.lo: generated/$(am__dirstamp) \
2109 generated/$(DEPDIR)/$(am__dirstamp)
2110generated/maxloc0_8_r10.lo: generated/$(am__dirstamp) \
2111 generated/$(DEPDIR)/$(am__dirstamp)
2112generated/maxloc0_16_r10.lo: generated/$(am__dirstamp) \
2113 generated/$(DEPDIR)/$(am__dirstamp)
2114generated/maxloc0_4_r16.lo: generated/$(am__dirstamp) \
2115 generated/$(DEPDIR)/$(am__dirstamp)
2116generated/maxloc0_8_r16.lo: generated/$(am__dirstamp) \
2117 generated/$(DEPDIR)/$(am__dirstamp)
2118generated/maxloc0_16_r16.lo: generated/$(am__dirstamp) \
2119 generated/$(DEPDIR)/$(am__dirstamp)
2120generated/maxloc0_4_r17.lo: generated/$(am__dirstamp) \
2121 generated/$(DEPDIR)/$(am__dirstamp)
2122generated/maxloc0_8_r17.lo: generated/$(am__dirstamp) \
2123 generated/$(DEPDIR)/$(am__dirstamp)
2124generated/maxloc0_16_r17.lo: generated/$(am__dirstamp) \
2125 generated/$(DEPDIR)/$(am__dirstamp)
2126generated/maxloc1_4_i1.lo: generated/$(am__dirstamp) \
2127 generated/$(DEPDIR)/$(am__dirstamp)
2128generated/maxloc1_8_i1.lo: generated/$(am__dirstamp) \
2129 generated/$(DEPDIR)/$(am__dirstamp)
2130generated/maxloc1_16_i1.lo: generated/$(am__dirstamp) \
2131 generated/$(DEPDIR)/$(am__dirstamp)
2132generated/maxloc1_4_i2.lo: generated/$(am__dirstamp) \
2133 generated/$(DEPDIR)/$(am__dirstamp)
2134generated/maxloc1_8_i2.lo: generated/$(am__dirstamp) \
2135 generated/$(DEPDIR)/$(am__dirstamp)
2136generated/maxloc1_16_i2.lo: generated/$(am__dirstamp) \
2137 generated/$(DEPDIR)/$(am__dirstamp)
2138generated/maxloc1_4_i4.lo: generated/$(am__dirstamp) \
2139 generated/$(DEPDIR)/$(am__dirstamp)
2140generated/maxloc1_8_i4.lo: generated/$(am__dirstamp) \
2141 generated/$(DEPDIR)/$(am__dirstamp)
2142generated/maxloc1_16_i4.lo: generated/$(am__dirstamp) \
2143 generated/$(DEPDIR)/$(am__dirstamp)
2144generated/maxloc1_4_i8.lo: generated/$(am__dirstamp) \
2145 generated/$(DEPDIR)/$(am__dirstamp)
2146generated/maxloc1_8_i8.lo: generated/$(am__dirstamp) \
2147 generated/$(DEPDIR)/$(am__dirstamp)
2148generated/maxloc1_16_i8.lo: generated/$(am__dirstamp) \
2149 generated/$(DEPDIR)/$(am__dirstamp)
2150generated/maxloc1_4_i16.lo: generated/$(am__dirstamp) \
2151 generated/$(DEPDIR)/$(am__dirstamp)
2152generated/maxloc1_8_i16.lo: generated/$(am__dirstamp) \
2153 generated/$(DEPDIR)/$(am__dirstamp)
2154generated/maxloc1_16_i16.lo: generated/$(am__dirstamp) \
2155 generated/$(DEPDIR)/$(am__dirstamp)
2156generated/maxloc1_4_r4.lo: generated/$(am__dirstamp) \
2157 generated/$(DEPDIR)/$(am__dirstamp)
2158generated/maxloc1_8_r4.lo: generated/$(am__dirstamp) \
2159 generated/$(DEPDIR)/$(am__dirstamp)
2160generated/maxloc1_16_r4.lo: generated/$(am__dirstamp) \
2161 generated/$(DEPDIR)/$(am__dirstamp)
2162generated/maxloc1_4_r8.lo: generated/$(am__dirstamp) \
2163 generated/$(DEPDIR)/$(am__dirstamp)
2164generated/maxloc1_8_r8.lo: generated/$(am__dirstamp) \
2165 generated/$(DEPDIR)/$(am__dirstamp)
2166generated/maxloc1_16_r8.lo: generated/$(am__dirstamp) \
2167 generated/$(DEPDIR)/$(am__dirstamp)
2168generated/maxloc1_4_r10.lo: generated/$(am__dirstamp) \
2169 generated/$(DEPDIR)/$(am__dirstamp)
2170generated/maxloc1_8_r10.lo: generated/$(am__dirstamp) \
2171 generated/$(DEPDIR)/$(am__dirstamp)
2172generated/maxloc1_16_r10.lo: generated/$(am__dirstamp) \
2173 generated/$(DEPDIR)/$(am__dirstamp)
2174generated/maxloc1_4_r16.lo: generated/$(am__dirstamp) \
2175 generated/$(DEPDIR)/$(am__dirstamp)
2176generated/maxloc1_8_r16.lo: generated/$(am__dirstamp) \
2177 generated/$(DEPDIR)/$(am__dirstamp)
2178generated/maxloc1_16_r16.lo: generated/$(am__dirstamp) \
2179 generated/$(DEPDIR)/$(am__dirstamp)
2180generated/maxloc1_4_r17.lo: generated/$(am__dirstamp) \
2181 generated/$(DEPDIR)/$(am__dirstamp)
2182generated/maxloc1_8_r17.lo: generated/$(am__dirstamp) \
2183 generated/$(DEPDIR)/$(am__dirstamp)
2184generated/maxloc1_16_r17.lo: generated/$(am__dirstamp) \
2185 generated/$(DEPDIR)/$(am__dirstamp)
2186generated/maxval_i1.lo: generated/$(am__dirstamp) \
2187 generated/$(DEPDIR)/$(am__dirstamp)
2188generated/maxval_i2.lo: generated/$(am__dirstamp) \
2189 generated/$(DEPDIR)/$(am__dirstamp)
2190generated/maxval_i4.lo: generated/$(am__dirstamp) \
2191 generated/$(DEPDIR)/$(am__dirstamp)
2192generated/maxval_i8.lo: generated/$(am__dirstamp) \
2193 generated/$(DEPDIR)/$(am__dirstamp)
2194generated/maxval_i16.lo: generated/$(am__dirstamp) \
2195 generated/$(DEPDIR)/$(am__dirstamp)
2196generated/maxval_r4.lo: generated/$(am__dirstamp) \
2197 generated/$(DEPDIR)/$(am__dirstamp)
2198generated/maxval_r8.lo: generated/$(am__dirstamp) \
2199 generated/$(DEPDIR)/$(am__dirstamp)
2200generated/maxval_r10.lo: generated/$(am__dirstamp) \
2201 generated/$(DEPDIR)/$(am__dirstamp)
2202generated/maxval_r16.lo: generated/$(am__dirstamp) \
2203 generated/$(DEPDIR)/$(am__dirstamp)
2204generated/maxval_r17.lo: generated/$(am__dirstamp) \
2205 generated/$(DEPDIR)/$(am__dirstamp)
2206generated/minloc0_4_i1.lo: generated/$(am__dirstamp) \
2207 generated/$(DEPDIR)/$(am__dirstamp)
2208generated/minloc0_8_i1.lo: generated/$(am__dirstamp) \
2209 generated/$(DEPDIR)/$(am__dirstamp)
2210generated/minloc0_16_i1.lo: generated/$(am__dirstamp) \
2211 generated/$(DEPDIR)/$(am__dirstamp)
2212generated/minloc0_4_i2.lo: generated/$(am__dirstamp) \
2213 generated/$(DEPDIR)/$(am__dirstamp)
2214generated/minloc0_8_i2.lo: generated/$(am__dirstamp) \
2215 generated/$(DEPDIR)/$(am__dirstamp)
2216generated/minloc0_16_i2.lo: generated/$(am__dirstamp) \
2217 generated/$(DEPDIR)/$(am__dirstamp)
2218generated/minloc0_4_i4.lo: generated/$(am__dirstamp) \
2219 generated/$(DEPDIR)/$(am__dirstamp)
2220generated/minloc0_8_i4.lo: generated/$(am__dirstamp) \
2221 generated/$(DEPDIR)/$(am__dirstamp)
2222generated/minloc0_16_i4.lo: generated/$(am__dirstamp) \
2223 generated/$(DEPDIR)/$(am__dirstamp)
2224generated/minloc0_4_i8.lo: generated/$(am__dirstamp) \
2225 generated/$(DEPDIR)/$(am__dirstamp)
2226generated/minloc0_8_i8.lo: generated/$(am__dirstamp) \
2227 generated/$(DEPDIR)/$(am__dirstamp)
2228generated/minloc0_16_i8.lo: generated/$(am__dirstamp) \
2229 generated/$(DEPDIR)/$(am__dirstamp)
2230generated/minloc0_4_i16.lo: generated/$(am__dirstamp) \
2231 generated/$(DEPDIR)/$(am__dirstamp)
2232generated/minloc0_8_i16.lo: generated/$(am__dirstamp) \
2233 generated/$(DEPDIR)/$(am__dirstamp)
2234generated/minloc0_16_i16.lo: generated/$(am__dirstamp) \
2235 generated/$(DEPDIR)/$(am__dirstamp)
2236generated/minloc0_4_r4.lo: generated/$(am__dirstamp) \
2237 generated/$(DEPDIR)/$(am__dirstamp)
2238generated/minloc0_8_r4.lo: generated/$(am__dirstamp) \
2239 generated/$(DEPDIR)/$(am__dirstamp)
2240generated/minloc0_16_r4.lo: generated/$(am__dirstamp) \
2241 generated/$(DEPDIR)/$(am__dirstamp)
2242generated/minloc0_4_r8.lo: generated/$(am__dirstamp) \
2243 generated/$(DEPDIR)/$(am__dirstamp)
2244generated/minloc0_8_r8.lo: generated/$(am__dirstamp) \
2245 generated/$(DEPDIR)/$(am__dirstamp)
2246generated/minloc0_16_r8.lo: generated/$(am__dirstamp) \
2247 generated/$(DEPDIR)/$(am__dirstamp)
2248generated/minloc0_4_r10.lo: generated/$(am__dirstamp) \
2249 generated/$(DEPDIR)/$(am__dirstamp)
2250generated/minloc0_8_r10.lo: generated/$(am__dirstamp) \
2251 generated/$(DEPDIR)/$(am__dirstamp)
2252generated/minloc0_16_r10.lo: generated/$(am__dirstamp) \
2253 generated/$(DEPDIR)/$(am__dirstamp)
2254generated/minloc0_4_r16.lo: generated/$(am__dirstamp) \
2255 generated/$(DEPDIR)/$(am__dirstamp)
2256generated/minloc0_8_r16.lo: generated/$(am__dirstamp) \
2257 generated/$(DEPDIR)/$(am__dirstamp)
2258generated/minloc0_16_r16.lo: generated/$(am__dirstamp) \
2259 generated/$(DEPDIR)/$(am__dirstamp)
2260generated/minloc0_4_r17.lo: generated/$(am__dirstamp) \
2261 generated/$(DEPDIR)/$(am__dirstamp)
2262generated/minloc0_8_r17.lo: generated/$(am__dirstamp) \
2263 generated/$(DEPDIR)/$(am__dirstamp)
2264generated/minloc0_16_r17.lo: generated/$(am__dirstamp) \
2265 generated/$(DEPDIR)/$(am__dirstamp)
2266generated/minloc1_4_i1.lo: generated/$(am__dirstamp) \
2267 generated/$(DEPDIR)/$(am__dirstamp)
2268generated/minloc1_8_i1.lo: generated/$(am__dirstamp) \
2269 generated/$(DEPDIR)/$(am__dirstamp)
2270generated/minloc1_16_i1.lo: generated/$(am__dirstamp) \
2271 generated/$(DEPDIR)/$(am__dirstamp)
2272generated/minloc1_4_i2.lo: generated/$(am__dirstamp) \
2273 generated/$(DEPDIR)/$(am__dirstamp)
2274generated/minloc1_8_i2.lo: generated/$(am__dirstamp) \
2275 generated/$(DEPDIR)/$(am__dirstamp)
2276generated/minloc1_16_i2.lo: generated/$(am__dirstamp) \
2277 generated/$(DEPDIR)/$(am__dirstamp)
2278generated/minloc1_4_i4.lo: generated/$(am__dirstamp) \
2279 generated/$(DEPDIR)/$(am__dirstamp)
2280generated/minloc1_8_i4.lo: generated/$(am__dirstamp) \
2281 generated/$(DEPDIR)/$(am__dirstamp)
2282generated/minloc1_16_i4.lo: generated/$(am__dirstamp) \
2283 generated/$(DEPDIR)/$(am__dirstamp)
2284generated/minloc1_4_i8.lo: generated/$(am__dirstamp) \
2285 generated/$(DEPDIR)/$(am__dirstamp)
2286generated/minloc1_8_i8.lo: generated/$(am__dirstamp) \
2287 generated/$(DEPDIR)/$(am__dirstamp)
2288generated/minloc1_16_i8.lo: generated/$(am__dirstamp) \
2289 generated/$(DEPDIR)/$(am__dirstamp)
2290generated/minloc1_4_i16.lo: generated/$(am__dirstamp) \
2291 generated/$(DEPDIR)/$(am__dirstamp)
2292generated/minloc1_8_i16.lo: generated/$(am__dirstamp) \
2293 generated/$(DEPDIR)/$(am__dirstamp)
2294generated/minloc1_16_i16.lo: generated/$(am__dirstamp) \
2295 generated/$(DEPDIR)/$(am__dirstamp)
2296generated/minloc1_4_r4.lo: generated/$(am__dirstamp) \
2297 generated/$(DEPDIR)/$(am__dirstamp)
2298generated/minloc1_8_r4.lo: generated/$(am__dirstamp) \
2299 generated/$(DEPDIR)/$(am__dirstamp)
2300generated/minloc1_16_r4.lo: generated/$(am__dirstamp) \
2301 generated/$(DEPDIR)/$(am__dirstamp)
2302generated/minloc1_4_r8.lo: generated/$(am__dirstamp) \
2303 generated/$(DEPDIR)/$(am__dirstamp)
2304generated/minloc1_8_r8.lo: generated/$(am__dirstamp) \
2305 generated/$(DEPDIR)/$(am__dirstamp)
2306generated/minloc1_16_r8.lo: generated/$(am__dirstamp) \
2307 generated/$(DEPDIR)/$(am__dirstamp)
2308generated/minloc1_4_r10.lo: generated/$(am__dirstamp) \
2309 generated/$(DEPDIR)/$(am__dirstamp)
2310generated/minloc1_8_r10.lo: generated/$(am__dirstamp) \
2311 generated/$(DEPDIR)/$(am__dirstamp)
2312generated/minloc1_16_r10.lo: generated/$(am__dirstamp) \
2313 generated/$(DEPDIR)/$(am__dirstamp)
2314generated/minloc1_4_r16.lo: generated/$(am__dirstamp) \
2315 generated/$(DEPDIR)/$(am__dirstamp)
2316generated/minloc1_8_r16.lo: generated/$(am__dirstamp) \
2317 generated/$(DEPDIR)/$(am__dirstamp)
2318generated/minloc1_16_r16.lo: generated/$(am__dirstamp) \
2319 generated/$(DEPDIR)/$(am__dirstamp)
2320generated/minloc1_4_r17.lo: generated/$(am__dirstamp) \
2321 generated/$(DEPDIR)/$(am__dirstamp)
2322generated/minloc1_8_r17.lo: generated/$(am__dirstamp) \
2323 generated/$(DEPDIR)/$(am__dirstamp)
2324generated/minloc1_16_r17.lo: generated/$(am__dirstamp) \
2325 generated/$(DEPDIR)/$(am__dirstamp)
2326generated/minval_i1.lo: generated/$(am__dirstamp) \
2327 generated/$(DEPDIR)/$(am__dirstamp)
2328generated/minval_i2.lo: generated/$(am__dirstamp) \
2329 generated/$(DEPDIR)/$(am__dirstamp)
2330generated/minval_i4.lo: generated/$(am__dirstamp) \
2331 generated/$(DEPDIR)/$(am__dirstamp)
2332generated/minval_i8.lo: generated/$(am__dirstamp) \
2333 generated/$(DEPDIR)/$(am__dirstamp)
2334generated/minval_i16.lo: generated/$(am__dirstamp) \
2335 generated/$(DEPDIR)/$(am__dirstamp)
2336generated/minval_r4.lo: generated/$(am__dirstamp) \
2337 generated/$(DEPDIR)/$(am__dirstamp)
2338generated/minval_r8.lo: generated/$(am__dirstamp) \
2339 generated/$(DEPDIR)/$(am__dirstamp)
2340generated/minval_r10.lo: generated/$(am__dirstamp) \
2341 generated/$(DEPDIR)/$(am__dirstamp)
2342generated/minval_r16.lo: generated/$(am__dirstamp) \
2343 generated/$(DEPDIR)/$(am__dirstamp)
2344generated/minval_r17.lo: generated/$(am__dirstamp) \
2345 generated/$(DEPDIR)/$(am__dirstamp)
2346generated/product_i1.lo: generated/$(am__dirstamp) \
2347 generated/$(DEPDIR)/$(am__dirstamp)
2348generated/product_i2.lo: generated/$(am__dirstamp) \
2349 generated/$(DEPDIR)/$(am__dirstamp)
2350generated/product_i4.lo: generated/$(am__dirstamp) \
2351 generated/$(DEPDIR)/$(am__dirstamp)
2352generated/product_i8.lo: generated/$(am__dirstamp) \
2353 generated/$(DEPDIR)/$(am__dirstamp)
2354generated/product_i16.lo: generated/$(am__dirstamp) \
2355 generated/$(DEPDIR)/$(am__dirstamp)
2356generated/product_r4.lo: generated/$(am__dirstamp) \
2357 generated/$(DEPDIR)/$(am__dirstamp)
2358generated/product_r8.lo: generated/$(am__dirstamp) \
2359 generated/$(DEPDIR)/$(am__dirstamp)
2360generated/product_r10.lo: generated/$(am__dirstamp) \
2361 generated/$(DEPDIR)/$(am__dirstamp)
2362generated/product_r16.lo: generated/$(am__dirstamp) \
2363 generated/$(DEPDIR)/$(am__dirstamp)
2364generated/product_r17.lo: generated/$(am__dirstamp) \
2365 generated/$(DEPDIR)/$(am__dirstamp)
2366generated/product_c4.lo: generated/$(am__dirstamp) \
2367 generated/$(DEPDIR)/$(am__dirstamp)
2368generated/product_c8.lo: generated/$(am__dirstamp) \
2369 generated/$(DEPDIR)/$(am__dirstamp)
2370generated/product_c10.lo: generated/$(am__dirstamp) \
2371 generated/$(DEPDIR)/$(am__dirstamp)
2372generated/product_c16.lo: generated/$(am__dirstamp) \
2373 generated/$(DEPDIR)/$(am__dirstamp)
2374generated/product_c17.lo: generated/$(am__dirstamp) \
2375 generated/$(DEPDIR)/$(am__dirstamp)
2376generated/sum_i1.lo: generated/$(am__dirstamp) \
2377 generated/$(DEPDIR)/$(am__dirstamp)
2378generated/sum_i2.lo: generated/$(am__dirstamp) \
2379 generated/$(DEPDIR)/$(am__dirstamp)
2380generated/sum_i4.lo: generated/$(am__dirstamp) \
2381 generated/$(DEPDIR)/$(am__dirstamp)
2382generated/sum_i8.lo: generated/$(am__dirstamp) \
2383 generated/$(DEPDIR)/$(am__dirstamp)
2384generated/sum_i16.lo: generated/$(am__dirstamp) \
2385 generated/$(DEPDIR)/$(am__dirstamp)
2386generated/sum_r4.lo: generated/$(am__dirstamp) \
2387 generated/$(DEPDIR)/$(am__dirstamp)
2388generated/sum_r8.lo: generated/$(am__dirstamp) \
2389 generated/$(DEPDIR)/$(am__dirstamp)
2390generated/sum_r10.lo: generated/$(am__dirstamp) \
2391 generated/$(DEPDIR)/$(am__dirstamp)
2392generated/sum_r16.lo: generated/$(am__dirstamp) \
2393 generated/$(DEPDIR)/$(am__dirstamp)
2394generated/sum_r17.lo: generated/$(am__dirstamp) \
2395 generated/$(DEPDIR)/$(am__dirstamp)
2396generated/sum_c4.lo: generated/$(am__dirstamp) \
2397 generated/$(DEPDIR)/$(am__dirstamp)
2398generated/sum_c8.lo: generated/$(am__dirstamp) \
2399 generated/$(DEPDIR)/$(am__dirstamp)
2400generated/sum_c10.lo: generated/$(am__dirstamp) \
2401 generated/$(DEPDIR)/$(am__dirstamp)
2402generated/sum_c16.lo: generated/$(am__dirstamp) \
2403 generated/$(DEPDIR)/$(am__dirstamp)
2404generated/sum_c17.lo: generated/$(am__dirstamp) \
2405 generated/$(DEPDIR)/$(am__dirstamp)
2406generated/bessel_r4.lo: generated/$(am__dirstamp) \
2407 generated/$(DEPDIR)/$(am__dirstamp)
2408generated/bessel_r8.lo: generated/$(am__dirstamp) \
2409 generated/$(DEPDIR)/$(am__dirstamp)
2410generated/bessel_r10.lo: generated/$(am__dirstamp) \
2411 generated/$(DEPDIR)/$(am__dirstamp)
2412generated/bessel_r16.lo: generated/$(am__dirstamp) \
2413 generated/$(DEPDIR)/$(am__dirstamp)
2414generated/bessel_r17.lo: generated/$(am__dirstamp) \
2415 generated/$(DEPDIR)/$(am__dirstamp)
2416generated/iall_i1.lo: generated/$(am__dirstamp) \
2417 generated/$(DEPDIR)/$(am__dirstamp)
2418generated/iall_i2.lo: generated/$(am__dirstamp) \
2419 generated/$(DEPDIR)/$(am__dirstamp)
2420generated/iall_i4.lo: generated/$(am__dirstamp) \
2421 generated/$(DEPDIR)/$(am__dirstamp)
2422generated/iall_i8.lo: generated/$(am__dirstamp) \
2423 generated/$(DEPDIR)/$(am__dirstamp)
2424generated/iall_i16.lo: generated/$(am__dirstamp) \
2425 generated/$(DEPDIR)/$(am__dirstamp)
2426generated/iany_i1.lo: generated/$(am__dirstamp) \
2427 generated/$(DEPDIR)/$(am__dirstamp)
2428generated/iany_i2.lo: generated/$(am__dirstamp) \
2429 generated/$(DEPDIR)/$(am__dirstamp)
2430generated/iany_i4.lo: generated/$(am__dirstamp) \
2431 generated/$(DEPDIR)/$(am__dirstamp)
2432generated/iany_i8.lo: generated/$(am__dirstamp) \
2433 generated/$(DEPDIR)/$(am__dirstamp)
2434generated/iany_i16.lo: generated/$(am__dirstamp) \
2435 generated/$(DEPDIR)/$(am__dirstamp)
2436generated/iparity_i1.lo: generated/$(am__dirstamp) \
2437 generated/$(DEPDIR)/$(am__dirstamp)
2438generated/iparity_i2.lo: generated/$(am__dirstamp) \
2439 generated/$(DEPDIR)/$(am__dirstamp)
2440generated/iparity_i4.lo: generated/$(am__dirstamp) \
2441 generated/$(DEPDIR)/$(am__dirstamp)
2442generated/iparity_i8.lo: generated/$(am__dirstamp) \
2443 generated/$(DEPDIR)/$(am__dirstamp)
2444generated/iparity_i16.lo: generated/$(am__dirstamp) \
2445 generated/$(DEPDIR)/$(am__dirstamp)
2446generated/norm2_r4.lo: generated/$(am__dirstamp) \
2447 generated/$(DEPDIR)/$(am__dirstamp)
2448generated/norm2_r8.lo: generated/$(am__dirstamp) \
2449 generated/$(DEPDIR)/$(am__dirstamp)
2450generated/norm2_r10.lo: generated/$(am__dirstamp) \
2451 generated/$(DEPDIR)/$(am__dirstamp)
2452generated/norm2_r16.lo: generated/$(am__dirstamp) \
2453 generated/$(DEPDIR)/$(am__dirstamp)
2454generated/norm2_r17.lo: generated/$(am__dirstamp) \
2455 generated/$(DEPDIR)/$(am__dirstamp)
2456generated/parity_l1.lo: generated/$(am__dirstamp) \
2457 generated/$(DEPDIR)/$(am__dirstamp)
2458generated/parity_l2.lo: generated/$(am__dirstamp) \
2459 generated/$(DEPDIR)/$(am__dirstamp)
2460generated/parity_l4.lo: generated/$(am__dirstamp) \
2461 generated/$(DEPDIR)/$(am__dirstamp)
2462generated/parity_l8.lo: generated/$(am__dirstamp) \
2463 generated/$(DEPDIR)/$(am__dirstamp)
2464generated/parity_l16.lo: generated/$(am__dirstamp) \
2465 generated/$(DEPDIR)/$(am__dirstamp)
2466generated/matmul_i1.lo: generated/$(am__dirstamp) \
2467 generated/$(DEPDIR)/$(am__dirstamp)
2468generated/matmul_i2.lo: generated/$(am__dirstamp) \
2469 generated/$(DEPDIR)/$(am__dirstamp)
2470generated/matmul_i4.lo: generated/$(am__dirstamp) \
2471 generated/$(DEPDIR)/$(am__dirstamp)
2472generated/matmul_i8.lo: generated/$(am__dirstamp) \
2473 generated/$(DEPDIR)/$(am__dirstamp)
2474generated/matmul_i16.lo: generated/$(am__dirstamp) \
2475 generated/$(DEPDIR)/$(am__dirstamp)
2476generated/matmul_r4.lo: generated/$(am__dirstamp) \
2477 generated/$(DEPDIR)/$(am__dirstamp)
2478generated/matmul_r8.lo: generated/$(am__dirstamp) \
2479 generated/$(DEPDIR)/$(am__dirstamp)
2480generated/matmul_r10.lo: generated/$(am__dirstamp) \
2481 generated/$(DEPDIR)/$(am__dirstamp)
2482generated/matmul_r16.lo: generated/$(am__dirstamp) \
2483 generated/$(DEPDIR)/$(am__dirstamp)
2484generated/matmul_r17.lo: generated/$(am__dirstamp) \
2485 generated/$(DEPDIR)/$(am__dirstamp)
2486generated/matmul_c4.lo: generated/$(am__dirstamp) \
2487 generated/$(DEPDIR)/$(am__dirstamp)
2488generated/matmul_c8.lo: generated/$(am__dirstamp) \
2489 generated/$(DEPDIR)/$(am__dirstamp)
2490generated/matmul_c10.lo: generated/$(am__dirstamp) \
2491 generated/$(DEPDIR)/$(am__dirstamp)
2492generated/matmul_c16.lo: generated/$(am__dirstamp) \
2493 generated/$(DEPDIR)/$(am__dirstamp)
2494generated/matmul_c17.lo: generated/$(am__dirstamp) \
2495 generated/$(DEPDIR)/$(am__dirstamp)
2496generated/matmul_l4.lo: generated/$(am__dirstamp) \
2497 generated/$(DEPDIR)/$(am__dirstamp)
2498generated/matmul_l8.lo: generated/$(am__dirstamp) \
2499 generated/$(DEPDIR)/$(am__dirstamp)
2500generated/matmul_l16.lo: generated/$(am__dirstamp) \
2501 generated/$(DEPDIR)/$(am__dirstamp)
2502generated/shape_i1.lo: generated/$(am__dirstamp) \
2503 generated/$(DEPDIR)/$(am__dirstamp)
2504generated/shape_i2.lo: generated/$(am__dirstamp) \
2505 generated/$(DEPDIR)/$(am__dirstamp)
2506generated/shape_i4.lo: generated/$(am__dirstamp) \
2507 generated/$(DEPDIR)/$(am__dirstamp)
2508generated/shape_i8.lo: generated/$(am__dirstamp) \
2509 generated/$(DEPDIR)/$(am__dirstamp)
2510generated/shape_i16.lo: generated/$(am__dirstamp) \
2511 generated/$(DEPDIR)/$(am__dirstamp)
2512generated/eoshift1_4.lo: generated/$(am__dirstamp) \
2513 generated/$(DEPDIR)/$(am__dirstamp)
2514generated/eoshift1_8.lo: generated/$(am__dirstamp) \
2515 generated/$(DEPDIR)/$(am__dirstamp)
2516generated/eoshift1_16.lo: generated/$(am__dirstamp) \
2517 generated/$(DEPDIR)/$(am__dirstamp)
2518generated/eoshift3_4.lo: generated/$(am__dirstamp) \
2519 generated/$(DEPDIR)/$(am__dirstamp)
2520generated/eoshift3_8.lo: generated/$(am__dirstamp) \
2521 generated/$(DEPDIR)/$(am__dirstamp)
2522generated/eoshift3_16.lo: generated/$(am__dirstamp) \
2523 generated/$(DEPDIR)/$(am__dirstamp)
2524generated/cshift1_4.lo: generated/$(am__dirstamp) \
2525 generated/$(DEPDIR)/$(am__dirstamp)
2526generated/cshift1_8.lo: generated/$(am__dirstamp) \
2527 generated/$(DEPDIR)/$(am__dirstamp)
2528generated/cshift1_16.lo: generated/$(am__dirstamp) \
2529 generated/$(DEPDIR)/$(am__dirstamp)
2530generated/reshape_i4.lo: generated/$(am__dirstamp) \
2531 generated/$(DEPDIR)/$(am__dirstamp)
2532generated/reshape_i8.lo: generated/$(am__dirstamp) \
2533 generated/$(DEPDIR)/$(am__dirstamp)
2534generated/reshape_i16.lo: generated/$(am__dirstamp) \
2535 generated/$(DEPDIR)/$(am__dirstamp)
2536generated/reshape_r4.lo: generated/$(am__dirstamp) \
2537 generated/$(DEPDIR)/$(am__dirstamp)
2538generated/reshape_r8.lo: generated/$(am__dirstamp) \
2539 generated/$(DEPDIR)/$(am__dirstamp)
2540generated/reshape_r10.lo: generated/$(am__dirstamp) \
2541 generated/$(DEPDIR)/$(am__dirstamp)
2542generated/reshape_r16.lo: generated/$(am__dirstamp) \
2543 generated/$(DEPDIR)/$(am__dirstamp)
2544generated/reshape_r17.lo: generated/$(am__dirstamp) \
2545 generated/$(DEPDIR)/$(am__dirstamp)
2546generated/reshape_c4.lo: generated/$(am__dirstamp) \
2547 generated/$(DEPDIR)/$(am__dirstamp)
2548generated/reshape_c8.lo: generated/$(am__dirstamp) \
2549 generated/$(DEPDIR)/$(am__dirstamp)
2550generated/reshape_c10.lo: generated/$(am__dirstamp) \
2551 generated/$(DEPDIR)/$(am__dirstamp)
2552generated/reshape_c16.lo: generated/$(am__dirstamp) \
2553 generated/$(DEPDIR)/$(am__dirstamp)
2554generated/reshape_c17.lo: generated/$(am__dirstamp) \
2555 generated/$(DEPDIR)/$(am__dirstamp)
2556generated/in_pack_i1.lo: generated/$(am__dirstamp) \
2557 generated/$(DEPDIR)/$(am__dirstamp)
2558generated/in_pack_i2.lo: generated/$(am__dirstamp) \
2559 generated/$(DEPDIR)/$(am__dirstamp)
2560generated/in_pack_i4.lo: generated/$(am__dirstamp) \
2561 generated/$(DEPDIR)/$(am__dirstamp)
2562generated/in_pack_i8.lo: generated/$(am__dirstamp) \
2563 generated/$(DEPDIR)/$(am__dirstamp)
2564generated/in_pack_i16.lo: generated/$(am__dirstamp) \
2565 generated/$(DEPDIR)/$(am__dirstamp)
2566generated/in_pack_r4.lo: generated/$(am__dirstamp) \
2567 generated/$(DEPDIR)/$(am__dirstamp)
2568generated/in_pack_r8.lo: generated/$(am__dirstamp) \
2569 generated/$(DEPDIR)/$(am__dirstamp)
2570generated/in_pack_r10.lo: generated/$(am__dirstamp) \
2571 generated/$(DEPDIR)/$(am__dirstamp)
2572generated/in_pack_r16.lo: generated/$(am__dirstamp) \
2573 generated/$(DEPDIR)/$(am__dirstamp)
2574generated/in_pack_r17.lo: generated/$(am__dirstamp) \
2575 generated/$(DEPDIR)/$(am__dirstamp)
2576generated/in_pack_c4.lo: generated/$(am__dirstamp) \
2577 generated/$(DEPDIR)/$(am__dirstamp)
2578generated/in_pack_c8.lo: generated/$(am__dirstamp) \
2579 generated/$(DEPDIR)/$(am__dirstamp)
2580generated/in_pack_c10.lo: generated/$(am__dirstamp) \
2581 generated/$(DEPDIR)/$(am__dirstamp)
2582generated/in_pack_c16.lo: generated/$(am__dirstamp) \
2583 generated/$(DEPDIR)/$(am__dirstamp)
2584generated/in_pack_c17.lo: generated/$(am__dirstamp) \
2585 generated/$(DEPDIR)/$(am__dirstamp)
2586generated/in_unpack_i1.lo: generated/$(am__dirstamp) \
2587 generated/$(DEPDIR)/$(am__dirstamp)
2588generated/in_unpack_i2.lo: generated/$(am__dirstamp) \
2589 generated/$(DEPDIR)/$(am__dirstamp)
2590generated/in_unpack_i4.lo: generated/$(am__dirstamp) \
2591 generated/$(DEPDIR)/$(am__dirstamp)
2592generated/in_unpack_i8.lo: generated/$(am__dirstamp) \
2593 generated/$(DEPDIR)/$(am__dirstamp)
2594generated/in_unpack_i16.lo: generated/$(am__dirstamp) \
2595 generated/$(DEPDIR)/$(am__dirstamp)
2596generated/in_unpack_r4.lo: generated/$(am__dirstamp) \
2597 generated/$(DEPDIR)/$(am__dirstamp)
2598generated/in_unpack_r8.lo: generated/$(am__dirstamp) \
2599 generated/$(DEPDIR)/$(am__dirstamp)
2600generated/in_unpack_r10.lo: generated/$(am__dirstamp) \
2601 generated/$(DEPDIR)/$(am__dirstamp)
2602generated/in_unpack_r16.lo: generated/$(am__dirstamp) \
2603 generated/$(DEPDIR)/$(am__dirstamp)
2604generated/in_unpack_r17.lo: generated/$(am__dirstamp) \
2605 generated/$(DEPDIR)/$(am__dirstamp)
2606generated/in_unpack_c4.lo: generated/$(am__dirstamp) \
2607 generated/$(DEPDIR)/$(am__dirstamp)
2608generated/in_unpack_c8.lo: generated/$(am__dirstamp) \
2609 generated/$(DEPDIR)/$(am__dirstamp)
2610generated/in_unpack_c10.lo: generated/$(am__dirstamp) \
2611 generated/$(DEPDIR)/$(am__dirstamp)
2612generated/in_unpack_c16.lo: generated/$(am__dirstamp) \
2613 generated/$(DEPDIR)/$(am__dirstamp)
2614generated/in_unpack_c17.lo: generated/$(am__dirstamp) \
2615 generated/$(DEPDIR)/$(am__dirstamp)
2616generated/pow_i4_i4.lo: generated/$(am__dirstamp) \
2617 generated/$(DEPDIR)/$(am__dirstamp)
2618generated/pow_i8_i4.lo: generated/$(am__dirstamp) \
2619 generated/$(DEPDIR)/$(am__dirstamp)
2620generated/pow_i16_i4.lo: generated/$(am__dirstamp) \
2621 generated/$(DEPDIR)/$(am__dirstamp)
2622generated/pow_r16_i4.lo: generated/$(am__dirstamp) \
2623 generated/$(DEPDIR)/$(am__dirstamp)
2624generated/pow_r17_i4.lo: generated/$(am__dirstamp) \
2625 generated/$(DEPDIR)/$(am__dirstamp)
2626generated/pow_c4_i4.lo: generated/$(am__dirstamp) \
2627 generated/$(DEPDIR)/$(am__dirstamp)
2628generated/pow_c8_i4.lo: generated/$(am__dirstamp) \
2629 generated/$(DEPDIR)/$(am__dirstamp)
2630generated/pow_c10_i4.lo: generated/$(am__dirstamp) \
2631 generated/$(DEPDIR)/$(am__dirstamp)
2632generated/pow_c16_i4.lo: generated/$(am__dirstamp) \
2633 generated/$(DEPDIR)/$(am__dirstamp)
2634generated/pow_c17_i4.lo: generated/$(am__dirstamp) \
2635 generated/$(DEPDIR)/$(am__dirstamp)
2636generated/pow_i4_i8.lo: generated/$(am__dirstamp) \
2637 generated/$(DEPDIR)/$(am__dirstamp)
2638generated/pow_i8_i8.lo: generated/$(am__dirstamp) \
2639 generated/$(DEPDIR)/$(am__dirstamp)
2640generated/pow_i16_i8.lo: generated/$(am__dirstamp) \
2641 generated/$(DEPDIR)/$(am__dirstamp)
2642generated/pow_r4_i8.lo: generated/$(am__dirstamp) \
2643 generated/$(DEPDIR)/$(am__dirstamp)
2644generated/pow_r8_i8.lo: generated/$(am__dirstamp) \
2645 generated/$(DEPDIR)/$(am__dirstamp)
2646generated/pow_r10_i8.lo: generated/$(am__dirstamp) \
2647 generated/$(DEPDIR)/$(am__dirstamp)
2648generated/pow_r16_i8.lo: generated/$(am__dirstamp) \
2649 generated/$(DEPDIR)/$(am__dirstamp)
2650generated/pow_r17_i8.lo: generated/$(am__dirstamp) \
2651 generated/$(DEPDIR)/$(am__dirstamp)
2652generated/pow_c4_i8.lo: generated/$(am__dirstamp) \
2653 generated/$(DEPDIR)/$(am__dirstamp)
2654generated/pow_c8_i8.lo: generated/$(am__dirstamp) \
2655 generated/$(DEPDIR)/$(am__dirstamp)
2656generated/pow_c10_i8.lo: generated/$(am__dirstamp) \
2657 generated/$(DEPDIR)/$(am__dirstamp)
2658generated/pow_c16_i8.lo: generated/$(am__dirstamp) \
2659 generated/$(DEPDIR)/$(am__dirstamp)
2660generated/pow_c17_i8.lo: generated/$(am__dirstamp) \
2661 generated/$(DEPDIR)/$(am__dirstamp)
2662generated/pow_i4_i16.lo: generated/$(am__dirstamp) \
2663 generated/$(DEPDIR)/$(am__dirstamp)
2664generated/pow_i8_i16.lo: generated/$(am__dirstamp) \
2665 generated/$(DEPDIR)/$(am__dirstamp)
2666generated/pow_i16_i16.lo: generated/$(am__dirstamp) \
2667 generated/$(DEPDIR)/$(am__dirstamp)
2668generated/pow_r4_i16.lo: generated/$(am__dirstamp) \
2669 generated/$(DEPDIR)/$(am__dirstamp)
2670generated/pow_r8_i16.lo: generated/$(am__dirstamp) \
2671 generated/$(DEPDIR)/$(am__dirstamp)
2672generated/pow_r10_i16.lo: generated/$(am__dirstamp) \
2673 generated/$(DEPDIR)/$(am__dirstamp)
2674generated/pow_r16_i16.lo: generated/$(am__dirstamp) \
2675 generated/$(DEPDIR)/$(am__dirstamp)
2676generated/pow_r17_i16.lo: generated/$(am__dirstamp) \
2677 generated/$(DEPDIR)/$(am__dirstamp)
2678generated/pow_c4_i16.lo: generated/$(am__dirstamp) \
2679 generated/$(DEPDIR)/$(am__dirstamp)
2680generated/pow_c8_i16.lo: generated/$(am__dirstamp) \
2681 generated/$(DEPDIR)/$(am__dirstamp)
2682generated/pow_c10_i16.lo: generated/$(am__dirstamp) \
2683 generated/$(DEPDIR)/$(am__dirstamp)
2684generated/pow_c16_i16.lo: generated/$(am__dirstamp) \
2685 generated/$(DEPDIR)/$(am__dirstamp)
2686generated/pow_c17_i16.lo: generated/$(am__dirstamp) \
2687 generated/$(DEPDIR)/$(am__dirstamp)
2688generated/pack_i1.lo: generated/$(am__dirstamp) \
2689 generated/$(DEPDIR)/$(am__dirstamp)
2690generated/pack_i2.lo: generated/$(am__dirstamp) \
2691 generated/$(DEPDIR)/$(am__dirstamp)
2692generated/pack_i4.lo: generated/$(am__dirstamp) \
2693 generated/$(DEPDIR)/$(am__dirstamp)
2694generated/pack_i8.lo: generated/$(am__dirstamp) \
2695 generated/$(DEPDIR)/$(am__dirstamp)
2696generated/pack_i16.lo: generated/$(am__dirstamp) \
2697 generated/$(DEPDIR)/$(am__dirstamp)
2698generated/pack_r4.lo: generated/$(am__dirstamp) \
2699 generated/$(DEPDIR)/$(am__dirstamp)
2700generated/pack_r8.lo: generated/$(am__dirstamp) \
2701 generated/$(DEPDIR)/$(am__dirstamp)
2702generated/pack_r10.lo: generated/$(am__dirstamp) \
2703 generated/$(DEPDIR)/$(am__dirstamp)
2704generated/pack_r16.lo: generated/$(am__dirstamp) \
2705 generated/$(DEPDIR)/$(am__dirstamp)
2706generated/pack_r17.lo: generated/$(am__dirstamp) \
2707 generated/$(DEPDIR)/$(am__dirstamp)
2708generated/pack_c4.lo: generated/$(am__dirstamp) \
2709 generated/$(DEPDIR)/$(am__dirstamp)
2710generated/pack_c8.lo: generated/$(am__dirstamp) \
2711 generated/$(DEPDIR)/$(am__dirstamp)
2712generated/pack_c10.lo: generated/$(am__dirstamp) \
2713 generated/$(DEPDIR)/$(am__dirstamp)
2714generated/pack_c16.lo: generated/$(am__dirstamp) \
2715 generated/$(DEPDIR)/$(am__dirstamp)
2716generated/pack_c17.lo: generated/$(am__dirstamp) \
2717 generated/$(DEPDIR)/$(am__dirstamp)
2718generated/unpack_i1.lo: generated/$(am__dirstamp) \
2719 generated/$(DEPDIR)/$(am__dirstamp)
2720generated/unpack_i2.lo: generated/$(am__dirstamp) \
2721 generated/$(DEPDIR)/$(am__dirstamp)
2722generated/unpack_i4.lo: generated/$(am__dirstamp) \
2723 generated/$(DEPDIR)/$(am__dirstamp)
2724generated/unpack_i8.lo: generated/$(am__dirstamp) \
2725 generated/$(DEPDIR)/$(am__dirstamp)
2726generated/unpack_i16.lo: generated/$(am__dirstamp) \
2727 generated/$(DEPDIR)/$(am__dirstamp)
2728generated/unpack_r4.lo: generated/$(am__dirstamp) \
2729 generated/$(DEPDIR)/$(am__dirstamp)
2730generated/unpack_r8.lo: generated/$(am__dirstamp) \
2731 generated/$(DEPDIR)/$(am__dirstamp)
2732generated/unpack_r10.lo: generated/$(am__dirstamp) \
2733 generated/$(DEPDIR)/$(am__dirstamp)
2734generated/unpack_r16.lo: generated/$(am__dirstamp) \
2735 generated/$(DEPDIR)/$(am__dirstamp)
2736generated/unpack_r17.lo: generated/$(am__dirstamp) \
2737 generated/$(DEPDIR)/$(am__dirstamp)
2738generated/unpack_c4.lo: generated/$(am__dirstamp) \
2739 generated/$(DEPDIR)/$(am__dirstamp)
2740generated/unpack_c8.lo: generated/$(am__dirstamp) \
2741 generated/$(DEPDIR)/$(am__dirstamp)
2742generated/unpack_c10.lo: generated/$(am__dirstamp) \
2743 generated/$(DEPDIR)/$(am__dirstamp)
2744generated/unpack_c16.lo: generated/$(am__dirstamp) \
2745 generated/$(DEPDIR)/$(am__dirstamp)
2746generated/unpack_c17.lo: generated/$(am__dirstamp) \
2747 generated/$(DEPDIR)/$(am__dirstamp)
2748generated/matmulavx128_i1.lo: generated/$(am__dirstamp) \
2749 generated/$(DEPDIR)/$(am__dirstamp)
2750generated/matmulavx128_i2.lo: generated/$(am__dirstamp) \
2751 generated/$(DEPDIR)/$(am__dirstamp)
2752generated/matmulavx128_i4.lo: generated/$(am__dirstamp) \
2753 generated/$(DEPDIR)/$(am__dirstamp)
2754generated/matmulavx128_i8.lo: generated/$(am__dirstamp) \
2755 generated/$(DEPDIR)/$(am__dirstamp)
2756generated/matmulavx128_i16.lo: generated/$(am__dirstamp) \
2757 generated/$(DEPDIR)/$(am__dirstamp)
2758generated/matmulavx128_r4.lo: generated/$(am__dirstamp) \
2759 generated/$(DEPDIR)/$(am__dirstamp)
2760generated/matmulavx128_r8.lo: generated/$(am__dirstamp) \
2761 generated/$(DEPDIR)/$(am__dirstamp)
2762generated/matmulavx128_r10.lo: generated/$(am__dirstamp) \
2763 generated/$(DEPDIR)/$(am__dirstamp)
2764generated/matmulavx128_r16.lo: generated/$(am__dirstamp) \
2765 generated/$(DEPDIR)/$(am__dirstamp)
2766generated/matmulavx128_r17.lo: generated/$(am__dirstamp) \
2767 generated/$(DEPDIR)/$(am__dirstamp)
2768generated/matmulavx128_c4.lo: generated/$(am__dirstamp) \
2769 generated/$(DEPDIR)/$(am__dirstamp)
2770generated/matmulavx128_c8.lo: generated/$(am__dirstamp) \
2771 generated/$(DEPDIR)/$(am__dirstamp)
2772generated/matmulavx128_c10.lo: generated/$(am__dirstamp) \
2773 generated/$(DEPDIR)/$(am__dirstamp)
2774generated/matmulavx128_c16.lo: generated/$(am__dirstamp) \
2775 generated/$(DEPDIR)/$(am__dirstamp)
2776generated/matmulavx128_c17.lo: generated/$(am__dirstamp) \
2777 generated/$(DEPDIR)/$(am__dirstamp)
2778generated/spread_i1.lo: generated/$(am__dirstamp) \
2779 generated/$(DEPDIR)/$(am__dirstamp)
2780generated/spread_i2.lo: generated/$(am__dirstamp) \
2781 generated/$(DEPDIR)/$(am__dirstamp)
2782generated/spread_i4.lo: generated/$(am__dirstamp) \
2783 generated/$(DEPDIR)/$(am__dirstamp)
2784generated/spread_i8.lo: generated/$(am__dirstamp) \
2785 generated/$(DEPDIR)/$(am__dirstamp)
2786generated/spread_i16.lo: generated/$(am__dirstamp) \
2787 generated/$(DEPDIR)/$(am__dirstamp)
2788generated/spread_r4.lo: generated/$(am__dirstamp) \
2789 generated/$(DEPDIR)/$(am__dirstamp)
2790generated/spread_r8.lo: generated/$(am__dirstamp) \
2791 generated/$(DEPDIR)/$(am__dirstamp)
2792generated/spread_r10.lo: generated/$(am__dirstamp) \
2793 generated/$(DEPDIR)/$(am__dirstamp)
2794generated/spread_r16.lo: generated/$(am__dirstamp) \
2795 generated/$(DEPDIR)/$(am__dirstamp)
2796generated/spread_r17.lo: generated/$(am__dirstamp) \
2797 generated/$(DEPDIR)/$(am__dirstamp)
2798generated/spread_c4.lo: generated/$(am__dirstamp) \
2799 generated/$(DEPDIR)/$(am__dirstamp)
2800generated/spread_c8.lo: generated/$(am__dirstamp) \
2801 generated/$(DEPDIR)/$(am__dirstamp)
2802generated/spread_c10.lo: generated/$(am__dirstamp) \
2803 generated/$(DEPDIR)/$(am__dirstamp)
2804generated/spread_c16.lo: generated/$(am__dirstamp) \
2805 generated/$(DEPDIR)/$(am__dirstamp)
2806generated/spread_c17.lo: generated/$(am__dirstamp) \
2807 generated/$(DEPDIR)/$(am__dirstamp)
2808generated/cshift0_i1.lo: generated/$(am__dirstamp) \
2809 generated/$(DEPDIR)/$(am__dirstamp)
2810generated/cshift0_i2.lo: generated/$(am__dirstamp) \
2811 generated/$(DEPDIR)/$(am__dirstamp)
2812generated/cshift0_i4.lo: generated/$(am__dirstamp) \
2813 generated/$(DEPDIR)/$(am__dirstamp)
2814generated/cshift0_i8.lo: generated/$(am__dirstamp) \
2815 generated/$(DEPDIR)/$(am__dirstamp)
2816generated/cshift0_i16.lo: generated/$(am__dirstamp) \
2817 generated/$(DEPDIR)/$(am__dirstamp)
2818generated/cshift0_r4.lo: generated/$(am__dirstamp) \
2819 generated/$(DEPDIR)/$(am__dirstamp)
2820generated/cshift0_r8.lo: generated/$(am__dirstamp) \
2821 generated/$(DEPDIR)/$(am__dirstamp)
2822generated/cshift0_r10.lo: generated/$(am__dirstamp) \
2823 generated/$(DEPDIR)/$(am__dirstamp)
2824generated/cshift0_r16.lo: generated/$(am__dirstamp) \
2825 generated/$(DEPDIR)/$(am__dirstamp)
2826generated/cshift0_r17.lo: generated/$(am__dirstamp) \
2827 generated/$(DEPDIR)/$(am__dirstamp)
2828generated/cshift0_c4.lo: generated/$(am__dirstamp) \
2829 generated/$(DEPDIR)/$(am__dirstamp)
2830generated/cshift0_c8.lo: generated/$(am__dirstamp) \
2831 generated/$(DEPDIR)/$(am__dirstamp)
2832generated/cshift0_c10.lo: generated/$(am__dirstamp) \
2833 generated/$(DEPDIR)/$(am__dirstamp)
2834generated/cshift0_c16.lo: generated/$(am__dirstamp) \
2835 generated/$(DEPDIR)/$(am__dirstamp)
2836generated/cshift0_c17.lo: generated/$(am__dirstamp) \
2837 generated/$(DEPDIR)/$(am__dirstamp)
2838generated/cshift1_4_i1.lo: generated/$(am__dirstamp) \
2839 generated/$(DEPDIR)/$(am__dirstamp)
2840generated/cshift1_4_i2.lo: generated/$(am__dirstamp) \
2841 generated/$(DEPDIR)/$(am__dirstamp)
2842generated/cshift1_4_i4.lo: generated/$(am__dirstamp) \
2843 generated/$(DEPDIR)/$(am__dirstamp)
2844generated/cshift1_4_i8.lo: generated/$(am__dirstamp) \
2845 generated/$(DEPDIR)/$(am__dirstamp)
2846generated/cshift1_4_i16.lo: generated/$(am__dirstamp) \
2847 generated/$(DEPDIR)/$(am__dirstamp)
2848generated/cshift1_4_r4.lo: generated/$(am__dirstamp) \
2849 generated/$(DEPDIR)/$(am__dirstamp)
2850generated/cshift1_4_r8.lo: generated/$(am__dirstamp) \
2851 generated/$(DEPDIR)/$(am__dirstamp)
2852generated/cshift1_4_r10.lo: generated/$(am__dirstamp) \
2853 generated/$(DEPDIR)/$(am__dirstamp)
2854generated/cshift1_4_r16.lo: generated/$(am__dirstamp) \
2855 generated/$(DEPDIR)/$(am__dirstamp)
2856generated/cshift1_4_r17.lo: generated/$(am__dirstamp) \
2857 generated/$(DEPDIR)/$(am__dirstamp)
2858generated/cshift1_4_c4.lo: generated/$(am__dirstamp) \
2859 generated/$(DEPDIR)/$(am__dirstamp)
2860generated/cshift1_4_c8.lo: generated/$(am__dirstamp) \
2861 generated/$(DEPDIR)/$(am__dirstamp)
2862generated/cshift1_4_c10.lo: generated/$(am__dirstamp) \
2863 generated/$(DEPDIR)/$(am__dirstamp)
2864generated/cshift1_4_c16.lo: generated/$(am__dirstamp) \
2865 generated/$(DEPDIR)/$(am__dirstamp)
2866generated/cshift1_4_c17.lo: generated/$(am__dirstamp) \
2867 generated/$(DEPDIR)/$(am__dirstamp)
2868generated/cshift1_8_i1.lo: generated/$(am__dirstamp) \
2869 generated/$(DEPDIR)/$(am__dirstamp)
2870generated/cshift1_8_i2.lo: generated/$(am__dirstamp) \
2871 generated/$(DEPDIR)/$(am__dirstamp)
2872generated/cshift1_8_i4.lo: generated/$(am__dirstamp) \
2873 generated/$(DEPDIR)/$(am__dirstamp)
2874generated/cshift1_8_i8.lo: generated/$(am__dirstamp) \
2875 generated/$(DEPDIR)/$(am__dirstamp)
2876generated/cshift1_8_i16.lo: generated/$(am__dirstamp) \
2877 generated/$(DEPDIR)/$(am__dirstamp)
2878generated/cshift1_8_r4.lo: generated/$(am__dirstamp) \
2879 generated/$(DEPDIR)/$(am__dirstamp)
2880generated/cshift1_8_r8.lo: generated/$(am__dirstamp) \
2881 generated/$(DEPDIR)/$(am__dirstamp)
2882generated/cshift1_8_r10.lo: generated/$(am__dirstamp) \
2883 generated/$(DEPDIR)/$(am__dirstamp)
2884generated/cshift1_8_r16.lo: generated/$(am__dirstamp) \
2885 generated/$(DEPDIR)/$(am__dirstamp)
2886generated/cshift1_8_r17.lo: generated/$(am__dirstamp) \
2887 generated/$(DEPDIR)/$(am__dirstamp)
2888generated/cshift1_8_c4.lo: generated/$(am__dirstamp) \
2889 generated/$(DEPDIR)/$(am__dirstamp)
2890generated/cshift1_8_c8.lo: generated/$(am__dirstamp) \
2891 generated/$(DEPDIR)/$(am__dirstamp)
2892generated/cshift1_8_c10.lo: generated/$(am__dirstamp) \
2893 generated/$(DEPDIR)/$(am__dirstamp)
2894generated/cshift1_8_c16.lo: generated/$(am__dirstamp) \
2895 generated/$(DEPDIR)/$(am__dirstamp)
2896generated/cshift1_8_c17.lo: generated/$(am__dirstamp) \
2897 generated/$(DEPDIR)/$(am__dirstamp)
2898generated/cshift1_16_i1.lo: generated/$(am__dirstamp) \
2899 generated/$(DEPDIR)/$(am__dirstamp)
2900generated/cshift1_16_i2.lo: generated/$(am__dirstamp) \
2901 generated/$(DEPDIR)/$(am__dirstamp)
2902generated/cshift1_16_i4.lo: generated/$(am__dirstamp) \
2903 generated/$(DEPDIR)/$(am__dirstamp)
2904generated/cshift1_16_i8.lo: generated/$(am__dirstamp) \
2905 generated/$(DEPDIR)/$(am__dirstamp)
2906generated/cshift1_16_i16.lo: generated/$(am__dirstamp) \
2907 generated/$(DEPDIR)/$(am__dirstamp)
2908generated/cshift1_16_r4.lo: generated/$(am__dirstamp) \
2909 generated/$(DEPDIR)/$(am__dirstamp)
2910generated/cshift1_16_r8.lo: generated/$(am__dirstamp) \
2911 generated/$(DEPDIR)/$(am__dirstamp)
2912generated/cshift1_16_r10.lo: generated/$(am__dirstamp) \
2913 generated/$(DEPDIR)/$(am__dirstamp)
2914generated/cshift1_16_r16.lo: generated/$(am__dirstamp) \
2915 generated/$(DEPDIR)/$(am__dirstamp)
2916generated/cshift1_16_r17.lo: generated/$(am__dirstamp) \
2917 generated/$(DEPDIR)/$(am__dirstamp)
2918generated/cshift1_16_c4.lo: generated/$(am__dirstamp) \
2919 generated/$(DEPDIR)/$(am__dirstamp)
2920generated/cshift1_16_c8.lo: generated/$(am__dirstamp) \
2921 generated/$(DEPDIR)/$(am__dirstamp)
2922generated/cshift1_16_c10.lo: generated/$(am__dirstamp) \
2923 generated/$(DEPDIR)/$(am__dirstamp)
2924generated/cshift1_16_c16.lo: generated/$(am__dirstamp) \
2925 generated/$(DEPDIR)/$(am__dirstamp)
2926generated/cshift1_16_c17.lo: generated/$(am__dirstamp) \
2927 generated/$(DEPDIR)/$(am__dirstamp)
2928generated/maxloc0_4_s1.lo: generated/$(am__dirstamp) \
2929 generated/$(DEPDIR)/$(am__dirstamp)
2930generated/maxloc0_4_s4.lo: generated/$(am__dirstamp) \
2931 generated/$(DEPDIR)/$(am__dirstamp)
2932generated/maxloc0_8_s1.lo: generated/$(am__dirstamp) \
2933 generated/$(DEPDIR)/$(am__dirstamp)
2934generated/maxloc0_8_s4.lo: generated/$(am__dirstamp) \
2935 generated/$(DEPDIR)/$(am__dirstamp)
2936generated/maxloc0_16_s1.lo: generated/$(am__dirstamp) \
2937 generated/$(DEPDIR)/$(am__dirstamp)
2938generated/maxloc0_16_s4.lo: generated/$(am__dirstamp) \
2939 generated/$(DEPDIR)/$(am__dirstamp)
2940generated/minloc0_4_s1.lo: generated/$(am__dirstamp) \
2941 generated/$(DEPDIR)/$(am__dirstamp)
2942generated/minloc0_4_s4.lo: generated/$(am__dirstamp) \
2943 generated/$(DEPDIR)/$(am__dirstamp)
2944generated/minloc0_8_s1.lo: generated/$(am__dirstamp) \
2945 generated/$(DEPDIR)/$(am__dirstamp)
2946generated/minloc0_8_s4.lo: generated/$(am__dirstamp) \
2947 generated/$(DEPDIR)/$(am__dirstamp)
2948generated/minloc0_16_s1.lo: generated/$(am__dirstamp) \
2949 generated/$(DEPDIR)/$(am__dirstamp)
2950generated/minloc0_16_s4.lo: generated/$(am__dirstamp) \
2951 generated/$(DEPDIR)/$(am__dirstamp)
2952generated/maxloc1_4_s1.lo: generated/$(am__dirstamp) \
2953 generated/$(DEPDIR)/$(am__dirstamp)
2954generated/maxloc1_4_s4.lo: generated/$(am__dirstamp) \
2955 generated/$(DEPDIR)/$(am__dirstamp)
2956generated/maxloc1_8_s1.lo: generated/$(am__dirstamp) \
2957 generated/$(DEPDIR)/$(am__dirstamp)
2958generated/maxloc1_8_s4.lo: generated/$(am__dirstamp) \
2959 generated/$(DEPDIR)/$(am__dirstamp)
2960generated/maxloc1_16_s1.lo: generated/$(am__dirstamp) \
2961 generated/$(DEPDIR)/$(am__dirstamp)
2962generated/maxloc1_16_s4.lo: generated/$(am__dirstamp) \
2963 generated/$(DEPDIR)/$(am__dirstamp)
2964generated/minloc1_4_s1.lo: generated/$(am__dirstamp) \
2965 generated/$(DEPDIR)/$(am__dirstamp)
2966generated/minloc1_4_s4.lo: generated/$(am__dirstamp) \
2967 generated/$(DEPDIR)/$(am__dirstamp)
2968generated/minloc1_8_s1.lo: generated/$(am__dirstamp) \
2969 generated/$(DEPDIR)/$(am__dirstamp)
2970generated/minloc1_8_s4.lo: generated/$(am__dirstamp) \
2971 generated/$(DEPDIR)/$(am__dirstamp)
2972generated/minloc1_16_s1.lo: generated/$(am__dirstamp) \
2973 generated/$(DEPDIR)/$(am__dirstamp)
2974generated/minloc1_16_s4.lo: generated/$(am__dirstamp) \
2975 generated/$(DEPDIR)/$(am__dirstamp)
2976generated/maxloc2_4_s1.lo: generated/$(am__dirstamp) \
2977 generated/$(DEPDIR)/$(am__dirstamp)
2978generated/maxloc2_4_s4.lo: generated/$(am__dirstamp) \
2979 generated/$(DEPDIR)/$(am__dirstamp)
2980generated/maxloc2_8_s1.lo: generated/$(am__dirstamp) \
2981 generated/$(DEPDIR)/$(am__dirstamp)
2982generated/maxloc2_8_s4.lo: generated/$(am__dirstamp) \
2983 generated/$(DEPDIR)/$(am__dirstamp)
2984generated/maxloc2_16_s1.lo: generated/$(am__dirstamp) \
2985 generated/$(DEPDIR)/$(am__dirstamp)
2986generated/maxloc2_16_s4.lo: generated/$(am__dirstamp) \
2987 generated/$(DEPDIR)/$(am__dirstamp)
2988generated/minloc2_4_s1.lo: generated/$(am__dirstamp) \
2989 generated/$(DEPDIR)/$(am__dirstamp)
2990generated/minloc2_4_s4.lo: generated/$(am__dirstamp) \
2991 generated/$(DEPDIR)/$(am__dirstamp)
2992generated/minloc2_8_s1.lo: generated/$(am__dirstamp) \
2993 generated/$(DEPDIR)/$(am__dirstamp)
2994generated/minloc2_8_s4.lo: generated/$(am__dirstamp) \
2995 generated/$(DEPDIR)/$(am__dirstamp)
2996generated/minloc2_16_s1.lo: generated/$(am__dirstamp) \
2997 generated/$(DEPDIR)/$(am__dirstamp)
2998generated/minloc2_16_s4.lo: generated/$(am__dirstamp) \
2999 generated/$(DEPDIR)/$(am__dirstamp)
3000generated/maxval0_s1.lo: generated/$(am__dirstamp) \
3001 generated/$(DEPDIR)/$(am__dirstamp)
3002generated/maxval0_s4.lo: generated/$(am__dirstamp) \
3003 generated/$(DEPDIR)/$(am__dirstamp)
3004generated/minval0_s1.lo: generated/$(am__dirstamp) \
3005 generated/$(DEPDIR)/$(am__dirstamp)
3006generated/minval0_s4.lo: generated/$(am__dirstamp) \
3007 generated/$(DEPDIR)/$(am__dirstamp)
3008generated/maxval1_s1.lo: generated/$(am__dirstamp) \
3009 generated/$(DEPDIR)/$(am__dirstamp)
3010generated/maxval1_s4.lo: generated/$(am__dirstamp) \
3011 generated/$(DEPDIR)/$(am__dirstamp)
3012generated/minval1_s1.lo: generated/$(am__dirstamp) \
3013 generated/$(DEPDIR)/$(am__dirstamp)
3014generated/minval1_s4.lo: generated/$(am__dirstamp) \
3015 generated/$(DEPDIR)/$(am__dirstamp)
3016generated/findloc0_i1.lo: generated/$(am__dirstamp) \
3017 generated/$(DEPDIR)/$(am__dirstamp)
3018generated/findloc0_i2.lo: generated/$(am__dirstamp) \
3019 generated/$(DEPDIR)/$(am__dirstamp)
3020generated/findloc0_i4.lo: generated/$(am__dirstamp) \
3021 generated/$(DEPDIR)/$(am__dirstamp)
3022generated/findloc0_i8.lo: generated/$(am__dirstamp) \
3023 generated/$(DEPDIR)/$(am__dirstamp)
3024generated/findloc0_i16.lo: generated/$(am__dirstamp) \
3025 generated/$(DEPDIR)/$(am__dirstamp)
3026generated/findloc0_r4.lo: generated/$(am__dirstamp) \
3027 generated/$(DEPDIR)/$(am__dirstamp)
3028generated/findloc0_r8.lo: generated/$(am__dirstamp) \
3029 generated/$(DEPDIR)/$(am__dirstamp)
3030generated/findloc0_r10.lo: generated/$(am__dirstamp) \
3031 generated/$(DEPDIR)/$(am__dirstamp)
3032generated/findloc0_r16.lo: generated/$(am__dirstamp) \
3033 generated/$(DEPDIR)/$(am__dirstamp)
3034generated/findloc0_r17.lo: generated/$(am__dirstamp) \
3035 generated/$(DEPDIR)/$(am__dirstamp)
3036generated/findloc0_c4.lo: generated/$(am__dirstamp) \
3037 generated/$(DEPDIR)/$(am__dirstamp)
3038generated/findloc0_c8.lo: generated/$(am__dirstamp) \
3039 generated/$(DEPDIR)/$(am__dirstamp)
3040generated/findloc0_c10.lo: generated/$(am__dirstamp) \
3041 generated/$(DEPDIR)/$(am__dirstamp)
3042generated/findloc0_c16.lo: generated/$(am__dirstamp) \
3043 generated/$(DEPDIR)/$(am__dirstamp)
3044generated/findloc0_c17.lo: generated/$(am__dirstamp) \
3045 generated/$(DEPDIR)/$(am__dirstamp)
3046generated/findloc0_s1.lo: generated/$(am__dirstamp) \
3047 generated/$(DEPDIR)/$(am__dirstamp)
3048generated/findloc0_s4.lo: generated/$(am__dirstamp) \
3049 generated/$(DEPDIR)/$(am__dirstamp)
3050generated/findloc1_i1.lo: generated/$(am__dirstamp) \
3051 generated/$(DEPDIR)/$(am__dirstamp)
3052generated/findloc1_i2.lo: generated/$(am__dirstamp) \
3053 generated/$(DEPDIR)/$(am__dirstamp)
3054generated/findloc1_i4.lo: generated/$(am__dirstamp) \
3055 generated/$(DEPDIR)/$(am__dirstamp)
3056generated/findloc1_i8.lo: generated/$(am__dirstamp) \
3057 generated/$(DEPDIR)/$(am__dirstamp)
3058generated/findloc1_i16.lo: generated/$(am__dirstamp) \
3059 generated/$(DEPDIR)/$(am__dirstamp)
3060generated/findloc1_r4.lo: generated/$(am__dirstamp) \
3061 generated/$(DEPDIR)/$(am__dirstamp)
3062generated/findloc1_r8.lo: generated/$(am__dirstamp) \
3063 generated/$(DEPDIR)/$(am__dirstamp)
3064generated/findloc1_r10.lo: generated/$(am__dirstamp) \
3065 generated/$(DEPDIR)/$(am__dirstamp)
3066generated/findloc1_r16.lo: generated/$(am__dirstamp) \
3067 generated/$(DEPDIR)/$(am__dirstamp)
3068generated/findloc1_r17.lo: generated/$(am__dirstamp) \
3069 generated/$(DEPDIR)/$(am__dirstamp)
3070generated/findloc1_c4.lo: generated/$(am__dirstamp) \
3071 generated/$(DEPDIR)/$(am__dirstamp)
3072generated/findloc1_c8.lo: generated/$(am__dirstamp) \
3073 generated/$(DEPDIR)/$(am__dirstamp)
3074generated/findloc1_c10.lo: generated/$(am__dirstamp) \
3075 generated/$(DEPDIR)/$(am__dirstamp)
3076generated/findloc1_c16.lo: generated/$(am__dirstamp) \
3077 generated/$(DEPDIR)/$(am__dirstamp)
3078generated/findloc1_c17.lo: generated/$(am__dirstamp) \
3079 generated/$(DEPDIR)/$(am__dirstamp)
3080generated/findloc1_s1.lo: generated/$(am__dirstamp) \
3081 generated/$(DEPDIR)/$(am__dirstamp)
3082generated/findloc1_s4.lo: generated/$(am__dirstamp) \
3083 generated/$(DEPDIR)/$(am__dirstamp)
3084generated/findloc2_s1.lo: generated/$(am__dirstamp) \
3085 generated/$(DEPDIR)/$(am__dirstamp)
3086generated/findloc2_s4.lo: generated/$(am__dirstamp) \
3087 generated/$(DEPDIR)/$(am__dirstamp)
3088runtime/ISO_Fortran_binding.lo: runtime/$(am__dirstamp) \
3089 runtime/$(DEPDIR)/$(am__dirstamp)
3090io/$(am__dirstamp):
3091 @$(MKDIR_P) io
3092 @: > io/$(am__dirstamp)
3093io/$(DEPDIR)/$(am__dirstamp):
3094 @$(MKDIR_P) io/$(DEPDIR)
3095 @: > io/$(DEPDIR)/$(am__dirstamp)
3096io/size_from_kind.lo: io/$(am__dirstamp) io/$(DEPDIR)/$(am__dirstamp)
3097io/close.lo: io/$(am__dirstamp) io/$(DEPDIR)/$(am__dirstamp)
3098io/file_pos.lo: io/$(am__dirstamp) io/$(DEPDIR)/$(am__dirstamp)
3099io/format.lo: io/$(am__dirstamp) io/$(DEPDIR)/$(am__dirstamp)
3100io/inquire.lo: io/$(am__dirstamp) io/$(DEPDIR)/$(am__dirstamp)
3101io/intrinsics.lo: io/$(am__dirstamp) io/$(DEPDIR)/$(am__dirstamp)
3102io/list_read.lo: io/$(am__dirstamp) io/$(DEPDIR)/$(am__dirstamp)
3103io/lock.lo: io/$(am__dirstamp) io/$(DEPDIR)/$(am__dirstamp)
3104io/open.lo: io/$(am__dirstamp) io/$(DEPDIR)/$(am__dirstamp)
3105io/read.lo: io/$(am__dirstamp) io/$(DEPDIR)/$(am__dirstamp)
3106io/transfer.lo: io/$(am__dirstamp) io/$(DEPDIR)/$(am__dirstamp)
3107io/transfer128.lo: io/$(am__dirstamp) io/$(DEPDIR)/$(am__dirstamp)
3108io/unit.lo: io/$(am__dirstamp) io/$(DEPDIR)/$(am__dirstamp)
3109io/unix.lo: io/$(am__dirstamp) io/$(DEPDIR)/$(am__dirstamp)
3110io/write.lo: io/$(am__dirstamp) io/$(DEPDIR)/$(am__dirstamp)
3111io/fbuf.lo: io/$(am__dirstamp) io/$(DEPDIR)/$(am__dirstamp)
3112io/async.lo: io/$(am__dirstamp) io/$(DEPDIR)/$(am__dirstamp)
3113intrinsics/$(am__dirstamp):
3114 @$(MKDIR_P) intrinsics
3115 @: > intrinsics/$(am__dirstamp)
3116intrinsics/$(DEPDIR)/$(am__dirstamp):
3117 @$(MKDIR_P) intrinsics/$(DEPDIR)
3118 @: > intrinsics/$(DEPDIR)/$(am__dirstamp)
3119intrinsics/associated.lo: intrinsics/$(am__dirstamp) \
3120 intrinsics/$(DEPDIR)/$(am__dirstamp)
3121intrinsics/abort.lo: intrinsics/$(am__dirstamp) \
3122 intrinsics/$(DEPDIR)/$(am__dirstamp)
3123intrinsics/args.lo: intrinsics/$(am__dirstamp) \
3124 intrinsics/$(DEPDIR)/$(am__dirstamp)
3125intrinsics/cshift0.lo: intrinsics/$(am__dirstamp) \
3126 intrinsics/$(DEPDIR)/$(am__dirstamp)
3127intrinsics/eoshift0.lo: intrinsics/$(am__dirstamp) \
3128 intrinsics/$(DEPDIR)/$(am__dirstamp)
3129intrinsics/eoshift2.lo: intrinsics/$(am__dirstamp) \
3130 intrinsics/$(DEPDIR)/$(am__dirstamp)
3131intrinsics/erfc_scaled.lo: intrinsics/$(am__dirstamp) \
3132 intrinsics/$(DEPDIR)/$(am__dirstamp)
3133intrinsics/extends_type_of.lo: intrinsics/$(am__dirstamp) \
3134 intrinsics/$(DEPDIR)/$(am__dirstamp)
3135intrinsics/fnum.lo: intrinsics/$(am__dirstamp) \
3136 intrinsics/$(DEPDIR)/$(am__dirstamp)
3137intrinsics/ierrno.lo: intrinsics/$(am__dirstamp) \
3138 intrinsics/$(DEPDIR)/$(am__dirstamp)
3139intrinsics/ishftc.lo: intrinsics/$(am__dirstamp) \
3140 intrinsics/$(DEPDIR)/$(am__dirstamp)
3141intrinsics/is_contiguous.lo: intrinsics/$(am__dirstamp) \
3142 intrinsics/$(DEPDIR)/$(am__dirstamp)
3143intrinsics/mvbits.lo: intrinsics/$(am__dirstamp) \
3144 intrinsics/$(DEPDIR)/$(am__dirstamp)
3145intrinsics/move_alloc.lo: intrinsics/$(am__dirstamp) \
3146 intrinsics/$(DEPDIR)/$(am__dirstamp)
3147intrinsics/pack_generic.lo: intrinsics/$(am__dirstamp) \
3148 intrinsics/$(DEPDIR)/$(am__dirstamp)
3149intrinsics/selected_char_kind.lo: intrinsics/$(am__dirstamp) \
3150 intrinsics/$(DEPDIR)/$(am__dirstamp)
3151intrinsics/size.lo: intrinsics/$(am__dirstamp) \
3152 intrinsics/$(DEPDIR)/$(am__dirstamp)
3153intrinsics/spread_generic.lo: intrinsics/$(am__dirstamp) \
3154 intrinsics/$(DEPDIR)/$(am__dirstamp)
3155intrinsics/string_intrinsics.lo: intrinsics/$(am__dirstamp) \
3156 intrinsics/$(DEPDIR)/$(am__dirstamp)
3157intrinsics/rand.lo: intrinsics/$(am__dirstamp) \
3158 intrinsics/$(DEPDIR)/$(am__dirstamp)
3159intrinsics/random.lo: intrinsics/$(am__dirstamp) \
3160 intrinsics/$(DEPDIR)/$(am__dirstamp)
3161intrinsics/reshape_generic.lo: intrinsics/$(am__dirstamp) \
3162 intrinsics/$(DEPDIR)/$(am__dirstamp)
3163intrinsics/reshape_packed.lo: intrinsics/$(am__dirstamp) \
3164 intrinsics/$(DEPDIR)/$(am__dirstamp)
3165intrinsics/selected_int_kind.lo: intrinsics/$(am__dirstamp) \
3166 intrinsics/$(DEPDIR)/$(am__dirstamp)
3167intrinsics/selected_real_kind.lo: intrinsics/$(am__dirstamp) \
3168 intrinsics/$(DEPDIR)/$(am__dirstamp)
3169intrinsics/trigd.lo: intrinsics/$(am__dirstamp) \
3170 intrinsics/$(DEPDIR)/$(am__dirstamp)
3171intrinsics/unpack_generic.lo: intrinsics/$(am__dirstamp) \
3172 intrinsics/$(DEPDIR)/$(am__dirstamp)
3173runtime/in_pack_generic.lo: runtime/$(am__dirstamp) \
3174 runtime/$(DEPDIR)/$(am__dirstamp)
3175runtime/in_unpack_generic.lo: runtime/$(am__dirstamp) \
3176 runtime/$(DEPDIR)/$(am__dirstamp)
3177intrinsics/access.lo: intrinsics/$(am__dirstamp) \
3178 intrinsics/$(DEPDIR)/$(am__dirstamp)
3179intrinsics/c99_functions.lo: intrinsics/$(am__dirstamp) \
3180 intrinsics/$(DEPDIR)/$(am__dirstamp)
3181intrinsics/chdir.lo: intrinsics/$(am__dirstamp) \
3182 intrinsics/$(DEPDIR)/$(am__dirstamp)
3183intrinsics/chmod.lo: intrinsics/$(am__dirstamp) \
3184 intrinsics/$(DEPDIR)/$(am__dirstamp)
3185intrinsics/clock.lo: intrinsics/$(am__dirstamp) \
3186 intrinsics/$(DEPDIR)/$(am__dirstamp)
3187intrinsics/cpu_time.lo: intrinsics/$(am__dirstamp) \
3188 intrinsics/$(DEPDIR)/$(am__dirstamp)
3189intrinsics/ctime.lo: intrinsics/$(am__dirstamp) \
3190 intrinsics/$(DEPDIR)/$(am__dirstamp)
3191intrinsics/date_and_time.lo: intrinsics/$(am__dirstamp) \
3192 intrinsics/$(DEPDIR)/$(am__dirstamp)
3193intrinsics/dtime.lo: intrinsics/$(am__dirstamp) \
3194 intrinsics/$(DEPDIR)/$(am__dirstamp)
3195intrinsics/env.lo: intrinsics/$(am__dirstamp) \
3196 intrinsics/$(DEPDIR)/$(am__dirstamp)
3197intrinsics/etime.lo: intrinsics/$(am__dirstamp) \
3198 intrinsics/$(DEPDIR)/$(am__dirstamp)
3199intrinsics/execute_command_line.lo: intrinsics/$(am__dirstamp) \
3200 intrinsics/$(DEPDIR)/$(am__dirstamp)
3201intrinsics/exit.lo: intrinsics/$(am__dirstamp) \
3202 intrinsics/$(DEPDIR)/$(am__dirstamp)
3203intrinsics/gerror.lo: intrinsics/$(am__dirstamp) \
3204 intrinsics/$(DEPDIR)/$(am__dirstamp)
3205intrinsics/getcwd.lo: intrinsics/$(am__dirstamp) \
3206 intrinsics/$(DEPDIR)/$(am__dirstamp)
3207intrinsics/getlog.lo: intrinsics/$(am__dirstamp) \
3208 intrinsics/$(DEPDIR)/$(am__dirstamp)
3209intrinsics/getXid.lo: intrinsics/$(am__dirstamp) \
3210 intrinsics/$(DEPDIR)/$(am__dirstamp)
3211intrinsics/hostnm.lo: intrinsics/$(am__dirstamp) \
3212 intrinsics/$(DEPDIR)/$(am__dirstamp)
3213intrinsics/kill.lo: intrinsics/$(am__dirstamp) \
3214 intrinsics/$(DEPDIR)/$(am__dirstamp)
3215intrinsics/link.lo: intrinsics/$(am__dirstamp) \
3216 intrinsics/$(DEPDIR)/$(am__dirstamp)
3217intrinsics/perror.lo: intrinsics/$(am__dirstamp) \
3218 intrinsics/$(DEPDIR)/$(am__dirstamp)
3219intrinsics/signal.lo: intrinsics/$(am__dirstamp) \
3220 intrinsics/$(DEPDIR)/$(am__dirstamp)
3221intrinsics/sleep.lo: intrinsics/$(am__dirstamp) \
3222 intrinsics/$(DEPDIR)/$(am__dirstamp)
3223intrinsics/system.lo: intrinsics/$(am__dirstamp) \
3224 intrinsics/$(DEPDIR)/$(am__dirstamp)
3225intrinsics/rename.lo: intrinsics/$(am__dirstamp) \
3226 intrinsics/$(DEPDIR)/$(am__dirstamp)
3227intrinsics/stat.lo: intrinsics/$(am__dirstamp) \
3228 intrinsics/$(DEPDIR)/$(am__dirstamp)
3229intrinsics/symlnk.lo: intrinsics/$(am__dirstamp) \
3230 intrinsics/$(DEPDIR)/$(am__dirstamp)
3231intrinsics/system_clock.lo: intrinsics/$(am__dirstamp) \
3232 intrinsics/$(DEPDIR)/$(am__dirstamp)
3233intrinsics/time.lo: intrinsics/$(am__dirstamp) \
3234 intrinsics/$(DEPDIR)/$(am__dirstamp)
3235intrinsics/umask.lo: intrinsics/$(am__dirstamp) \
3236 intrinsics/$(DEPDIR)/$(am__dirstamp)
3237intrinsics/unlink.lo: intrinsics/$(am__dirstamp) \
3238 intrinsics/$(DEPDIR)/$(am__dirstamp)
3239ieee/$(am__dirstamp):
3240 @$(MKDIR_P) ieee
3241 @: > ieee/$(am__dirstamp)
3242ieee/$(DEPDIR)/$(am__dirstamp):
3243 @$(MKDIR_P) ieee/$(DEPDIR)
3244 @: > ieee/$(DEPDIR)/$(am__dirstamp)
3245ieee/ieee_helper.lo: ieee/$(am__dirstamp) \
3246 ieee/$(DEPDIR)/$(am__dirstamp)
3247ieee/ieee_arithmetic.lo: ieee/$(am__dirstamp) \
3248 ieee/$(DEPDIR)/$(am__dirstamp)
3249ieee/ieee_exceptions.lo: ieee/$(am__dirstamp) \
3250 ieee/$(DEPDIR)/$(am__dirstamp)
3251ieee/ieee_features.lo: ieee/$(am__dirstamp) \
3252 ieee/$(DEPDIR)/$(am__dirstamp)
3253generated/_abs_c4.lo: generated/$(am__dirstamp) \
3254 generated/$(DEPDIR)/$(am__dirstamp)
3255generated/_abs_c8.lo: generated/$(am__dirstamp) \
3256 generated/$(DEPDIR)/$(am__dirstamp)
3257generated/_abs_c10.lo: generated/$(am__dirstamp) \
3258 generated/$(DEPDIR)/$(am__dirstamp)
3259generated/_abs_c16.lo: generated/$(am__dirstamp) \
3260 generated/$(DEPDIR)/$(am__dirstamp)
3261generated/_abs_c17.lo: generated/$(am__dirstamp) \
3262 generated/$(DEPDIR)/$(am__dirstamp)
3263generated/_abs_i4.lo: generated/$(am__dirstamp) \
3264 generated/$(DEPDIR)/$(am__dirstamp)
3265generated/_abs_i8.lo: generated/$(am__dirstamp) \
3266 generated/$(DEPDIR)/$(am__dirstamp)
3267generated/_abs_i16.lo: generated/$(am__dirstamp) \
3268 generated/$(DEPDIR)/$(am__dirstamp)
3269generated/_abs_r4.lo: generated/$(am__dirstamp) \
3270 generated/$(DEPDIR)/$(am__dirstamp)
3271generated/_abs_r8.lo: generated/$(am__dirstamp) \
3272 generated/$(DEPDIR)/$(am__dirstamp)
3273generated/_abs_r10.lo: generated/$(am__dirstamp) \
3274 generated/$(DEPDIR)/$(am__dirstamp)
3275generated/_abs_r16.lo: generated/$(am__dirstamp) \
3276 generated/$(DEPDIR)/$(am__dirstamp)
3277generated/_abs_r17.lo: generated/$(am__dirstamp) \
3278 generated/$(DEPDIR)/$(am__dirstamp)
3279generated/_aimag_c4.lo: generated/$(am__dirstamp) \
3280 generated/$(DEPDIR)/$(am__dirstamp)
3281generated/_aimag_c8.lo: generated/$(am__dirstamp) \
3282 generated/$(DEPDIR)/$(am__dirstamp)
3283generated/_aimag_c10.lo: generated/$(am__dirstamp) \
3284 generated/$(DEPDIR)/$(am__dirstamp)
3285generated/_aimag_c16.lo: generated/$(am__dirstamp) \
3286 generated/$(DEPDIR)/$(am__dirstamp)
3287generated/_aimag_c17.lo: generated/$(am__dirstamp) \
3288 generated/$(DEPDIR)/$(am__dirstamp)
3289generated/_exp_r4.lo: generated/$(am__dirstamp) \
3290 generated/$(DEPDIR)/$(am__dirstamp)
3291generated/_exp_r8.lo: generated/$(am__dirstamp) \
3292 generated/$(DEPDIR)/$(am__dirstamp)
3293generated/_exp_r10.lo: generated/$(am__dirstamp) \
3294 generated/$(DEPDIR)/$(am__dirstamp)
3295generated/_exp_r16.lo: generated/$(am__dirstamp) \
3296 generated/$(DEPDIR)/$(am__dirstamp)
3297generated/_exp_r17.lo: generated/$(am__dirstamp) \
3298 generated/$(DEPDIR)/$(am__dirstamp)
3299generated/_exp_c4.lo: generated/$(am__dirstamp) \
3300 generated/$(DEPDIR)/$(am__dirstamp)
3301generated/_exp_c8.lo: generated/$(am__dirstamp) \
3302 generated/$(DEPDIR)/$(am__dirstamp)
3303generated/_exp_c10.lo: generated/$(am__dirstamp) \
3304 generated/$(DEPDIR)/$(am__dirstamp)
3305generated/_exp_c16.lo: generated/$(am__dirstamp) \
3306 generated/$(DEPDIR)/$(am__dirstamp)
3307generated/_exp_c17.lo: generated/$(am__dirstamp) \
3308 generated/$(DEPDIR)/$(am__dirstamp)
3309generated/_log_r4.lo: generated/$(am__dirstamp) \
3310 generated/$(DEPDIR)/$(am__dirstamp)
3311generated/_log_r8.lo: generated/$(am__dirstamp) \
3312 generated/$(DEPDIR)/$(am__dirstamp)
3313generated/_log_r10.lo: generated/$(am__dirstamp) \
3314 generated/$(DEPDIR)/$(am__dirstamp)
3315generated/_log_r16.lo: generated/$(am__dirstamp) \
3316 generated/$(DEPDIR)/$(am__dirstamp)
3317generated/_log_r17.lo: generated/$(am__dirstamp) \
3318 generated/$(DEPDIR)/$(am__dirstamp)
3319generated/_log_c4.lo: generated/$(am__dirstamp) \
3320 generated/$(DEPDIR)/$(am__dirstamp)
3321generated/_log_c8.lo: generated/$(am__dirstamp) \
3322 generated/$(DEPDIR)/$(am__dirstamp)
3323generated/_log_c10.lo: generated/$(am__dirstamp) \
3324 generated/$(DEPDIR)/$(am__dirstamp)
3325generated/_log_c16.lo: generated/$(am__dirstamp) \
3326 generated/$(DEPDIR)/$(am__dirstamp)
3327generated/_log_c17.lo: generated/$(am__dirstamp) \
3328 generated/$(DEPDIR)/$(am__dirstamp)
3329generated/_log10_r4.lo: generated/$(am__dirstamp) \
3330 generated/$(DEPDIR)/$(am__dirstamp)
3331generated/_log10_r8.lo: generated/$(am__dirstamp) \
3332 generated/$(DEPDIR)/$(am__dirstamp)
3333generated/_log10_r10.lo: generated/$(am__dirstamp) \
3334 generated/$(DEPDIR)/$(am__dirstamp)
3335generated/_log10_r16.lo: generated/$(am__dirstamp) \
3336 generated/$(DEPDIR)/$(am__dirstamp)
3337generated/_log10_r17.lo: generated/$(am__dirstamp) \
3338 generated/$(DEPDIR)/$(am__dirstamp)
3339generated/_sqrt_r4.lo: generated/$(am__dirstamp) \
3340 generated/$(DEPDIR)/$(am__dirstamp)
3341generated/_sqrt_r8.lo: generated/$(am__dirstamp) \
3342 generated/$(DEPDIR)/$(am__dirstamp)
3343generated/_sqrt_r10.lo: generated/$(am__dirstamp) \
3344 generated/$(DEPDIR)/$(am__dirstamp)
3345generated/_sqrt_r16.lo: generated/$(am__dirstamp) \
3346 generated/$(DEPDIR)/$(am__dirstamp)
3347generated/_sqrt_r17.lo: generated/$(am__dirstamp) \
3348 generated/$(DEPDIR)/$(am__dirstamp)
3349generated/_sqrt_c4.lo: generated/$(am__dirstamp) \
3350 generated/$(DEPDIR)/$(am__dirstamp)
3351generated/_sqrt_c8.lo: generated/$(am__dirstamp) \
3352 generated/$(DEPDIR)/$(am__dirstamp)
3353generated/_sqrt_c10.lo: generated/$(am__dirstamp) \
3354 generated/$(DEPDIR)/$(am__dirstamp)
3355generated/_sqrt_c16.lo: generated/$(am__dirstamp) \
3356 generated/$(DEPDIR)/$(am__dirstamp)
3357generated/_sqrt_c17.lo: generated/$(am__dirstamp) \
3358 generated/$(DEPDIR)/$(am__dirstamp)
3359generated/_asin_r4.lo: generated/$(am__dirstamp) \
3360 generated/$(DEPDIR)/$(am__dirstamp)
3361generated/_asin_r8.lo: generated/$(am__dirstamp) \
3362 generated/$(DEPDIR)/$(am__dirstamp)
3363generated/_asin_r10.lo: generated/$(am__dirstamp) \
3364 generated/$(DEPDIR)/$(am__dirstamp)
3365generated/_asin_r16.lo: generated/$(am__dirstamp) \
3366 generated/$(DEPDIR)/$(am__dirstamp)
3367generated/_asin_r17.lo: generated/$(am__dirstamp) \
3368 generated/$(DEPDIR)/$(am__dirstamp)
3369generated/_asinh_r4.lo: generated/$(am__dirstamp) \
3370 generated/$(DEPDIR)/$(am__dirstamp)
3371generated/_asinh_r8.lo: generated/$(am__dirstamp) \
3372 generated/$(DEPDIR)/$(am__dirstamp)
3373generated/_asinh_r10.lo: generated/$(am__dirstamp) \
3374 generated/$(DEPDIR)/$(am__dirstamp)
3375generated/_asinh_r16.lo: generated/$(am__dirstamp) \
3376 generated/$(DEPDIR)/$(am__dirstamp)
3377generated/_asinh_r17.lo: generated/$(am__dirstamp) \
3378 generated/$(DEPDIR)/$(am__dirstamp)
3379generated/_acos_r4.lo: generated/$(am__dirstamp) \
3380 generated/$(DEPDIR)/$(am__dirstamp)
3381generated/_acos_r8.lo: generated/$(am__dirstamp) \
3382 generated/$(DEPDIR)/$(am__dirstamp)
3383generated/_acos_r10.lo: generated/$(am__dirstamp) \
3384 generated/$(DEPDIR)/$(am__dirstamp)
3385generated/_acos_r16.lo: generated/$(am__dirstamp) \
3386 generated/$(DEPDIR)/$(am__dirstamp)
3387generated/_acos_r17.lo: generated/$(am__dirstamp) \
3388 generated/$(DEPDIR)/$(am__dirstamp)
3389generated/_acosh_r4.lo: generated/$(am__dirstamp) \
3390 generated/$(DEPDIR)/$(am__dirstamp)
3391generated/_acosh_r8.lo: generated/$(am__dirstamp) \
3392 generated/$(DEPDIR)/$(am__dirstamp)
3393generated/_acosh_r10.lo: generated/$(am__dirstamp) \
3394 generated/$(DEPDIR)/$(am__dirstamp)
3395generated/_acosh_r16.lo: generated/$(am__dirstamp) \
3396 generated/$(DEPDIR)/$(am__dirstamp)
3397generated/_acosh_r17.lo: generated/$(am__dirstamp) \
3398 generated/$(DEPDIR)/$(am__dirstamp)
3399generated/_atan_r4.lo: generated/$(am__dirstamp) \
3400 generated/$(DEPDIR)/$(am__dirstamp)
3401generated/_atan_r8.lo: generated/$(am__dirstamp) \
3402 generated/$(DEPDIR)/$(am__dirstamp)
3403generated/_atan_r10.lo: generated/$(am__dirstamp) \
3404 generated/$(DEPDIR)/$(am__dirstamp)
3405generated/_atan_r16.lo: generated/$(am__dirstamp) \
3406 generated/$(DEPDIR)/$(am__dirstamp)
3407generated/_atan_r17.lo: generated/$(am__dirstamp) \
3408 generated/$(DEPDIR)/$(am__dirstamp)
3409generated/_atanh_r4.lo: generated/$(am__dirstamp) \
3410 generated/$(DEPDIR)/$(am__dirstamp)
3411generated/_atanh_r8.lo: generated/$(am__dirstamp) \
3412 generated/$(DEPDIR)/$(am__dirstamp)
3413generated/_atanh_r10.lo: generated/$(am__dirstamp) \
3414 generated/$(DEPDIR)/$(am__dirstamp)
3415generated/_atanh_r16.lo: generated/$(am__dirstamp) \
3416 generated/$(DEPDIR)/$(am__dirstamp)
3417generated/_atanh_r17.lo: generated/$(am__dirstamp) \
3418 generated/$(DEPDIR)/$(am__dirstamp)
3419generated/_sin_r4.lo: generated/$(am__dirstamp) \
3420 generated/$(DEPDIR)/$(am__dirstamp)
3421generated/_sin_r8.lo: generated/$(am__dirstamp) \
3422 generated/$(DEPDIR)/$(am__dirstamp)
3423generated/_sin_r10.lo: generated/$(am__dirstamp) \
3424 generated/$(DEPDIR)/$(am__dirstamp)
3425generated/_sin_r16.lo: generated/$(am__dirstamp) \
3426 generated/$(DEPDIR)/$(am__dirstamp)
3427generated/_sin_r17.lo: generated/$(am__dirstamp) \
3428 generated/$(DEPDIR)/$(am__dirstamp)
3429generated/_sin_c4.lo: generated/$(am__dirstamp) \
3430 generated/$(DEPDIR)/$(am__dirstamp)
3431generated/_sin_c8.lo: generated/$(am__dirstamp) \
3432 generated/$(DEPDIR)/$(am__dirstamp)
3433generated/_sin_c10.lo: generated/$(am__dirstamp) \
3434 generated/$(DEPDIR)/$(am__dirstamp)
3435generated/_sin_c16.lo: generated/$(am__dirstamp) \
3436 generated/$(DEPDIR)/$(am__dirstamp)
3437generated/_sin_c17.lo: generated/$(am__dirstamp) \
3438 generated/$(DEPDIR)/$(am__dirstamp)
3439generated/_cos_r4.lo: generated/$(am__dirstamp) \
3440 generated/$(DEPDIR)/$(am__dirstamp)
3441generated/_cos_r8.lo: generated/$(am__dirstamp) \
3442 generated/$(DEPDIR)/$(am__dirstamp)
3443generated/_cos_r10.lo: generated/$(am__dirstamp) \
3444 generated/$(DEPDIR)/$(am__dirstamp)
3445generated/_cos_r16.lo: generated/$(am__dirstamp) \
3446 generated/$(DEPDIR)/$(am__dirstamp)
3447generated/_cos_r17.lo: generated/$(am__dirstamp) \
3448 generated/$(DEPDIR)/$(am__dirstamp)
3449generated/_cos_c4.lo: generated/$(am__dirstamp) \
3450 generated/$(DEPDIR)/$(am__dirstamp)
3451generated/_cos_c8.lo: generated/$(am__dirstamp) \
3452 generated/$(DEPDIR)/$(am__dirstamp)
3453generated/_cos_c10.lo: generated/$(am__dirstamp) \
3454 generated/$(DEPDIR)/$(am__dirstamp)
3455generated/_cos_c16.lo: generated/$(am__dirstamp) \
3456 generated/$(DEPDIR)/$(am__dirstamp)
3457generated/_cos_c17.lo: generated/$(am__dirstamp) \
3458 generated/$(DEPDIR)/$(am__dirstamp)
3459generated/_tan_r4.lo: generated/$(am__dirstamp) \
3460 generated/$(DEPDIR)/$(am__dirstamp)
3461generated/_tan_r8.lo: generated/$(am__dirstamp) \
3462 generated/$(DEPDIR)/$(am__dirstamp)
3463generated/_tan_r10.lo: generated/$(am__dirstamp) \
3464 generated/$(DEPDIR)/$(am__dirstamp)
3465generated/_tan_r16.lo: generated/$(am__dirstamp) \
3466 generated/$(DEPDIR)/$(am__dirstamp)
3467generated/_tan_r17.lo: generated/$(am__dirstamp) \
3468 generated/$(DEPDIR)/$(am__dirstamp)
3469generated/_sinh_r4.lo: generated/$(am__dirstamp) \
3470 generated/$(DEPDIR)/$(am__dirstamp)
3471generated/_sinh_r8.lo: generated/$(am__dirstamp) \
3472 generated/$(DEPDIR)/$(am__dirstamp)
3473generated/_sinh_r10.lo: generated/$(am__dirstamp) \
3474 generated/$(DEPDIR)/$(am__dirstamp)
3475generated/_sinh_r16.lo: generated/$(am__dirstamp) \
3476 generated/$(DEPDIR)/$(am__dirstamp)
3477generated/_sinh_r17.lo: generated/$(am__dirstamp) \
3478 generated/$(DEPDIR)/$(am__dirstamp)
3479generated/_cosh_r4.lo: generated/$(am__dirstamp) \
3480 generated/$(DEPDIR)/$(am__dirstamp)
3481generated/_cosh_r8.lo: generated/$(am__dirstamp) \
3482 generated/$(DEPDIR)/$(am__dirstamp)
3483generated/_cosh_r10.lo: generated/$(am__dirstamp) \
3484 generated/$(DEPDIR)/$(am__dirstamp)
3485generated/_cosh_r16.lo: generated/$(am__dirstamp) \
3486 generated/$(DEPDIR)/$(am__dirstamp)
3487generated/_cosh_r17.lo: generated/$(am__dirstamp) \
3488 generated/$(DEPDIR)/$(am__dirstamp)
3489generated/_tanh_r4.lo: generated/$(am__dirstamp) \
3490 generated/$(DEPDIR)/$(am__dirstamp)
3491generated/_tanh_r8.lo: generated/$(am__dirstamp) \
3492 generated/$(DEPDIR)/$(am__dirstamp)
3493generated/_tanh_r10.lo: generated/$(am__dirstamp) \
3494 generated/$(DEPDIR)/$(am__dirstamp)
3495generated/_tanh_r16.lo: generated/$(am__dirstamp) \
3496 generated/$(DEPDIR)/$(am__dirstamp)
3497generated/_tanh_r17.lo: generated/$(am__dirstamp) \
3498 generated/$(DEPDIR)/$(am__dirstamp)
3499generated/_conjg_c4.lo: generated/$(am__dirstamp) \
3500 generated/$(DEPDIR)/$(am__dirstamp)
3501generated/_conjg_c8.lo: generated/$(am__dirstamp) \
3502 generated/$(DEPDIR)/$(am__dirstamp)
3503generated/_conjg_c10.lo: generated/$(am__dirstamp) \
3504 generated/$(DEPDIR)/$(am__dirstamp)
3505generated/_conjg_c16.lo: generated/$(am__dirstamp) \
3506 generated/$(DEPDIR)/$(am__dirstamp)
3507generated/_conjg_c17.lo: generated/$(am__dirstamp) \
3508 generated/$(DEPDIR)/$(am__dirstamp)
3509generated/_aint_r4.lo: generated/$(am__dirstamp) \
3510 generated/$(DEPDIR)/$(am__dirstamp)
3511generated/_aint_r8.lo: generated/$(am__dirstamp) \
3512 generated/$(DEPDIR)/$(am__dirstamp)
3513generated/_aint_r10.lo: generated/$(am__dirstamp) \
3514 generated/$(DEPDIR)/$(am__dirstamp)
3515generated/_aint_r16.lo: generated/$(am__dirstamp) \
3516 generated/$(DEPDIR)/$(am__dirstamp)
3517generated/_aint_r17.lo: generated/$(am__dirstamp) \
3518 generated/$(DEPDIR)/$(am__dirstamp)
3519generated/_anint_r4.lo: generated/$(am__dirstamp) \
3520 generated/$(DEPDIR)/$(am__dirstamp)
3521generated/_anint_r8.lo: generated/$(am__dirstamp) \
3522 generated/$(DEPDIR)/$(am__dirstamp)
3523generated/_anint_r10.lo: generated/$(am__dirstamp) \
3524 generated/$(DEPDIR)/$(am__dirstamp)
3525generated/_anint_r16.lo: generated/$(am__dirstamp) \
3526 generated/$(DEPDIR)/$(am__dirstamp)
3527generated/_anint_r17.lo: generated/$(am__dirstamp) \
3528 generated/$(DEPDIR)/$(am__dirstamp)
3529generated/_sign_i4.lo: generated/$(am__dirstamp) \
3530 generated/$(DEPDIR)/$(am__dirstamp)
3531generated/_sign_i8.lo: generated/$(am__dirstamp) \
3532 generated/$(DEPDIR)/$(am__dirstamp)
3533generated/_sign_i16.lo: generated/$(am__dirstamp) \
3534 generated/$(DEPDIR)/$(am__dirstamp)
3535generated/_sign_r4.lo: generated/$(am__dirstamp) \
3536 generated/$(DEPDIR)/$(am__dirstamp)
3537generated/_sign_r8.lo: generated/$(am__dirstamp) \
3538 generated/$(DEPDIR)/$(am__dirstamp)
3539generated/_sign_r10.lo: generated/$(am__dirstamp) \
3540 generated/$(DEPDIR)/$(am__dirstamp)
3541generated/_sign_r16.lo: generated/$(am__dirstamp) \
3542 generated/$(DEPDIR)/$(am__dirstamp)
3543generated/_sign_r17.lo: generated/$(am__dirstamp) \
3544 generated/$(DEPDIR)/$(am__dirstamp)
3545generated/_dim_i4.lo: generated/$(am__dirstamp) \
3546 generated/$(DEPDIR)/$(am__dirstamp)
3547generated/_dim_i8.lo: generated/$(am__dirstamp) \
3548 generated/$(DEPDIR)/$(am__dirstamp)
3549generated/_dim_i16.lo: generated/$(am__dirstamp) \
3550 generated/$(DEPDIR)/$(am__dirstamp)
3551generated/_dim_r4.lo: generated/$(am__dirstamp) \
3552 generated/$(DEPDIR)/$(am__dirstamp)
3553generated/_dim_r8.lo: generated/$(am__dirstamp) \
3554 generated/$(DEPDIR)/$(am__dirstamp)
3555generated/_dim_r10.lo: generated/$(am__dirstamp) \
3556 generated/$(DEPDIR)/$(am__dirstamp)
3557generated/_dim_r16.lo: generated/$(am__dirstamp) \
3558 generated/$(DEPDIR)/$(am__dirstamp)
3559generated/_dim_r17.lo: generated/$(am__dirstamp) \
3560 generated/$(DEPDIR)/$(am__dirstamp)
3561generated/_atan2_r4.lo: generated/$(am__dirstamp) \
3562 generated/$(DEPDIR)/$(am__dirstamp)
3563generated/_atan2_r8.lo: generated/$(am__dirstamp) \
3564 generated/$(DEPDIR)/$(am__dirstamp)
3565generated/_atan2_r10.lo: generated/$(am__dirstamp) \
3566 generated/$(DEPDIR)/$(am__dirstamp)
3567generated/_atan2_r16.lo: generated/$(am__dirstamp) \
3568 generated/$(DEPDIR)/$(am__dirstamp)
3569generated/_atan2_r17.lo: generated/$(am__dirstamp) \
3570 generated/$(DEPDIR)/$(am__dirstamp)
3571generated/_mod_i4.lo: generated/$(am__dirstamp) \
3572 generated/$(DEPDIR)/$(am__dirstamp)
3573generated/_mod_i8.lo: generated/$(am__dirstamp) \
3574 generated/$(DEPDIR)/$(am__dirstamp)
3575generated/_mod_i16.lo: generated/$(am__dirstamp) \
3576 generated/$(DEPDIR)/$(am__dirstamp)
3577generated/_mod_r4.lo: generated/$(am__dirstamp) \
3578 generated/$(DEPDIR)/$(am__dirstamp)
3579generated/_mod_r8.lo: generated/$(am__dirstamp) \
3580 generated/$(DEPDIR)/$(am__dirstamp)
3581generated/_mod_r10.lo: generated/$(am__dirstamp) \
3582 generated/$(DEPDIR)/$(am__dirstamp)
3583generated/_mod_r16.lo: generated/$(am__dirstamp) \
3584 generated/$(DEPDIR)/$(am__dirstamp)
3585generated/_mod_r17.lo: generated/$(am__dirstamp) \
3586 generated/$(DEPDIR)/$(am__dirstamp)
3587generated/misc_specifics.lo: generated/$(am__dirstamp) \
3588 generated/$(DEPDIR)/$(am__dirstamp)
3589intrinsics/dprod_r8.lo: intrinsics/$(am__dirstamp) \
3590 intrinsics/$(DEPDIR)/$(am__dirstamp)
3591intrinsics/f2c_specifics.lo: intrinsics/$(am__dirstamp) \
3592 intrinsics/$(DEPDIR)/$(am__dirstamp)
3593intrinsics/random_init.lo: intrinsics/$(am__dirstamp) \
3594 intrinsics/$(DEPDIR)/$(am__dirstamp)
22e05272 3595
c20fdb91 3596libgfortran.la: $(libgfortran_la_OBJECTS) $(libgfortran_la_DEPENDENCIES) $(EXTRA_libgfortran_la_DEPENDENCIES)
22e05272 3597 $(AM_V_GEN)$(libgfortran_la_LINK) -rpath $(toolexeclibdir) $(libgfortran_la_OBJECTS) $(libgfortran_la_LIBADD) $(LIBS)
6de9cd9a
DN
3598
3599mostlyclean-compile:
3600 -rm -f *.$(OBJEXT)
affd24bf
FXC
3601 -rm -f caf/*.$(OBJEXT)
3602 -rm -f caf/*.lo
3603 -rm -f generated/*.$(OBJEXT)
3604 -rm -f generated/*.lo
3605 -rm -f ieee/*.$(OBJEXT)
3606 -rm -f ieee/*.lo
3607 -rm -f intrinsics/*.$(OBJEXT)
3608 -rm -f intrinsics/*.lo
3609 -rm -f io/*.$(OBJEXT)
3610 -rm -f io/*.lo
3611 -rm -f runtime/*.$(OBJEXT)
3612 -rm -f runtime/*.lo
6de9cd9a
DN
3613
3614distclean-compile:
3615 -rm -f *.tab.c
3616
affd24bf
FXC
3617@AMDEP_TRUE@@am__include@ @am__quote@caf/$(DEPDIR)/single.Plo@am__quote@
3618@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/all_l1.Plo@am__quote@
3619@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/all_l16.Plo@am__quote@
3620@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/all_l2.Plo@am__quote@
3621@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/all_l4.Plo@am__quote@
3622@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/all_l8.Plo@am__quote@
3623@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/any_l1.Plo@am__quote@
3624@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/any_l16.Plo@am__quote@
3625@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/any_l2.Plo@am__quote@
3626@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/any_l4.Plo@am__quote@
3627@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/any_l8.Plo@am__quote@
3628@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/bessel_r10.Plo@am__quote@
3629@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/bessel_r16.Plo@am__quote@
3630@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/bessel_r17.Plo@am__quote@
3631@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/bessel_r4.Plo@am__quote@
3632@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/bessel_r8.Plo@am__quote@
3633@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/count_16_l.Plo@am__quote@
3634@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/count_1_l.Plo@am__quote@
3635@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/count_2_l.Plo@am__quote@
3636@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/count_4_l.Plo@am__quote@
3637@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/count_8_l.Plo@am__quote@
3638@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift0_c10.Plo@am__quote@
3639@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift0_c16.Plo@am__quote@
3640@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift0_c17.Plo@am__quote@
3641@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift0_c4.Plo@am__quote@
3642@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift0_c8.Plo@am__quote@
3643@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift0_i1.Plo@am__quote@
3644@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift0_i16.Plo@am__quote@
3645@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift0_i2.Plo@am__quote@
3646@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift0_i4.Plo@am__quote@
3647@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift0_i8.Plo@am__quote@
3648@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift0_r10.Plo@am__quote@
3649@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift0_r16.Plo@am__quote@
3650@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift0_r17.Plo@am__quote@
3651@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift0_r4.Plo@am__quote@
3652@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift0_r8.Plo@am__quote@
3653@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_16.Plo@am__quote@
3654@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_16_c10.Plo@am__quote@
3655@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_16_c16.Plo@am__quote@
3656@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_16_c17.Plo@am__quote@
3657@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_16_c4.Plo@am__quote@
3658@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_16_c8.Plo@am__quote@
3659@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_16_i1.Plo@am__quote@
3660@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_16_i16.Plo@am__quote@
3661@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_16_i2.Plo@am__quote@
3662@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_16_i4.Plo@am__quote@
3663@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_16_i8.Plo@am__quote@
3664@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_16_r10.Plo@am__quote@
3665@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_16_r16.Plo@am__quote@
3666@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_16_r17.Plo@am__quote@
3667@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_16_r4.Plo@am__quote@
3668@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_16_r8.Plo@am__quote@
3669@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_4.Plo@am__quote@
3670@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_4_c10.Plo@am__quote@
3671@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_4_c16.Plo@am__quote@
3672@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_4_c17.Plo@am__quote@
3673@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_4_c4.Plo@am__quote@
3674@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_4_c8.Plo@am__quote@
3675@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_4_i1.Plo@am__quote@
3676@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_4_i16.Plo@am__quote@
3677@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_4_i2.Plo@am__quote@
3678@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_4_i4.Plo@am__quote@
3679@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_4_i8.Plo@am__quote@
3680@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_4_r10.Plo@am__quote@
3681@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_4_r16.Plo@am__quote@
3682@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_4_r17.Plo@am__quote@
3683@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_4_r4.Plo@am__quote@
3684@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_4_r8.Plo@am__quote@
3685@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_8.Plo@am__quote@
3686@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_8_c10.Plo@am__quote@
3687@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_8_c16.Plo@am__quote@
3688@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_8_c17.Plo@am__quote@
3689@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_8_c4.Plo@am__quote@
3690@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_8_c8.Plo@am__quote@
3691@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_8_i1.Plo@am__quote@
3692@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_8_i16.Plo@am__quote@
3693@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_8_i2.Plo@am__quote@
3694@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_8_i4.Plo@am__quote@
3695@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_8_i8.Plo@am__quote@
3696@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_8_r10.Plo@am__quote@
3697@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_8_r16.Plo@am__quote@
3698@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_8_r17.Plo@am__quote@
3699@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_8_r4.Plo@am__quote@
3700@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/cshift1_8_r8.Plo@am__quote@
3701@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/eoshift1_16.Plo@am__quote@
3702@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/eoshift1_4.Plo@am__quote@
3703@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/eoshift1_8.Plo@am__quote@
3704@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/eoshift3_16.Plo@am__quote@
3705@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/eoshift3_4.Plo@am__quote@
3706@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/eoshift3_8.Plo@am__quote@
3707@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc0_c10.Plo@am__quote@
3708@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc0_c16.Plo@am__quote@
3709@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc0_c17.Plo@am__quote@
3710@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc0_c4.Plo@am__quote@
3711@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc0_c8.Plo@am__quote@
3712@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc0_i1.Plo@am__quote@
3713@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc0_i16.Plo@am__quote@
3714@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc0_i2.Plo@am__quote@
3715@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc0_i4.Plo@am__quote@
3716@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc0_i8.Plo@am__quote@
3717@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc0_r10.Plo@am__quote@
3718@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc0_r16.Plo@am__quote@
3719@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc0_r17.Plo@am__quote@
3720@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc0_r4.Plo@am__quote@
3721@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc0_r8.Plo@am__quote@
3722@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc0_s1.Plo@am__quote@
3723@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc0_s4.Plo@am__quote@
3724@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc1_c10.Plo@am__quote@
3725@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc1_c16.Plo@am__quote@
3726@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc1_c17.Plo@am__quote@
3727@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc1_c4.Plo@am__quote@
3728@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc1_c8.Plo@am__quote@
3729@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc1_i1.Plo@am__quote@
3730@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc1_i16.Plo@am__quote@
3731@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc1_i2.Plo@am__quote@
3732@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc1_i4.Plo@am__quote@
3733@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc1_i8.Plo@am__quote@
3734@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc1_r10.Plo@am__quote@
3735@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc1_r16.Plo@am__quote@
3736@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc1_r17.Plo@am__quote@
3737@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc1_r4.Plo@am__quote@
3738@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc1_r8.Plo@am__quote@
3739@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc1_s1.Plo@am__quote@
3740@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc1_s4.Plo@am__quote@
3741@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc2_s1.Plo@am__quote@
3742@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/findloc2_s4.Plo@am__quote@
3743@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/iall_i1.Plo@am__quote@
3744@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/iall_i16.Plo@am__quote@
3745@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/iall_i2.Plo@am__quote@
3746@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/iall_i4.Plo@am__quote@
3747@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/iall_i8.Plo@am__quote@
3748@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/iany_i1.Plo@am__quote@
3749@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/iany_i16.Plo@am__quote@
3750@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/iany_i2.Plo@am__quote@
3751@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/iany_i4.Plo@am__quote@
3752@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/iany_i8.Plo@am__quote@
3753@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/in_pack_c10.Plo@am__quote@
3754@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/in_pack_c16.Plo@am__quote@
3755@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/in_pack_c17.Plo@am__quote@
3756@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/in_pack_c4.Plo@am__quote@
3757@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/in_pack_c8.Plo@am__quote@
3758@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/in_pack_i1.Plo@am__quote@
3759@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/in_pack_i16.Plo@am__quote@
3760@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/in_pack_i2.Plo@am__quote@
3761@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/in_pack_i4.Plo@am__quote@
3762@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/in_pack_i8.Plo@am__quote@
3763@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/in_pack_r10.Plo@am__quote@
3764@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/in_pack_r16.Plo@am__quote@
3765@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/in_pack_r17.Plo@am__quote@
3766@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/in_pack_r4.Plo@am__quote@
3767@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/in_pack_r8.Plo@am__quote@
3768@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/in_unpack_c10.Plo@am__quote@
3769@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/in_unpack_c16.Plo@am__quote@
3770@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/in_unpack_c17.Plo@am__quote@
3771@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/in_unpack_c4.Plo@am__quote@
3772@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/in_unpack_c8.Plo@am__quote@
3773@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/in_unpack_i1.Plo@am__quote@
3774@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/in_unpack_i16.Plo@am__quote@
3775@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/in_unpack_i2.Plo@am__quote@
3776@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/in_unpack_i4.Plo@am__quote@
3777@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/in_unpack_i8.Plo@am__quote@
3778@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/in_unpack_r10.Plo@am__quote@
3779@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/in_unpack_r16.Plo@am__quote@
3780@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/in_unpack_r17.Plo@am__quote@
3781@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/in_unpack_r4.Plo@am__quote@
3782@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/in_unpack_r8.Plo@am__quote@
3783@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/iparity_i1.Plo@am__quote@
3784@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/iparity_i16.Plo@am__quote@
3785@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/iparity_i2.Plo@am__quote@
3786@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/iparity_i4.Plo@am__quote@
3787@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/iparity_i8.Plo@am__quote@
3788@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/matmul_c10.Plo@am__quote@
3789@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/matmul_c16.Plo@am__quote@
3790@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/matmul_c17.Plo@am__quote@
3791@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/matmul_c4.Plo@am__quote@
3792@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/matmul_c8.Plo@am__quote@
3793@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/matmul_i1.Plo@am__quote@
3794@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/matmul_i16.Plo@am__quote@
3795@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/matmul_i2.Plo@am__quote@
3796@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/matmul_i4.Plo@am__quote@
3797@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/matmul_i8.Plo@am__quote@
3798@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/matmul_l16.Plo@am__quote@
3799@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/matmul_l4.Plo@am__quote@
3800@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/matmul_l8.Plo@am__quote@
3801@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/matmul_r10.Plo@am__quote@
3802@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/matmul_r16.Plo@am__quote@
3803@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/matmul_r17.Plo@am__quote@
3804@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/matmul_r4.Plo@am__quote@
3805@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/matmul_r8.Plo@am__quote@
3806@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/matmulavx128_c10.Plo@am__quote@
3807@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/matmulavx128_c16.Plo@am__quote@
3808@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/matmulavx128_c17.Plo@am__quote@
3809@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/matmulavx128_c4.Plo@am__quote@
3810@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/matmulavx128_c8.Plo@am__quote@
3811@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/matmulavx128_i1.Plo@am__quote@
3812@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/matmulavx128_i16.Plo@am__quote@
3813@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/matmulavx128_i2.Plo@am__quote@
3814@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/matmulavx128_i4.Plo@am__quote@
3815@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/matmulavx128_i8.Plo@am__quote@
3816@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/matmulavx128_r10.Plo@am__quote@
3817@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/matmulavx128_r16.Plo@am__quote@
3818@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/matmulavx128_r17.Plo@am__quote@
3819@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/matmulavx128_r4.Plo@am__quote@
3820@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/matmulavx128_r8.Plo@am__quote@
3821@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_16_i1.Plo@am__quote@
3822@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_16_i16.Plo@am__quote@
3823@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_16_i2.Plo@am__quote@
3824@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_16_i4.Plo@am__quote@
3825@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_16_i8.Plo@am__quote@
3826@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_16_r10.Plo@am__quote@
3827@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_16_r16.Plo@am__quote@
3828@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_16_r17.Plo@am__quote@
3829@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_16_r4.Plo@am__quote@
3830@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_16_r8.Plo@am__quote@
3831@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_16_s1.Plo@am__quote@
3832@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_16_s4.Plo@am__quote@
3833@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_4_i1.Plo@am__quote@
3834@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_4_i16.Plo@am__quote@
3835@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_4_i2.Plo@am__quote@
3836@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_4_i4.Plo@am__quote@
3837@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_4_i8.Plo@am__quote@
3838@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_4_r10.Plo@am__quote@
3839@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_4_r16.Plo@am__quote@
3840@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_4_r17.Plo@am__quote@
3841@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_4_r4.Plo@am__quote@
3842@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_4_r8.Plo@am__quote@
3843@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_4_s1.Plo@am__quote@
3844@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_4_s4.Plo@am__quote@
3845@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_8_i1.Plo@am__quote@
3846@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_8_i16.Plo@am__quote@
3847@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_8_i2.Plo@am__quote@
3848@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_8_i4.Plo@am__quote@
3849@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_8_i8.Plo@am__quote@
3850@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_8_r10.Plo@am__quote@
3851@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_8_r16.Plo@am__quote@
3852@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_8_r17.Plo@am__quote@
3853@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_8_r4.Plo@am__quote@
3854@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_8_r8.Plo@am__quote@
3855@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_8_s1.Plo@am__quote@
3856@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc0_8_s4.Plo@am__quote@
3857@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_16_i1.Plo@am__quote@
3858@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_16_i16.Plo@am__quote@
3859@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_16_i2.Plo@am__quote@
3860@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_16_i4.Plo@am__quote@
3861@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_16_i8.Plo@am__quote@
3862@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_16_r10.Plo@am__quote@
3863@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_16_r16.Plo@am__quote@
3864@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_16_r17.Plo@am__quote@
3865@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_16_r4.Plo@am__quote@
3866@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_16_r8.Plo@am__quote@
3867@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_16_s1.Plo@am__quote@
3868@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_16_s4.Plo@am__quote@
3869@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_4_i1.Plo@am__quote@
3870@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_4_i16.Plo@am__quote@
3871@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_4_i2.Plo@am__quote@
3872@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_4_i4.Plo@am__quote@
3873@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_4_i8.Plo@am__quote@
3874@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_4_r10.Plo@am__quote@
3875@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_4_r16.Plo@am__quote@
3876@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_4_r17.Plo@am__quote@
3877@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_4_r4.Plo@am__quote@
3878@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_4_r8.Plo@am__quote@
3879@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_4_s1.Plo@am__quote@
3880@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_4_s4.Plo@am__quote@
3881@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_8_i1.Plo@am__quote@
3882@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_8_i16.Plo@am__quote@
3883@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_8_i2.Plo@am__quote@
3884@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_8_i4.Plo@am__quote@
3885@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_8_i8.Plo@am__quote@
3886@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_8_r10.Plo@am__quote@
3887@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_8_r16.Plo@am__quote@
3888@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_8_r17.Plo@am__quote@
3889@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_8_r4.Plo@am__quote@
3890@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_8_r8.Plo@am__quote@
3891@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_8_s1.Plo@am__quote@
3892@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc1_8_s4.Plo@am__quote@
3893@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc2_16_s1.Plo@am__quote@
3894@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc2_16_s4.Plo@am__quote@
3895@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc2_4_s1.Plo@am__quote@
3896@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc2_4_s4.Plo@am__quote@
3897@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc2_8_s1.Plo@am__quote@
3898@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxloc2_8_s4.Plo@am__quote@
3899@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxval0_s1.Plo@am__quote@
3900@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxval0_s4.Plo@am__quote@
3901@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxval1_s1.Plo@am__quote@
3902@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxval1_s4.Plo@am__quote@
3903@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxval_i1.Plo@am__quote@
3904@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxval_i16.Plo@am__quote@
3905@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxval_i2.Plo@am__quote@
3906@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxval_i4.Plo@am__quote@
3907@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxval_i8.Plo@am__quote@
3908@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxval_r10.Plo@am__quote@
3909@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxval_r16.Plo@am__quote@
3910@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxval_r17.Plo@am__quote@
3911@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxval_r4.Plo@am__quote@
3912@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/maxval_r8.Plo@am__quote@
3913@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_16_i1.Plo@am__quote@
3914@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_16_i16.Plo@am__quote@
3915@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_16_i2.Plo@am__quote@
3916@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_16_i4.Plo@am__quote@
3917@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_16_i8.Plo@am__quote@
3918@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_16_r10.Plo@am__quote@
3919@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_16_r16.Plo@am__quote@
3920@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_16_r17.Plo@am__quote@
3921@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_16_r4.Plo@am__quote@
3922@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_16_r8.Plo@am__quote@
3923@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_16_s1.Plo@am__quote@
3924@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_16_s4.Plo@am__quote@
3925@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_4_i1.Plo@am__quote@
3926@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_4_i16.Plo@am__quote@
3927@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_4_i2.Plo@am__quote@
3928@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_4_i4.Plo@am__quote@
3929@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_4_i8.Plo@am__quote@
3930@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_4_r10.Plo@am__quote@
3931@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_4_r16.Plo@am__quote@
3932@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_4_r17.Plo@am__quote@
3933@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_4_r4.Plo@am__quote@
3934@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_4_r8.Plo@am__quote@
3935@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_4_s1.Plo@am__quote@
3936@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_4_s4.Plo@am__quote@
3937@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_8_i1.Plo@am__quote@
3938@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_8_i16.Plo@am__quote@
3939@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_8_i2.Plo@am__quote@
3940@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_8_i4.Plo@am__quote@
3941@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_8_i8.Plo@am__quote@
3942@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_8_r10.Plo@am__quote@
3943@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_8_r16.Plo@am__quote@
3944@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_8_r17.Plo@am__quote@
3945@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_8_r4.Plo@am__quote@
3946@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_8_r8.Plo@am__quote@
3947@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_8_s1.Plo@am__quote@
3948@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc0_8_s4.Plo@am__quote@
3949@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_16_i1.Plo@am__quote@
3950@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_16_i16.Plo@am__quote@
3951@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_16_i2.Plo@am__quote@
3952@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_16_i4.Plo@am__quote@
3953@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_16_i8.Plo@am__quote@
3954@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_16_r10.Plo@am__quote@
3955@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_16_r16.Plo@am__quote@
3956@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_16_r17.Plo@am__quote@
3957@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_16_r4.Plo@am__quote@
3958@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_16_r8.Plo@am__quote@
3959@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_16_s1.Plo@am__quote@
3960@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_16_s4.Plo@am__quote@
3961@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_4_i1.Plo@am__quote@
3962@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_4_i16.Plo@am__quote@
3963@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_4_i2.Plo@am__quote@
3964@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_4_i4.Plo@am__quote@
3965@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_4_i8.Plo@am__quote@
3966@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_4_r10.Plo@am__quote@
3967@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_4_r16.Plo@am__quote@
3968@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_4_r17.Plo@am__quote@
3969@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_4_r4.Plo@am__quote@
3970@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_4_r8.Plo@am__quote@
3971@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_4_s1.Plo@am__quote@
3972@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_4_s4.Plo@am__quote@
3973@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_8_i1.Plo@am__quote@
3974@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_8_i16.Plo@am__quote@
3975@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_8_i2.Plo@am__quote@
3976@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_8_i4.Plo@am__quote@
3977@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_8_i8.Plo@am__quote@
3978@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_8_r10.Plo@am__quote@
3979@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_8_r16.Plo@am__quote@
3980@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_8_r17.Plo@am__quote@
3981@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_8_r4.Plo@am__quote@
3982@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_8_r8.Plo@am__quote@
3983@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_8_s1.Plo@am__quote@
3984@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc1_8_s4.Plo@am__quote@
3985@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc2_16_s1.Plo@am__quote@
3986@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc2_16_s4.Plo@am__quote@
3987@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc2_4_s1.Plo@am__quote@
3988@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc2_4_s4.Plo@am__quote@
3989@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc2_8_s1.Plo@am__quote@
3990@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minloc2_8_s4.Plo@am__quote@
3991@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minval0_s1.Plo@am__quote@
3992@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minval0_s4.Plo@am__quote@
3993@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minval1_s1.Plo@am__quote@
3994@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minval1_s4.Plo@am__quote@
3995@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minval_i1.Plo@am__quote@
3996@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minval_i16.Plo@am__quote@
3997@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minval_i2.Plo@am__quote@
3998@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minval_i4.Plo@am__quote@
3999@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minval_i8.Plo@am__quote@
4000@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minval_r10.Plo@am__quote@
4001@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minval_r16.Plo@am__quote@
4002@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minval_r17.Plo@am__quote@
4003@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minval_r4.Plo@am__quote@
4004@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/minval_r8.Plo@am__quote@
4005@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/norm2_r10.Plo@am__quote@
4006@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/norm2_r16.Plo@am__quote@
4007@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/norm2_r17.Plo@am__quote@
4008@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/norm2_r4.Plo@am__quote@
4009@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/norm2_r8.Plo@am__quote@
4010@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pack_c10.Plo@am__quote@
4011@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pack_c16.Plo@am__quote@
4012@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pack_c17.Plo@am__quote@
4013@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pack_c4.Plo@am__quote@
4014@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pack_c8.Plo@am__quote@
4015@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pack_i1.Plo@am__quote@
4016@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pack_i16.Plo@am__quote@
4017@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pack_i2.Plo@am__quote@
4018@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pack_i4.Plo@am__quote@
4019@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pack_i8.Plo@am__quote@
4020@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pack_r10.Plo@am__quote@
4021@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pack_r16.Plo@am__quote@
4022@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pack_r17.Plo@am__quote@
4023@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pack_r4.Plo@am__quote@
4024@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pack_r8.Plo@am__quote@
4025@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/parity_l1.Plo@am__quote@
4026@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/parity_l16.Plo@am__quote@
4027@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/parity_l2.Plo@am__quote@
4028@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/parity_l4.Plo@am__quote@
4029@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/parity_l8.Plo@am__quote@
4030@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_c10_i16.Plo@am__quote@
4031@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_c10_i4.Plo@am__quote@
4032@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_c10_i8.Plo@am__quote@
4033@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_c16_i16.Plo@am__quote@
4034@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_c16_i4.Plo@am__quote@
4035@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_c16_i8.Plo@am__quote@
4036@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_c17_i16.Plo@am__quote@
4037@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_c17_i4.Plo@am__quote@
4038@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_c17_i8.Plo@am__quote@
4039@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_c4_i16.Plo@am__quote@
4040@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_c4_i4.Plo@am__quote@
4041@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_c4_i8.Plo@am__quote@
4042@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_c8_i16.Plo@am__quote@
4043@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_c8_i4.Plo@am__quote@
4044@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_c8_i8.Plo@am__quote@
4045@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_i16_i16.Plo@am__quote@
4046@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_i16_i4.Plo@am__quote@
4047@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_i16_i8.Plo@am__quote@
4048@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_i4_i16.Plo@am__quote@
4049@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_i4_i4.Plo@am__quote@
4050@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_i4_i8.Plo@am__quote@
4051@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_i8_i16.Plo@am__quote@
4052@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_i8_i4.Plo@am__quote@
4053@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_i8_i8.Plo@am__quote@
4054@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_r10_i16.Plo@am__quote@
4055@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_r10_i8.Plo@am__quote@
4056@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_r16_i16.Plo@am__quote@
4057@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_r16_i4.Plo@am__quote@
4058@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_r16_i8.Plo@am__quote@
4059@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_r17_i16.Plo@am__quote@
4060@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_r17_i4.Plo@am__quote@
4061@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_r17_i8.Plo@am__quote@
4062@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_r4_i16.Plo@am__quote@
4063@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_r4_i8.Plo@am__quote@
4064@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_r8_i16.Plo@am__quote@
4065@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/pow_r8_i8.Plo@am__quote@
4066@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/product_c10.Plo@am__quote@
4067@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/product_c16.Plo@am__quote@
4068@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/product_c17.Plo@am__quote@
4069@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/product_c4.Plo@am__quote@
4070@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/product_c8.Plo@am__quote@
4071@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/product_i1.Plo@am__quote@
4072@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/product_i16.Plo@am__quote@
4073@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/product_i2.Plo@am__quote@
4074@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/product_i4.Plo@am__quote@
4075@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/product_i8.Plo@am__quote@
4076@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/product_r10.Plo@am__quote@
4077@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/product_r16.Plo@am__quote@
4078@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/product_r17.Plo@am__quote@
4079@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/product_r4.Plo@am__quote@
4080@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/product_r8.Plo@am__quote@
4081@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/reshape_c10.Plo@am__quote@
4082@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/reshape_c16.Plo@am__quote@
4083@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/reshape_c17.Plo@am__quote@
4084@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/reshape_c4.Plo@am__quote@
4085@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/reshape_c8.Plo@am__quote@
4086@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/reshape_i16.Plo@am__quote@
4087@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/reshape_i4.Plo@am__quote@
4088@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/reshape_i8.Plo@am__quote@
4089@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/reshape_r10.Plo@am__quote@
4090@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/reshape_r16.Plo@am__quote@
4091@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/reshape_r17.Plo@am__quote@
4092@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/reshape_r4.Plo@am__quote@
4093@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/reshape_r8.Plo@am__quote@
4094@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/shape_i1.Plo@am__quote@
4095@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/shape_i16.Plo@am__quote@
4096@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/shape_i2.Plo@am__quote@
4097@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/shape_i4.Plo@am__quote@
4098@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/shape_i8.Plo@am__quote@
4099@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/spread_c10.Plo@am__quote@
4100@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/spread_c16.Plo@am__quote@
4101@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/spread_c17.Plo@am__quote@
4102@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/spread_c4.Plo@am__quote@
4103@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/spread_c8.Plo@am__quote@
4104@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/spread_i1.Plo@am__quote@
4105@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/spread_i16.Plo@am__quote@
4106@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/spread_i2.Plo@am__quote@
4107@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/spread_i4.Plo@am__quote@
4108@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/spread_i8.Plo@am__quote@
4109@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/spread_r10.Plo@am__quote@
4110@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/spread_r16.Plo@am__quote@
4111@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/spread_r17.Plo@am__quote@
4112@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/spread_r4.Plo@am__quote@
4113@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/spread_r8.Plo@am__quote@
4114@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/sum_c10.Plo@am__quote@
4115@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/sum_c16.Plo@am__quote@
4116@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/sum_c17.Plo@am__quote@
4117@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/sum_c4.Plo@am__quote@
4118@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/sum_c8.Plo@am__quote@
4119@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/sum_i1.Plo@am__quote@
4120@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/sum_i16.Plo@am__quote@
4121@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/sum_i2.Plo@am__quote@
4122@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/sum_i4.Plo@am__quote@
4123@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/sum_i8.Plo@am__quote@
4124@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/sum_r10.Plo@am__quote@
4125@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/sum_r16.Plo@am__quote@
4126@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/sum_r17.Plo@am__quote@
4127@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/sum_r4.Plo@am__quote@
4128@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/sum_r8.Plo@am__quote@
4129@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/unpack_c10.Plo@am__quote@
4130@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/unpack_c16.Plo@am__quote@
4131@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/unpack_c17.Plo@am__quote@
4132@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/unpack_c4.Plo@am__quote@
4133@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/unpack_c8.Plo@am__quote@
4134@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/unpack_i1.Plo@am__quote@
4135@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/unpack_i16.Plo@am__quote@
4136@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/unpack_i2.Plo@am__quote@
4137@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/unpack_i4.Plo@am__quote@
4138@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/unpack_i8.Plo@am__quote@
4139@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/unpack_r10.Plo@am__quote@
4140@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/unpack_r16.Plo@am__quote@
4141@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/unpack_r17.Plo@am__quote@
4142@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/unpack_r4.Plo@am__quote@
4143@AMDEP_TRUE@@am__include@ @am__quote@generated/$(DEPDIR)/unpack_r8.Plo@am__quote@
4144@AMDEP_TRUE@@am__include@ @am__quote@ieee/$(DEPDIR)/ieee_helper.Plo@am__quote@
4145@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/abort.Plo@am__quote@
4146@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/access.Plo@am__quote@
4147@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/args.Plo@am__quote@
4148@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/associated.Plo@am__quote@
4149@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/c99_functions.Plo@am__quote@
4150@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/chdir.Plo@am__quote@
4151@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/chmod.Plo@am__quote@
4152@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/clock.Plo@am__quote@
4153@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/cpu_time.Plo@am__quote@
4154@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/cshift0.Plo@am__quote@
4155@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/ctime.Plo@am__quote@
4156@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/date_and_time.Plo@am__quote@
4157@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/dtime.Plo@am__quote@
4158@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/env.Plo@am__quote@
4159@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/eoshift0.Plo@am__quote@
4160@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/eoshift2.Plo@am__quote@
4161@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/erfc_scaled.Plo@am__quote@
4162@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/etime.Plo@am__quote@
4163@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/execute_command_line.Plo@am__quote@
4164@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/exit.Plo@am__quote@
4165@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/extends_type_of.Plo@am__quote@
4166@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/fnum.Plo@am__quote@
4167@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/gerror.Plo@am__quote@
4168@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/getXid.Plo@am__quote@
4169@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/getcwd.Plo@am__quote@
4170@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/getlog.Plo@am__quote@
4171@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/hostnm.Plo@am__quote@
4172@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/ierrno.Plo@am__quote@
4173@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/is_contiguous.Plo@am__quote@
4174@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/ishftc.Plo@am__quote@
4175@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/kill.Plo@am__quote@
4176@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/link.Plo@am__quote@
4177@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/move_alloc.Plo@am__quote@
4178@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/mvbits.Plo@am__quote@
4179@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/pack_generic.Plo@am__quote@
4180@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/perror.Plo@am__quote@
4181@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/rand.Plo@am__quote@
4182@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/random.Plo@am__quote@
4183@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/rename.Plo@am__quote@
4184@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/reshape_generic.Plo@am__quote@
4185@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/reshape_packed.Plo@am__quote@
4186@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/selected_char_kind.Plo@am__quote@
4187@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/signal.Plo@am__quote@
4188@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/size.Plo@am__quote@
4189@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/sleep.Plo@am__quote@
4190@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/spread_generic.Plo@am__quote@
4191@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/stat.Plo@am__quote@
4192@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/string_intrinsics.Plo@am__quote@
4193@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/symlnk.Plo@am__quote@
4194@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/system.Plo@am__quote@
4195@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/system_clock.Plo@am__quote@
4196@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/time.Plo@am__quote@
4197@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/trigd.Plo@am__quote@
4198@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/umask.Plo@am__quote@
4199@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/unlink.Plo@am__quote@
4200@AMDEP_TRUE@@am__include@ @am__quote@intrinsics/$(DEPDIR)/unpack_generic.Plo@am__quote@
4201@AMDEP_TRUE@@am__include@ @am__quote@io/$(DEPDIR)/async.Plo@am__quote@
4202@AMDEP_TRUE@@am__include@ @am__quote@io/$(DEPDIR)/close.Plo@am__quote@
4203@AMDEP_TRUE@@am__include@ @am__quote@io/$(DEPDIR)/fbuf.Plo@am__quote@
4204@AMDEP_TRUE@@am__include@ @am__quote@io/$(DEPDIR)/file_pos.Plo@am__quote@
4205@AMDEP_TRUE@@am__include@ @am__quote@io/$(DEPDIR)/format.Plo@am__quote@
4206@AMDEP_TRUE@@am__include@ @am__quote@io/$(DEPDIR)/inquire.Plo@am__quote@
4207@AMDEP_TRUE@@am__include@ @am__quote@io/$(DEPDIR)/intrinsics.Plo@am__quote@
4208@AMDEP_TRUE@@am__include@ @am__quote@io/$(DEPDIR)/list_read.Plo@am__quote@
4209@AMDEP_TRUE@@am__include@ @am__quote@io/$(DEPDIR)/lock.Plo@am__quote@
4210@AMDEP_TRUE@@am__include@ @am__quote@io/$(DEPDIR)/open.Plo@am__quote@
4211@AMDEP_TRUE@@am__include@ @am__quote@io/$(DEPDIR)/read.Plo@am__quote@
4212@AMDEP_TRUE@@am__include@ @am__quote@io/$(DEPDIR)/size_from_kind.Plo@am__quote@
4213@AMDEP_TRUE@@am__include@ @am__quote@io/$(DEPDIR)/transfer.Plo@am__quote@
4214@AMDEP_TRUE@@am__include@ @am__quote@io/$(DEPDIR)/transfer128.Plo@am__quote@
4215@AMDEP_TRUE@@am__include@ @am__quote@io/$(DEPDIR)/unit.Plo@am__quote@
4216@AMDEP_TRUE@@am__include@ @am__quote@io/$(DEPDIR)/unix.Plo@am__quote@
4217@AMDEP_TRUE@@am__include@ @am__quote@io/$(DEPDIR)/write.Plo@am__quote@
4218@AMDEP_TRUE@@am__include@ @am__quote@runtime/$(DEPDIR)/ISO_Fortran_binding.Plo@am__quote@
4219@AMDEP_TRUE@@am__include@ @am__quote@runtime/$(DEPDIR)/backtrace.Plo@am__quote@
4220@AMDEP_TRUE@@am__include@ @am__quote@runtime/$(DEPDIR)/bounds.Plo@am__quote@
4221@AMDEP_TRUE@@am__include@ @am__quote@runtime/$(DEPDIR)/compile_options.Plo@am__quote@
4222@AMDEP_TRUE@@am__include@ @am__quote@runtime/$(DEPDIR)/convert_char.Plo@am__quote@
4223@AMDEP_TRUE@@am__include@ @am__quote@runtime/$(DEPDIR)/environ.Plo@am__quote@
4224@AMDEP_TRUE@@am__include@ @am__quote@runtime/$(DEPDIR)/error.Plo@am__quote@
4225@AMDEP_TRUE@@am__include@ @am__quote@runtime/$(DEPDIR)/fpu.Plo@am__quote@
4226@AMDEP_TRUE@@am__include@ @am__quote@runtime/$(DEPDIR)/in_pack_generic.Plo@am__quote@
4227@AMDEP_TRUE@@am__include@ @am__quote@runtime/$(DEPDIR)/in_unpack_generic.Plo@am__quote@
4228@AMDEP_TRUE@@am__include@ @am__quote@runtime/$(DEPDIR)/main.Plo@am__quote@
4229@AMDEP_TRUE@@am__include@ @am__quote@runtime/$(DEPDIR)/memory.Plo@am__quote@
4230@AMDEP_TRUE@@am__include@ @am__quote@runtime/$(DEPDIR)/minimal.Plo@am__quote@
4231@AMDEP_TRUE@@am__include@ @am__quote@runtime/$(DEPDIR)/pause.Plo@am__quote@
4232@AMDEP_TRUE@@am__include@ @am__quote@runtime/$(DEPDIR)/select.Plo@am__quote@
4233@AMDEP_TRUE@@am__include@ @am__quote@runtime/$(DEPDIR)/stop.Plo@am__quote@
4234@AMDEP_TRUE@@am__include@ @am__quote@runtime/$(DEPDIR)/string.Plo@am__quote@
679c0f9a 4235
973ff4c0 4236.F90.o:
22e05272 4237 $(AM_V_PPFC)$(PPFCCOMPILE) -c -o $@ $<
973ff4c0
TS
4238
4239.F90.obj:
22e05272 4240 $(AM_V_PPFC)$(PPFCCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
973ff4c0
TS
4241
4242.F90.lo:
22e05272 4243 $(AM_V_PPFC)$(LTPPFCCOMPILE) -c -o $@ $<
973ff4c0 4244
6de9cd9a 4245.c.o:
affd24bf
FXC
4246@am__fastdepCC_TRUE@ $(AM_V_CC)depbase=`echo $@ | sed 's|[^/]*$$|$(DEPDIR)/&|;s|\.o$$||'`;\
4247@am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $$depbase.Tpo -c -o $@ $< &&\
4248@am__fastdepCC_TRUE@ $(am__mv) $$depbase.Tpo $$depbase.Po
22e05272 4249@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
679c0f9a 4250@AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
22e05272 4251@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c -o $@ $<
6de9cd9a
DN
4252
4253.c.obj:
affd24bf
FXC
4254@am__fastdepCC_TRUE@ $(AM_V_CC)depbase=`echo $@ | sed 's|[^/]*$$|$(DEPDIR)/&|;s|\.obj$$||'`;\
4255@am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $$depbase.Tpo -c -o $@ `$(CYGPATH_W) '$<'` &&\
4256@am__fastdepCC_TRUE@ $(am__mv) $$depbase.Tpo $$depbase.Po
22e05272 4257@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
679c0f9a 4258@AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
22e05272 4259@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
6de9cd9a
DN
4260
4261.c.lo:
affd24bf
FXC
4262@am__fastdepCC_TRUE@ $(AM_V_CC)depbase=`echo $@ | sed 's|[^/]*$$|$(DEPDIR)/&|;s|\.lo$$||'`;\
4263@am__fastdepCC_TRUE@ $(LTCOMPILE) -MT $@ -MD -MP -MF $$depbase.Tpo -c -o $@ $< &&\
4264@am__fastdepCC_TRUE@ $(am__mv) $$depbase.Tpo $$depbase.Plo
22e05272 4265@AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
679c0f9a 4266@AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
22e05272 4267@am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(LTCOMPILE) -c -o $@ $<
6de9cd9a 4268
affd24bf
FXC
4269.f90.o:
4270 $(AM_V_FC)$(FCCOMPILE) -c -o $@ $<
6de9cd9a 4271
affd24bf
FXC
4272.f90.obj:
4273 $(AM_V_FC)$(FCCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
6de9cd9a
DN
4274
4275.f90.lo:
22e05272 4276 $(AM_V_FC)$(LTFCCOMPILE) -c -o $@ $<
6de9cd9a 4277
6de9cd9a
DN
4278mostlyclean-libtool:
4279 -rm -f *.lo
4280
4281clean-libtool:
4282 -rm -rf .libs _libs
affd24bf
FXC
4283 -rm -rf caf/.libs caf/_libs
4284 -rm -rf generated/.libs generated/_libs
4285 -rm -rf ieee/.libs ieee/_libs
4286 -rm -rf intrinsics/.libs intrinsics/_libs
4287 -rm -rf io/.libs io/_libs
4288 -rm -rf runtime/.libs runtime/_libs
6de9cd9a
DN
4289
4290distclean-libtool:
5213506e 4291 -rm -f libtool config.lt
1ec601bf
FXC
4292install-toolexeclibDATA: $(toolexeclib_DATA)
4293 @$(NORMAL_INSTALL)
1ec601bf 4294 @list='$(toolexeclib_DATA)'; test -n "$(toolexeclibdir)" || list=; \
6c023041
JB
4295 if test -n "$$list"; then \
4296 echo " $(MKDIR_P) '$(DESTDIR)$(toolexeclibdir)'"; \
4297 $(MKDIR_P) "$(DESTDIR)$(toolexeclibdir)" || exit 1; \
4298 fi; \
1ec601bf
FXC
4299 for p in $$list; do \
4300 if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
4301 echo "$$d$$p"; \
4302 done | $(am__base_list) | \
4303 while read files; do \
4304 echo " $(INSTALL_DATA) $$files '$(DESTDIR)$(toolexeclibdir)'"; \
4305 $(INSTALL_DATA) $$files "$(DESTDIR)$(toolexeclibdir)" || exit $$?; \
4306 done
4307
4308uninstall-toolexeclibDATA:
4309 @$(NORMAL_UNINSTALL)
4310 @list='$(toolexeclib_DATA)'; test -n "$(toolexeclibdir)" || list=; \
4311 files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
c20fdb91 4312 dir='$(DESTDIR)$(toolexeclibdir)'; $(am__uninstall_files_from_dir)
bbf18dc5
PT
4313install-gfor_cHEADERS: $(gfor_c_HEADERS)
4314 @$(NORMAL_INSTALL)
4315 @list='$(gfor_c_HEADERS)'; test -n "$(gfor_cdir)" || list=; \
4316 if test -n "$$list"; then \
4317 echo " $(MKDIR_P) '$(DESTDIR)$(gfor_cdir)'"; \
4318 $(MKDIR_P) "$(DESTDIR)$(gfor_cdir)" || exit 1; \
4319 fi; \
4320 for p in $$list; do \
4321 if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
4322 echo "$$d$$p"; \
4323 done | $(am__base_list) | \
4324 while read files; do \
4325 echo " $(INSTALL_HEADER) $$files '$(DESTDIR)$(gfor_cdir)'"; \
4326 $(INSTALL_HEADER) $$files "$(DESTDIR)$(gfor_cdir)" || exit $$?; \
4327 done
4328
4329uninstall-gfor_cHEADERS:
4330 @$(NORMAL_UNINSTALL)
4331 @list='$(gfor_c_HEADERS)'; test -n "$(gfor_cdir)" || list=; \
4332 files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
4333 dir='$(DESTDIR)$(gfor_cdir)'; $(am__uninstall_files_from_dir)
8b198102
FXC
4334install-nodist_fincludeHEADERS: $(nodist_finclude_HEADERS)
4335 @$(NORMAL_INSTALL)
8b198102 4336 @list='$(nodist_finclude_HEADERS)'; test -n "$(fincludedir)" || list=; \
6c023041
JB
4337 if test -n "$$list"; then \
4338 echo " $(MKDIR_P) '$(DESTDIR)$(fincludedir)'"; \
4339 $(MKDIR_P) "$(DESTDIR)$(fincludedir)" || exit 1; \
4340 fi; \
8b198102
FXC
4341 for p in $$list; do \
4342 if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
4343 echo "$$d$$p"; \
4344 done | $(am__base_list) | \
4345 while read files; do \
4346 echo " $(INSTALL_HEADER) $$files '$(DESTDIR)$(fincludedir)'"; \
4347 $(INSTALL_HEADER) $$files "$(DESTDIR)$(fincludedir)" || exit $$?; \
4348 done
4349
4350uninstall-nodist_fincludeHEADERS:
4351 @$(NORMAL_UNINSTALL)
4352 @list='$(nodist_finclude_HEADERS)'; test -n "$(fincludedir)" || list=; \
4353 files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
4354 dir='$(DESTDIR)$(fincludedir)'; $(am__uninstall_files_from_dir)
6de9cd9a 4355
22e05272
JM
4356ID: $(am__tagged_files)
4357 $(am__define_uniq_tagged_files); mkid -fID $$unique
4358tags: tags-am
4359TAGS: tags
4360
4361tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
5213506e 4362 set x; \
6de9cd9a 4363 here=`pwd`; \
22e05272 4364 $(am__define_uniq_tagged_files); \
5213506e
RW
4365 shift; \
4366 if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
d49ec2d1 4367 test -n "$$unique" || unique=$$empty_fix; \
5213506e
RW
4368 if test $$# -gt 0; then \
4369 $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
4370 "$$@" $$unique; \
4371 else \
4372 $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
4373 $$unique; \
4374 fi; \
d49ec2d1 4375 fi
22e05272
JM
4376ctags: ctags-am
4377
4378CTAGS: ctags
4379ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
4380 $(am__define_uniq_tagged_files); \
5213506e 4381 test -z "$(CTAGS_ARGS)$$unique" \
6de9cd9a 4382 || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
5213506e 4383 $$unique
6de9cd9a
DN
4384
4385GTAGS:
4386 here=`$(am__cd) $(top_builddir) && pwd` \
5213506e
RW
4387 && $(am__cd) $(top_srcdir) \
4388 && gtags -i $(GTAGS_ARGS) "$$here"
22e05272
JM
4389cscope: cscope.files
4390 test ! -s cscope.files \
4391 || $(CSCOPE) -b -q $(AM_CSCOPEFLAGS) $(CSCOPEFLAGS) -i cscope.files $(CSCOPE_ARGS)
4392clean-cscope:
4393 -rm -f cscope.files
4394cscope.files: clean-cscope cscopelist
4395cscopelist: cscopelist-am
4396
4397cscopelist-am: $(am__tagged_files)
4398 list='$(am__tagged_files)'; \
4399 case "$(srcdir)" in \
4400 [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \
4401 *) sdir=$(subdir)/$(srcdir) ;; \
4402 esac; \
4403 for i in $$list; do \
4404 if test -f "$$i"; then \
4405 echo "$(subdir)/$$i"; \
4406 else \
4407 echo "$$sdir/$$i"; \
4408 fi; \
4409 done >> $(top_builddir)/cscope.files
6de9cd9a
DN
4410
4411distclean-tags:
4412 -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
22e05272 4413 -rm -f cscope.out cscope.in.out cscope.po.out cscope.files
6de9cd9a
DN
4414check-am: all-am
4415check: $(BUILT_SOURCES)
4416 $(MAKE) $(AM_MAKEFLAGS) check-am
22e05272 4417all-am: Makefile $(LTLIBRARIES) $(DATA) $(HEADERS) config.h all-local
6de9cd9a 4418installdirs:
bbf18dc5 4419 for dir in "$(DESTDIR)$(cafexeclibdir)" "$(DESTDIR)$(toolexeclibdir)" "$(DESTDIR)$(toolexeclibdir)" "$(DESTDIR)$(gfor_cdir)" "$(DESTDIR)$(fincludedir)"; do \
5213506e 4420 test -z "$$dir" || $(MKDIR_P) "$$dir"; \
c9e66eda 4421 done
6de9cd9a
DN
4422install: $(BUILT_SOURCES)
4423 $(MAKE) $(AM_MAKEFLAGS) install-am
4424install-exec: install-exec-am
4425install-data: install-data-am
4426uninstall: uninstall-am
4427
4428install-am: all-am
4429 @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
4430
4431installcheck: installcheck-am
4432install-strip:
c20fdb91
JB
4433 if test -z '$(STRIP)'; then \
4434 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
4435 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
4436 install; \
4437 else \
4438 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
4439 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
4440 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
4441 fi
6de9cd9a
DN
4442mostlyclean-generic:
4443
4444clean-generic:
4445
4446distclean-generic:
d49ec2d1 4447 -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
5213506e 4448 -test . = "$(srcdir)" || test -z "$(CONFIG_CLEAN_VPATH_FILES)" || rm -f $(CONFIG_CLEAN_VPATH_FILES)
affd24bf
FXC
4449 -rm -f caf/$(DEPDIR)/$(am__dirstamp)
4450 -rm -f caf/$(am__dirstamp)
4451 -rm -f generated/$(DEPDIR)/$(am__dirstamp)
4452 -rm -f generated/$(am__dirstamp)
4453 -rm -f ieee/$(DEPDIR)/$(am__dirstamp)
4454 -rm -f ieee/$(am__dirstamp)
4455 -rm -f intrinsics/$(DEPDIR)/$(am__dirstamp)
4456 -rm -f intrinsics/$(am__dirstamp)
4457 -rm -f io/$(DEPDIR)/$(am__dirstamp)
4458 -rm -f io/$(am__dirstamp)
4459 -rm -f runtime/$(DEPDIR)/$(am__dirstamp)
4460 -rm -f runtime/$(am__dirstamp)
6de9cd9a
DN
4461
4462maintainer-clean-generic:
4463 @echo "This command is intended for maintainers to use"
4464 @echo "it deletes files that may require special tools to rebuild."
4465 -test -z "$(BUILT_SOURCES)" || rm -f $(BUILT_SOURCES)
22e05272 4466clean: clean-am
6de9cd9a 4467
7e3d293f 4468clean-am: clean-cafexeclibLTLIBRARIES clean-generic clean-libtool \
22e05272 4469 clean-local clean-toolexeclibLTLIBRARIES mostlyclean-am
6de9cd9a 4470
22e05272 4471distclean: distclean-am
6de9cd9a 4472 -rm -f $(am__CONFIG_DISTCLEAN_FILES)
affd24bf 4473 -rm -rf caf/$(DEPDIR) generated/$(DEPDIR) ieee/$(DEPDIR) intrinsics/$(DEPDIR) io/$(DEPDIR) runtime/$(DEPDIR)
6de9cd9a
DN
4474 -rm -f Makefile
4475distclean-am: clean-am distclean-compile distclean-generic \
22e05272 4476 distclean-hdr distclean-libtool distclean-local distclean-tags
6de9cd9a
DN
4477
4478dvi: dvi-am
4479
4480dvi-am:
4481
4482html: html-am
4483
5213506e
RW
4484html-am:
4485
6de9cd9a
DN
4486info: info-am
4487
4488info-am:
4489
bbf18dc5 4490install-data-am: install-gfor_cHEADERS install-nodist_fincludeHEADERS
6de9cd9a 4491
5213506e
RW
4492install-dvi: install-dvi-am
4493
4494install-dvi-am:
4495
22e05272 4496install-exec-am: install-cafexeclibLTLIBRARIES install-exec-local \
43cbef95 4497 install-toolexeclibDATA install-toolexeclibLTLIBRARIES
6de9cd9a 4498
70fa0efa
RW
4499install-html: install-html-am
4500
5213506e
RW
4501install-html-am:
4502
6de9cd9a
DN
4503install-info: install-info-am
4504
5213506e
RW
4505install-info-am:
4506
6de9cd9a
DN
4507install-man:
4508
70fa0efa
RW
4509install-pdf: install-pdf-am
4510
5213506e
RW
4511install-pdf-am:
4512
4513install-ps: install-ps-am
4514
4515install-ps-am:
4516
6de9cd9a
DN
4517installcheck-am:
4518
22e05272 4519maintainer-clean: maintainer-clean-am
6de9cd9a
DN
4520 -rm -f $(am__CONFIG_DISTCLEAN_FILES)
4521 -rm -rf $(top_srcdir)/autom4te.cache
affd24bf 4522 -rm -rf caf/$(DEPDIR) generated/$(DEPDIR) ieee/$(DEPDIR) intrinsics/$(DEPDIR) io/$(DEPDIR) runtime/$(DEPDIR)
6de9cd9a 4523 -rm -f Makefile
22e05272
JM
4524maintainer-clean-am: distclean-am maintainer-clean-generic \
4525 maintainer-clean-local
6de9cd9a 4526
22e05272 4527mostlyclean: mostlyclean-am
6de9cd9a
DN
4528
4529mostlyclean-am: mostlyclean-compile mostlyclean-generic \
22e05272 4530 mostlyclean-libtool mostlyclean-local
6de9cd9a
DN
4531
4532pdf: pdf-am
4533
4534pdf-am:
4535
4536ps: ps-am
4537
4538ps-am:
4539
bbf18dc5 4540uninstall-am: uninstall-cafexeclibLTLIBRARIES uninstall-gfor_cHEADERS \
8b198102 4541 uninstall-nodist_fincludeHEADERS uninstall-toolexeclibDATA \
f3ed85f6 4542 uninstall-toolexeclibLTLIBRARIES
96b8a615 4543
22e05272 4544.MAKE: all check install install-am install-strip
5213506e 4545
22e05272
JM
4546.PHONY: CTAGS GTAGS TAGS all all-am all-local am--refresh check \
4547 check-am clean clean-cafexeclibLTLIBRARIES clean-cscope \
4548 clean-generic clean-libtool clean-local \
4549 clean-toolexeclibLTLIBRARIES cscope cscopelist-am ctags \
4550 ctags-am distclean distclean-compile distclean-generic \
4551 distclean-hdr distclean-libtool distclean-local distclean-tags \
4552 dvi dvi-am html html-am info info-am install install-am \
43cbef95
FXC
4553 install-cafexeclibLTLIBRARIES install-data install-data-am \
4554 install-dvi install-dvi-am install-exec install-exec-am \
bbf18dc5
PT
4555 install-exec-local install-gfor_cHEADERS install-html \
4556 install-html-am install-info install-info-am install-man \
4557 install-nodist_fincludeHEADERS install-pdf install-pdf-am \
4558 install-ps install-ps-am install-strip install-toolexeclibDATA \
96b8a615
AJ
4559 install-toolexeclibLTLIBRARIES installcheck installcheck-am \
4560 installdirs maintainer-clean maintainer-clean-generic \
22e05272
JM
4561 maintainer-clean-local mostlyclean mostlyclean-compile \
4562 mostlyclean-generic mostlyclean-libtool mostlyclean-local pdf \
4563 pdf-am ps ps-am tags tags-am uninstall uninstall-am \
bbf18dc5 4564 uninstall-cafexeclibLTLIBRARIES uninstall-gfor_cHEADERS \
8b198102
FXC
4565 uninstall-nodist_fincludeHEADERS uninstall-toolexeclibDATA \
4566 uninstall-toolexeclibLTLIBRARIES
6de9cd9a 4567
22e05272
JM
4568.PRECIOUS: Makefile
4569
07c60b8e
JJ
4570
4571@LIBGFOR_USE_SYMVER_TRUE@gfortran.ver: $(srcdir)/gfortran.map kinds.inc
4572@LIBGFOR_USE_SYMVER_TRUE@ $(EGREP) -v '#(#| |$$)' $< | \
4573@LIBGFOR_USE_SYMVER_TRUE@ $(PREPROCESS) -P -include config.h -include kinds.inc - > $@ || (rm -f $@ ; exit 1)
70ba2830 4574@LIBGFOR_USE_SYMVER_SUN_TRUE@@LIBGFOR_USE_SYMVER_TRUE@gfortran.ver-sun : gfortran.ver \
49ea7a0e
RO
4575@LIBGFOR_USE_SYMVER_SUN_TRUE@@LIBGFOR_USE_SYMVER_TRUE@ $(top_srcdir)/../contrib/make_sunver.pl \
4576@LIBGFOR_USE_SYMVER_SUN_TRUE@@LIBGFOR_USE_SYMVER_TRUE@ $(libgfortran_la_OBJECTS) $(libgfortran_la_LIBADD)
4577@LIBGFOR_USE_SYMVER_SUN_TRUE@@LIBGFOR_USE_SYMVER_TRUE@ perl $(top_srcdir)/../contrib/make_sunver.pl \
07c60b8e 4578@LIBGFOR_USE_SYMVER_SUN_TRUE@@LIBGFOR_USE_SYMVER_TRUE@ gfortran.ver \
35b05a02 4579@LIBGFOR_USE_SYMVER_SUN_TRUE@@LIBGFOR_USE_SYMVER_TRUE@ $(libgfortran_la_OBJECTS) $(libgfortran_la_LIBADD) \
49ea7a0e 4580@LIBGFOR_USE_SYMVER_SUN_TRUE@@LIBGFOR_USE_SYMVER_TRUE@ > $@ || (rm -f $@ ; exit 1)
6de9cd9a 4581
74f04a6a 4582# Turn on vectorization and loop unrolling for matmul.
affd24bf 4583$(patsubst %.c,%.lo,$(i_matmul_c)): AM_CFLAGS += -ffast-math -ftree-vectorize -funroll-loops --param max-unroll-times=4
1d5cf7fc
TK
4584
4585# Turn on AVX128 for AMD-specific matmul, but only if the compiler understands -mprefer-avx128
affd24bf 4586@HAVE_AVX128_TRUE@$(patsubst %.c,%.lo,$(i_matmulavx128_c)): AM_CFLAGS += -ffast-math -ftree-vectorize -funroll-loops --param max-unroll-times=4 -mprefer-avx128
74f04a6a 4587# Logical matmul doesn't vectorize.
affd24bf 4588$(patsubst %.c,%.lo,$(i_matmull_c)): AM_CFLAGS += -funroll-loops
fd9b5249 4589
e6472bce 4590# Add the -fallow-leading-underscore option when needed
affd24bf
FXC
4591$(patsubst %.F90,%.lo,$(patsubst %.f90,%.lo,$(gfor_specific_src))): AM_FCFLAGS += -fallow-leading-underscore -fbuilding-libgfortran
4592intrinsics/selected_real_kind.lo intrinsics/selected_int_kind.lo: AM_FCFLAGS += -fallow-leading-underscore -fbuilding-libgfortran
8b198102 4593
49ad4d2c 4594# Build *_r17.F90 and *_c17.F90 with additional -mabi=ieeelongdouble on powerpc64le-linux.
a8fea8cd 4595
affd24bf
FXC
4596@HAVE_REAL_17_TRUE@$(patsubst %_r16.F90,%_r16.lo,$(gfor_specific_src)): AM_FCFLAGS += -mabi=ibmlongdouble
4597@HAVE_REAL_17_TRUE@$(patsubst %_c16.F90,%_c16.lo,$(gfor_specific_src)): AM_FCFLAGS += -mabi=ibmlongdouble
4598@HAVE_REAL_17_TRUE@$(patsubst %_r17.F90,%_r17.lo,$(gfor_specific_src)): AM_FCFLAGS += -mabi=ieeelongdouble -D__powerpc64__
4599@HAVE_REAL_17_TRUE@$(patsubst %_c17.F90,%_c17.lo,$(gfor_specific_src)): AM_FCFLAGS += -mabi=ieeelongdouble -D__powerpc64__
4600@HAVE_REAL_17_TRUE@$(patsubst %_r16.c,%_r16.lo,$(gfor_built_src)): AM_CFLAGS += -mabi=ibmlongdouble
4601@HAVE_REAL_17_TRUE@$(patsubst %_c16.c,%_c16.lo,$(gfor_built_src)): AM_CFLAGS += -mabi=ibmlongdouble
4602@HAVE_REAL_17_TRUE@$(patsubst %_r17.c,%_r17.lo,$(gfor_built_src)): AM_CFLAGS += -mabi=ieeelongdouble
4603@HAVE_REAL_17_TRUE@$(patsubst %_c17.c,%_c17.lo,$(gfor_built_src)): AM_CFLAGS += -mabi=ieeelongdouble
49ad4d2c 4604
8b198102 4605# Add flags for IEEE modules
affd24bf 4606@IEEE_SUPPORT_TRUE@$(patsubst %.F90,%.lo,$(gfor_ieee_src)): AM_FCFLAGS += -Wno-unused-dummy-argument -Wno-c-binding-type -ffree-line-length-0 -fallow-leading-underscore -fsignaling-nans -fbuilding-libgfortran
49295426
FXC
4607
4608# Add flags for IEEE helper code
affd24bf 4609@IEEE_SUPPORT_TRUE@$(patsubst %.c,%.lo,$(gfor_ieee_helper_src)): AM_CFLAGS += -fsignaling-nans
8b198102
FXC
4610
4611# Dependencies between IEEE_ARITHMETIC and IEEE_EXCEPTIONS
affd24bf 4612ieee/ieee_arithmetic.lo: ieee/ieee_arithmetic.F90 ieee/ieee_exceptions.lo
8b198102
FXC
4613 $(LTPPFCCOMPILE) -c -o $@ $<
4614
affd24bf 4615ieee_features.mod: ieee/ieee_features.lo
8b198102 4616 :
affd24bf 4617ieee_exceptions.mod: ieee/ieee_exceptions.lo
8b198102 4618 :
affd24bf 4619ieee_arithmetic.mod: ieee/ieee_arithmetic.lo
8b198102 4620 :
e6472bce 4621
32aa3bff 4622kinds.h: $(srcdir)/mk-kinds-h.sh
133d0d42
JJ
4623 $(SHELL) $(srcdir)/mk-kinds-h.sh '@LIBGOMP_CHECKED_INT_KINDS@' \
4624 '@LIBGOMP_CHECKED_REAL_KINDS@' \
4625 '$(FCCOMPILE)' '@USE_IEC_60559@' > $@ || rm $@
32aa3bff 4626
a8fea8cd
TK
4627kinds.inc: kinds.h $(srcdir)/kinds-override.h
4628 cat kinds.h $(srcdir)/kinds-override.h | grep '^#' | grep -v include > $@
644cb69f
FXC
4629
4630c99_protos.inc: $(srcdir)/c99_protos.h
4631 grep '^#' < $(srcdir)/c99_protos.h > $@
4632
625be286 4633selected_int_kind.inc: $(srcdir)/mk-sik-inc.sh
2e764ae1 4634 $(SHELL) $(srcdir)/mk-sik-inc.sh '@LIBGOMP_CHECKED_INT_KINDS@' '$(FCCOMPILE)' > $@ || rm $@
625be286
RH
4635
4636selected_real_kind.inc: $(srcdir)/mk-srk-inc.sh
2e764ae1 4637 $(SHELL) $(srcdir)/mk-srk-inc.sh '@LIBGOMP_CHECKED_REAL_KINDS@' '$(FCCOMPILE)' > $@ || rm $@
625be286 4638
944b8b35
FXC
4639fpu-target.h: $(srcdir)/$(FPU_HOST_HEADER)
4640 cp $(srcdir)/$(FPU_HOST_HEADER) $@
4641
8b198102
FXC
4642fpu-target.inc: fpu-target.h $(srcdir)/libgfortran.h
4643 grep '^#define GFC_FPE_' < $(top_srcdir)/../gcc/fortran/libgfortran.h > $@ || true
4644 grep '^#define GFC_FPE_' < $(srcdir)/libgfortran.h >> $@ || true
4645
fc4f0631 4646# Place ISO_Fortran_binding.h under include/ in the build directory such
2ba0376a
TB
4647# that it can be used for in-built-tree testsuite runs without interference of
4648# other files in the build dir - like intrinsic .mod files or other .h files.
fc4f0631 4649include/ISO_Fortran_binding.h: $(srcdir)/ISO_Fortran_binding.h
fef67987 4650 -rm -f $@
2ba0376a 4651 $(MKDIR_P) include
fc4f0631 4652 cp $(srcdir)/ISO_Fortran_binding.h $@
fef67987 4653
90469382 4654@MAINTAINER_MODE_TRUE@$(i_all_c): m4/all.m4 $(I_M4_DEPS2)
7e10187c 4655@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 all.m4 > $@
6de9cd9a 4656
47b99694
TB
4657@MAINTAINER_MODE_TRUE@$(i_bessel_c): m4/bessel.m4 $(I_M4_DEPS)
4658@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 bessel.m4 > $@
4659
90469382 4660@MAINTAINER_MODE_TRUE@$(i_any_c): m4/any.m4 $(I_M4_DEPS2)
7e10187c 4661@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 any.m4 > $@
6de9cd9a 4662
90469382 4663@MAINTAINER_MODE_TRUE@$(i_count_c): m4/count.m4 $(I_M4_DEPS2)
7e10187c 4664@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 count.m4 > $@
6de9cd9a 4665
01ce9e31
TK
4666@MAINTAINER_MODE_TRUE@$(i_findloc0_c): m4/findloc0.m4 $(I_M4_DEPS7)
4667@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 findloc0.m4 > $@
4668
4669@MAINTAINER_MODE_TRUE@$(i_findloc0s_c): m4/findloc0s.m4 $(I_M4_DEPS7)
4670@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 findloc0s.m4 > $@
4671
4672@MAINTAINER_MODE_TRUE@$(i_findloc1_c): m4/findloc1.m4 $(I_M4_DEPS8)
4673@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 findloc1.m4 > $@
4674
4675@MAINTAINER_MODE_TRUE@$(i_findloc1s_c): m4/findloc1s.m4 $(I_M4_DEPS8)
4676@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 findloc1s.m4 > $@
4677
4678@MAINTAINER_MODE_TRUE@$(i_findloc2s_c): m4/findloc2s.m4 $(I_M4_DEPS9)
4679@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 findloc2s.m4 > $@
4680
1a0fd3d3 4681@MAINTAINER_MODE_TRUE@$(i_iall_c): m4/iall.m4 $(I_M4_DEPS1)
195a95c4
TB
4682@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 iall.m4 > $@
4683
1a0fd3d3 4684@MAINTAINER_MODE_TRUE@$(i_iany_c): m4/iany.m4 $(I_M4_DEPS1)
195a95c4
TB
4685@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 iany.m4 > $@
4686
1a0fd3d3 4687@MAINTAINER_MODE_TRUE@$(i_iparity_c): m4/iparity.m4 $(I_M4_DEPS1)
195a95c4
TB
4688@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 iparity.m4 > $@
4689
6de9cd9a 4690@MAINTAINER_MODE_TRUE@$(i_maxloc0_c): m4/maxloc0.m4 $(I_M4_DEPS0)
7e10187c 4691@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 maxloc0.m4 > $@
6de9cd9a 4692
ddc9995b
TK
4693@MAINTAINER_MODE_TRUE@$(i_maxloc0s_c) : m4/maxloc0s.m4 $(I_M4_DEPS3)
4694@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 maxloc0s.m4 > $@
4695
6de9cd9a 4696@MAINTAINER_MODE_TRUE@$(i_maxloc1_c): m4/maxloc1.m4 $(I_M4_DEPS1)
7e10187c 4697@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 maxloc1.m4 > $@
6de9cd9a 4698
ddc9995b
TK
4699@MAINTAINER_MODE_TRUE@$(i_maxloc1s_c): m4/maxloc1s.m4 $(I_M4_DEPS4)
4700@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 maxloc1s.m4 > $@
4701
4702@MAINTAINER_MODE_TRUE@$(i_maxloc2s_c): m4/maxloc2s.m4 $(I_M4_DEPS)
4703@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 maxloc2s.m4 > $@
4704
6de9cd9a 4705@MAINTAINER_MODE_TRUE@$(i_maxval_c): m4/maxval.m4 $(I_M4_DEPS1)
7e10187c 4706@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 maxval.m4 > $@
6de9cd9a 4707
0ac74254
TK
4708@MAINTAINER_MODE_TRUE@$(i_maxval0s_c): m4/maxval0s.m4 $(I_M4_DEPS5)
4709@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 maxval0s.m4 > $@
4710
4711@MAINTAINER_MODE_TRUE@$(i_maxval1s_c): m4/maxval1s.m4 $(I_M4_DEPS6)
4712@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 maxval1s.m4 > $@
4713
6de9cd9a 4714@MAINTAINER_MODE_TRUE@$(i_minloc0_c): m4/minloc0.m4 $(I_M4_DEPS0)
7e10187c 4715@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 minloc0.m4 > $@
6de9cd9a 4716
ddc9995b
TK
4717@MAINTAINER_MODE_TRUE@$(i_minloc0s_c) : m4/minloc0s.m4 $(I_M4_DEPS3)
4718@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 minloc0s.m4 > $@
4719
6de9cd9a 4720@MAINTAINER_MODE_TRUE@$(i_minloc1_c): m4/minloc1.m4 $(I_M4_DEPS1)
7e10187c 4721@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 minloc1.m4 > $@
6de9cd9a 4722
ddc9995b
TK
4723@MAINTAINER_MODE_TRUE@$(i_minloc1s_c): m4/minloc1s.m4 $(I_M4_DEPS4)
4724@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 minloc1s.m4 > $@
4725
4726@MAINTAINER_MODE_TRUE@$(i_minloc2s_c): m4/minloc2s.m4 $(I_M4_DEPS)
4727@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 minloc2s.m4 > $@
4728
6de9cd9a 4729@MAINTAINER_MODE_TRUE@$(i_minval_c): m4/minval.m4 $(I_M4_DEPS1)
7e10187c 4730@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 minval.m4 > $@
6de9cd9a 4731
0ac74254
TK
4732@MAINTAINER_MODE_TRUE@$(i_minval0s_c): m4/minval0s.m4 $(I_M4_DEPS5)
4733@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 minval0s.m4 > $@
4734
4735@MAINTAINER_MODE_TRUE@$(i_minval1s_c): m4/minval1s.m4 $(I_M4_DEPS6)
4736@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 minval1s.m4 > $@
4737
6de9cd9a 4738@MAINTAINER_MODE_TRUE@$(i_product_c): m4/product.m4 $(I_M4_DEPS1)
7e10187c 4739@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 product.m4 > $@
6de9cd9a
DN
4740
4741@MAINTAINER_MODE_TRUE@$(i_sum_c): m4/sum.m4 $(I_M4_DEPS1)
7e10187c 4742@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 sum.m4 > $@
6de9cd9a 4743
31cfd832 4744@MAINTAINER_MODE_TRUE@$(i_matmul_c): m4/matmul.m4 m4/matmul_internal.m4 $(I_M4_DEPS)
7e10187c 4745@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 matmul.m4 > $@
6de9cd9a 4746
1d5cf7fc
TK
4747@MAINTAINER_MODE_TRUE@$(i_matmulavx128_c): m4/matmulavx128.m4 m4/matmul_internal.m4 $(I_M4_DEPS)
4748@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 matmulavx128.m4 > $@
4749
6de9cd9a 4750@MAINTAINER_MODE_TRUE@$(i_matmull_c): m4/matmull.m4 $(I_M4_DEPS)
7e10187c 4751@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 matmull.m4 > $@
6de9cd9a 4752
1a0fd3d3 4753@MAINTAINER_MODE_TRUE@$(i_norm2_c): m4/norm2.m4 $(I_M4_DEPS1)
0cd0559e
TB
4754@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 norm2.m4 > $@
4755
1a0fd3d3 4756@MAINTAINER_MODE_TRUE@$(i_parity_c): m4/parity.m4 $(I_M4_DEPS1)
0cd0559e
TB
4757@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 parity.m4 > $@
4758
6de9cd9a 4759@MAINTAINER_MODE_TRUE@$(i_shape_c): m4/shape.m4 $(I_M4_DEPS)
7e10187c 4760@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 shape.m4 > $@
6de9cd9a
DN
4761
4762@MAINTAINER_MODE_TRUE@$(i_reshape_c): m4/reshape.m4 $(I_M4_DEPS)
7e10187c 4763@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 reshape.m4 > $@
6de9cd9a
DN
4764
4765@MAINTAINER_MODE_TRUE@$(i_eoshift1_c): m4/eoshift1.m4 $(I_M4_DEPS)
7e10187c 4766@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 eoshift1.m4 > $@
6de9cd9a
DN
4767
4768@MAINTAINER_MODE_TRUE@$(i_eoshift3_c): m4/eoshift3.m4 $(I_M4_DEPS)
7e10187c 4769@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 eoshift3.m4 > $@
6de9cd9a 4770
c2b00cdc
TK
4771@MAINTAINER_MODE_TRUE@$(i_cshift0_c): m4/cshift0.m4 $(I_M4_DEPS)
4772@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 cshift0.m4 > $@
4773
6de9cd9a 4774@MAINTAINER_MODE_TRUE@$(i_cshift1_c): m4/cshift1.m4 $(I_M4_DEPS)
7e10187c 4775@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 cshift1.m4 > $@
6de9cd9a 4776
e56e3fda
TK
4777@MAINTAINER_MODE_TRUE@$(i_cshift1a_c): m4/cshift1a.m4 $(I_M$_DEPS)
4778@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 cshift1a.m4 > $@
4779
6de9cd9a 4780@MAINTAINER_MODE_TRUE@$(in_pack_c): m4/in_pack.m4 $(I_M4_DEPS)
7e10187c 4781@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 in_pack.m4 > $@
6de9cd9a
DN
4782
4783@MAINTAINER_MODE_TRUE@$(in_unpack_c): m4/in_unpack.m4 $(I_M4_DEPS)
7e10187c 4784@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 in_unpack.m4 > $@
6de9cd9a 4785
5b200ac2 4786@MAINTAINER_MODE_TRUE@$(i_pow_c): m4/pow.m4 $(I_M4_DEPS)
7e10187c 4787@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 pow.m4 > $@
5b200ac2 4788
3ef2513a
TK
4789@MAINTAINER_MODE_TRUE@$(i_pack_c): m4/pack.m4 $(I_M4_DEPS)
4790@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 pack.m4 > $@
4791
3478bba4
TK
4792@MAINTAINER_MODE_TRUE@$(i_unpack_c): m4/unpack.m4 $(I_M4_DEPS)
4793@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 unpack.m4 > $@
4794
75f2543f
TK
4795@MAINTAINER_MODE_TRUE@$(i_spread_c): m4/spread.m4 $(I_M4_DEPS)
4796@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 spread.m4 > $@
4797
6de9cd9a 4798@MAINTAINER_MODE_TRUE@$(gfor_built_specific_src): m4/specific.m4 m4/head.m4
567c915b 4799@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 specific.m4 > $@
6de9cd9a
DN
4800
4801@MAINTAINER_MODE_TRUE@$(gfor_built_specific2_src): m4/specific2.m4 m4/head.m4
567c915b 4802@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 specific2.m4 > $@
0e7e7e6e
FXC
4803
4804@MAINTAINER_MODE_TRUE@$(gfor_misc_specifics): m4/misc_specifics.m4 m4/head.m4
567c915b 4805@MAINTAINER_MODE_TRUE@ $(M4) -Dfile=$@ -I$(srcdir)/m4 misc_specifics.m4 > $@
5213506e 4806
2ba0376a 4807clean-local:
ac6a1181 4808 -rm -rf include $(version_dep)
2ba0376a 4809
47ddb895 4810# target overrides
0164e598 4811-include $(tmake_file)
47ddb895 4812
22e05272
JM
4813# GNU Make needs to see an explicit $(MAKE) variable in the command it
4814# runs to enable its job server during parallel builds. Hence the
4815# comments below.
4816all-multi:
4817 $(MULTIDO) $(AM_MAKEFLAGS) DO=all multi-do # $(MAKE)
4818install-multi:
4819 $(MULTIDO) $(AM_MAKEFLAGS) DO=install multi-do # $(MAKE)
4820mostlyclean-multi:
4821 $(MULTICLEAN) $(AM_MAKEFLAGS) DO=mostlyclean multi-clean # $(MAKE)
4822clean-multi:
4823 $(MULTICLEAN) $(AM_MAKEFLAGS) DO=clean multi-clean # $(MAKE)
4824distclean-multi:
4825 $(MULTICLEAN) $(AM_MAKEFLAGS) DO=distclean multi-clean # $(MAKE)
4826maintainer-clean-multi:
4827 $(MULTICLEAN) $(AM_MAKEFLAGS) DO=maintainer-clean multi-clean # $(MAKE)
4828
4829.MAKE .PHONY: all-multi clean-multi distclean-multi install-am \
4830 install-multi maintainer-clean-multi mostlyclean-multi
4831
4832install-exec-local: install-multi
4833
4834all-local: all-multi
4835mostlyclean-local: mostlyclean-multi
4836clean-local: clean-multi
4837distclean-local: distclean-multi
4838maintainer-clean-local: maintainer-clean-multi
4839
6de9cd9a
DN
4840# Tell versions [3.59,3.63) of GNU make to not export all variables.
4841# Otherwise a system limit (for SysV at least) may be exceeded.
4842.NOEXPORT: