]> git.ipfire.org Git - people/ms/u-boot.git/blame - common/cmd_mem.c
Add GPL-2.0+ SPDX-License-Identifier to source files
[people/ms/u-boot.git] / common / cmd_mem.c
CommitLineData
3863585b
WD
1/*
2 * (C) Copyright 2000
3 * Wolfgang Denk, DENX Software Engineering, wd@denx.de.
4 *
1a459660 5 * SPDX-License-Identifier: GPL-2.0+
3863585b
WD
6 */
7
8/*
9 * Memory Functions
10 *
11 * Copied from FADS ROM, Dan Malek (dmalek@jlc.net)
12 */
13
14#include <common.h>
15#include <command.h>
2abbe075
WD
16#ifdef CONFIG_HAS_DATAFLASH
17#include <dataflash.h>
18#endif
d20a40de 19#include <hash.h>
a6e6fc61 20#include <watchdog.h>
0628ab8e 21#include <asm/io.h>
15a33e49
SG
22#include <linux/compiler.h>
23
24DECLARE_GLOBAL_DATA_PTR;
3863585b 25
8e169cc9
SG
26#ifndef CONFIG_SYS_MEMTEST_SCRATCH
27#define CONFIG_SYS_MEMTEST_SCRATCH 0
28#endif
29
54841ab5 30static int mod_mem(cmd_tbl_t *, int, int, int, char * const []);
3863585b
WD
31
32/* Display values from last command.
33 * Memory modify remembered values are different from display memory.
34 */
d6efe244
MF
35static uint dp_last_addr, dp_last_size;
36static uint dp_last_length = 0x40;
37static uint mm_last_addr, mm_last_size;
3863585b
WD
38
39static ulong base_address = 0;
40
41/* Memory Display
42 *
43 * Syntax:
44 * md{.b, .w, .l} {addr} {len}
45 */
46#define DISP_LINE_LEN 16
088f1b19 47static int do_mem_md(cmd_tbl_t *cmdtp, int flag, int argc, char * const argv[])
3863585b 48{
27b207fd 49 ulong addr, length;
c95c4280
GL
50#if defined(CONFIG_HAS_DATAFLASH)
51 ulong nbytes, linebytes;
52#endif
27b207fd 53 int size;
3863585b
WD
54 int rc = 0;
55
56 /* We use the last specified parameters, unless new ones are
57 * entered.
58 */
59 addr = dp_last_addr;
60 size = dp_last_size;
61 length = dp_last_length;
62
47e26b1b 63 if (argc < 2)
4c12eeb8 64 return CMD_RET_USAGE;
3863585b
WD
65
66 if ((flag & CMD_FLAG_REPEAT) == 0) {
67 /* New command specified. Check for a size specification.
68 * Defaults to long if no or incorrect specification.
69 */
27b207fd
WD
70 if ((size = cmd_get_data_size(argv[0], 4)) < 0)
71 return 1;
3863585b
WD
72
73 /* Address is specified since argc > 1
74 */
75 addr = simple_strtoul(argv[1], NULL, 16);
76 addr += base_address;
77
78 /* If another parameter, it is the length to display.
79 * Length is the number of objects, not number of bytes.
80 */
81 if (argc > 2)
82 length = simple_strtoul(argv[2], NULL, 16);
83 }
84
c95c4280 85#if defined(CONFIG_HAS_DATAFLASH)
3863585b
WD
86 /* Print the lines.
87 *
88 * We buffer all read data, so we can make sure data is read only
89 * once, and all accesses are with the specified bus width.
90 */
91 nbytes = length * size;
92 do {
93 char linebuf[DISP_LINE_LEN];
c95c4280 94 void* p;
3863585b 95 linebytes = (nbytes>DISP_LINE_LEN)?DISP_LINE_LEN:nbytes;
2abbe075 96
c95c4280
GL
97 rc = read_dataflash(addr, (linebytes/size)*size, linebuf);
98 p = (rc == DATAFLASH_OK) ? linebuf : (void*)addr;
99 print_buffer(addr, p, size, linebytes/size, DISP_LINE_LEN/size);
100
3863585b 101 nbytes -= linebytes;
c95c4280 102 addr += linebytes;
3863585b
WD
103 if (ctrlc()) {
104 rc = 1;
105 break;
106 }
107 } while (nbytes > 0);
c95c4280 108#else
4c727c77
MF
109
110# if defined(CONFIG_BLACKFIN)
111 /* See if we're trying to display L1 inst */
112 if (addr_bfin_on_chip_mem(addr)) {
113 char linebuf[DISP_LINE_LEN];
114 ulong linebytes, nbytes = length * size;
115 do {
116 linebytes = (nbytes > DISP_LINE_LEN) ? DISP_LINE_LEN : nbytes;
117 memcpy(linebuf, (void *)addr, linebytes);
118 print_buffer(addr, linebuf, size, linebytes/size, DISP_LINE_LEN/size);
119
120 nbytes -= linebytes;
121 addr += linebytes;
122 if (ctrlc()) {
123 rc = 1;
124 break;
125 }
126 } while (nbytes > 0);
127 } else
128# endif
129
130 {
0628ab8e
SG
131 ulong bytes = size * length;
132 const void *buf = map_sysmem(addr, bytes);
133
4c727c77 134 /* Print the lines. */
0628ab8e
SG
135 print_buffer(addr, buf, size, length, DISP_LINE_LEN / size);
136 addr += bytes;
137 unmap_sysmem(buf);
4c727c77 138 }
c95c4280 139#endif
3863585b
WD
140
141 dp_last_addr = addr;
142 dp_last_length = length;
143 dp_last_size = size;
144 return (rc);
145}
146
088f1b19 147static int do_mem_mm(cmd_tbl_t *cmdtp, int flag, int argc, char * const argv[])
3863585b
WD
148{
149 return mod_mem (cmdtp, 1, flag, argc, argv);
150}
088f1b19 151static int do_mem_nm(cmd_tbl_t *cmdtp, int flag, int argc, char * const argv[])
3863585b
WD
152{
153 return mod_mem (cmdtp, 0, flag, argc, argv);
154}
155
088f1b19 156static int do_mem_mw(cmd_tbl_t *cmdtp, int flag, int argc, char * const argv[])
3863585b 157{
27b207fd
WD
158 ulong addr, writeval, count;
159 int size;
0628ab8e
SG
160 void *buf;
161 ulong bytes;
3863585b 162
47e26b1b 163 if ((argc < 3) || (argc > 4))
4c12eeb8 164 return CMD_RET_USAGE;
3863585b
WD
165
166 /* Check for size specification.
167 */
27b207fd
WD
168 if ((size = cmd_get_data_size(argv[0], 4)) < 1)
169 return 1;
3863585b
WD
170
171 /* Address is specified since argc > 1
172 */
173 addr = simple_strtoul(argv[1], NULL, 16);
174 addr += base_address;
175
176 /* Get the value to write.
177 */
178 writeval = simple_strtoul(argv[2], NULL, 16);
179
180 /* Count ? */
181 if (argc == 4) {
182 count = simple_strtoul(argv[3], NULL, 16);
183 } else {
184 count = 1;
185 }
186
0628ab8e
SG
187 bytes = size * count;
188 buf = map_sysmem(addr, bytes);
3863585b
WD
189 while (count-- > 0) {
190 if (size == 4)
0628ab8e 191 *((ulong *)buf) = (ulong)writeval;
3863585b 192 else if (size == 2)
0628ab8e 193 *((ushort *)buf) = (ushort)writeval;
3863585b 194 else
0628ab8e
SG
195 *((u_char *)buf) = (u_char)writeval;
196 buf += size;
3863585b 197 }
0628ab8e 198 unmap_sysmem(buf);
3863585b
WD
199 return 0;
200}
201
4aaf29b2 202#ifdef CONFIG_MX_CYCLIC
54841ab5 203int do_mem_mdc ( cmd_tbl_t *cmdtp, int flag, int argc, char * const argv[])
4aaf29b2
SR
204{
205 int i;
206 ulong count;
207
47e26b1b 208 if (argc < 4)
4c12eeb8 209 return CMD_RET_USAGE;
4aaf29b2
SR
210
211 count = simple_strtoul(argv[3], NULL, 10);
212
213 for (;;) {
214 do_mem_md (NULL, 0, 3, argv);
215
216 /* delay for <count> ms... */
217 for (i=0; i<count; i++)
218 udelay (1000);
219
220 /* check for ctrl-c to abort... */
221 if (ctrlc()) {
222 puts("Abort\n");
223 return 0;
224 }
225 }
226
227 return 0;
228}
229
54841ab5 230int do_mem_mwc ( cmd_tbl_t *cmdtp, int flag, int argc, char * const argv[])
4aaf29b2
SR
231{
232 int i;
233 ulong count;
234
47e26b1b 235 if (argc < 4)
4c12eeb8 236 return CMD_RET_USAGE;
4aaf29b2
SR
237
238 count = simple_strtoul(argv[3], NULL, 10);
239
240 for (;;) {
241 do_mem_mw (NULL, 0, 3, argv);
242
243 /* delay for <count> ms... */
244 for (i=0; i<count; i++)
245 udelay (1000);
246
247 /* check for ctrl-c to abort... */
248 if (ctrlc()) {
249 puts("Abort\n");
250 return 0;
251 }
252 }
253
254 return 0;
255}
256#endif /* CONFIG_MX_CYCLIC */
257
088f1b19 258static int do_mem_cmp(cmd_tbl_t *cmdtp, int flag, int argc, char * const argv[])
3863585b 259{
0628ab8e 260 ulong addr1, addr2, count, ngood, bytes;
27b207fd 261 int size;
3863585b 262 int rcode = 0;
054ea170 263 const char *type;
0628ab8e 264 const void *buf1, *buf2, *base;
3863585b 265
47e26b1b 266 if (argc != 4)
4c12eeb8 267 return CMD_RET_USAGE;
3863585b
WD
268
269 /* Check for size specification.
270 */
27b207fd
WD
271 if ((size = cmd_get_data_size(argv[0], 4)) < 0)
272 return 1;
054ea170 273 type = size == 4 ? "word" : size == 2 ? "halfword" : "byte";
3863585b
WD
274
275 addr1 = simple_strtoul(argv[1], NULL, 16);
276 addr1 += base_address;
277
278 addr2 = simple_strtoul(argv[2], NULL, 16);
279 addr2 += base_address;
280
281 count = simple_strtoul(argv[3], NULL, 16);
282
2abbe075
WD
283#ifdef CONFIG_HAS_DATAFLASH
284 if (addr_dataflash(addr1) | addr_dataflash(addr2)){
4b9206ed 285 puts ("Comparison with DataFlash space not supported.\n\r");
2abbe075
WD
286 return 0;
287 }
288#endif
289
4c727c77
MF
290#ifdef CONFIG_BLACKFIN
291 if (addr_bfin_on_chip_mem(addr1) || addr_bfin_on_chip_mem(addr2)) {
292 puts ("Comparison with L1 instruction memory not supported.\n\r");
293 return 0;
294 }
295#endif
296
0628ab8e
SG
297 bytes = size * count;
298 base = buf1 = map_sysmem(addr1, bytes);
299 buf2 = map_sysmem(addr2, bytes);
feb12a1f 300 for (ngood = 0; ngood < count; ++ngood) {
054ea170 301 ulong word1, word2;
3863585b 302 if (size == 4) {
0628ab8e
SG
303 word1 = *(ulong *)buf1;
304 word2 = *(ulong *)buf2;
054ea170 305 } else if (size == 2) {
0628ab8e
SG
306 word1 = *(ushort *)buf1;
307 word2 = *(ushort *)buf2;
054ea170 308 } else {
0628ab8e
SG
309 word1 = *(u_char *)buf1;
310 word2 = *(u_char *)buf2;
3863585b 311 }
054ea170 312 if (word1 != word2) {
0628ab8e
SG
313 ulong offset = buf1 - base;
314
054ea170 315 printf("%s at 0x%08lx (%#0*lx) != %s at 0x%08lx (%#0*lx)\n",
0628ab8e
SG
316 type, (ulong)(addr1 + offset), size, word1,
317 type, (ulong)(addr2 + offset), size, word2);
054ea170
MF
318 rcode = 1;
319 break;
3863585b 320 }
054ea170 321
0628ab8e
SG
322 buf1 += size;
323 buf2 += size;
eaadb44e
SR
324
325 /* reset watchdog from time to time */
feb12a1f 326 if ((ngood % (64 << 10)) == 0)
eaadb44e 327 WATCHDOG_RESET();
3863585b 328 }
0628ab8e
SG
329 unmap_sysmem(buf1);
330 unmap_sysmem(buf2);
3863585b 331
054ea170 332 printf("Total of %ld %s(s) were the same\n", ngood, type);
3863585b
WD
333 return rcode;
334}
335
088f1b19 336static int do_mem_cp(cmd_tbl_t *cmdtp, int flag, int argc, char * const argv[])
3863585b 337{
0628ab8e 338 ulong addr, dest, count, bytes;
27b207fd 339 int size;
0628ab8e
SG
340 const void *src;
341 void *buf;
3863585b 342
47e26b1b 343 if (argc != 4)
4c12eeb8 344 return CMD_RET_USAGE;
3863585b
WD
345
346 /* Check for size specification.
347 */
27b207fd
WD
348 if ((size = cmd_get_data_size(argv[0], 4)) < 0)
349 return 1;
3863585b
WD
350
351 addr = simple_strtoul(argv[1], NULL, 16);
352 addr += base_address;
353
354 dest = simple_strtoul(argv[2], NULL, 16);
355 dest += base_address;
356
357 count = simple_strtoul(argv[3], NULL, 16);
358
359 if (count == 0) {
360 puts ("Zero length ???\n");
361 return 1;
362 }
363
6d0f6bcf 364#ifndef CONFIG_SYS_NO_FLASH
3863585b 365 /* check if we are copying to Flash */
2abbe075
WD
366 if ( (addr2info(dest) != NULL)
367#ifdef CONFIG_HAS_DATAFLASH
84d0c2f1 368 && (!addr_dataflash(dest))
2abbe075
WD
369#endif
370 ) {
3863585b
WD
371 int rc;
372
4b9206ed 373 puts ("Copy to Flash... ");
3863585b 374
77ddac94 375 rc = flash_write ((char *)addr, dest, count*size);
3863585b
WD
376 if (rc != 0) {
377 flash_perror (rc);
378 return (1);
379 }
380 puts ("done\n");
381 return 0;
382 }
383#endif
384
2abbe075
WD
385#ifdef CONFIG_HAS_DATAFLASH
386 /* Check if we are copying from RAM or Flash to DataFlash */
387 if (addr_dataflash(dest) && !addr_dataflash(addr)){
388 int rc;
389
4b9206ed 390 puts ("Copy to DataFlash... ");
2abbe075
WD
391
392 rc = write_dataflash (dest, addr, count*size);
393
394 if (rc != 1) {
395 dataflash_perror (rc);
396 return (1);
397 }
398 puts ("done\n");
399 return 0;
400 }
8bde7f77 401
2abbe075 402 /* Check if we are copying from DataFlash to RAM */
880cc438 403 if (addr_dataflash(addr) && !addr_dataflash(dest)
6d0f6bcf 404#ifndef CONFIG_SYS_NO_FLASH
880cc438
SP
405 && (addr2info(dest) == NULL)
406#endif
407 ){
5779d8d9
WD
408 int rc;
409 rc = read_dataflash(addr, count * size, (char *) dest);
410 if (rc != 1) {
d4ca31c4
WD
411 dataflash_perror (rc);
412 return (1);
413 }
2abbe075
WD
414 return 0;
415 }
416
417 if (addr_dataflash(addr) && addr_dataflash(dest)){
4b9206ed 418 puts ("Unsupported combination of source/destination.\n\r");
2abbe075
WD
419 return 1;
420 }
421#endif
422
4c727c77
MF
423#ifdef CONFIG_BLACKFIN
424 /* See if we're copying to/from L1 inst */
425 if (addr_bfin_on_chip_mem(dest) || addr_bfin_on_chip_mem(addr)) {
426 memcpy((void *)dest, (void *)addr, count * size);
427 return 0;
428 }
429#endif
430
0628ab8e 431 bytes = size * count;
53237afe 432 buf = map_sysmem(dest, bytes);
0628ab8e 433 src = map_sysmem(addr, bytes);
3863585b
WD
434 while (count-- > 0) {
435 if (size == 4)
0628ab8e 436 *((ulong *)buf) = *((ulong *)src);
3863585b 437 else if (size == 2)
0628ab8e 438 *((ushort *)buf) = *((ushort *)src);
3863585b 439 else
0628ab8e
SG
440 *((u_char *)buf) = *((u_char *)src);
441 src += size;
442 buf += size;
eaadb44e
SR
443
444 /* reset watchdog from time to time */
445 if ((count % (64 << 10)) == 0)
446 WATCHDOG_RESET();
3863585b
WD
447 }
448 return 0;
449}
450
088f1b19
KP
451static int do_mem_base(cmd_tbl_t *cmdtp, int flag, int argc,
452 char * const argv[])
3863585b
WD
453{
454 if (argc > 1) {
455 /* Set new base address.
456 */
457 base_address = simple_strtoul(argv[1], NULL, 16);
458 }
459 /* Print the current base address.
460 */
461 printf("Base Address: 0x%08lx\n", base_address);
462 return 0;
463}
464
088f1b19
KP
465static int do_mem_loop(cmd_tbl_t *cmdtp, int flag, int argc,
466 char * const argv[])
3863585b 467{
0628ab8e 468 ulong addr, length, i, bytes;
27b207fd 469 int size;
3863585b
WD
470 volatile uint *longp;
471 volatile ushort *shortp;
472 volatile u_char *cp;
0628ab8e 473 const void *buf;
3863585b 474
47e26b1b 475 if (argc < 3)
4c12eeb8 476 return CMD_RET_USAGE;
3863585b 477
85de63e2
RD
478 /*
479 * Check for a size specification.
3863585b
WD
480 * Defaults to long if no or incorrect specification.
481 */
27b207fd
WD
482 if ((size = cmd_get_data_size(argv[0], 4)) < 0)
483 return 1;
3863585b
WD
484
485 /* Address is always specified.
486 */
487 addr = simple_strtoul(argv[1], NULL, 16);
488
489 /* Length is the number of objects, not number of bytes.
490 */
491 length = simple_strtoul(argv[2], NULL, 16);
492
0628ab8e
SG
493 bytes = size * length;
494 buf = map_sysmem(addr, bytes);
495
3863585b
WD
496 /* We want to optimize the loops to run as fast as possible.
497 * If we have only one object, just run infinite loops.
498 */
499 if (length == 1) {
500 if (size == 4) {
0628ab8e 501 longp = (uint *)buf;
3863585b
WD
502 for (;;)
503 i = *longp;
504 }
505 if (size == 2) {
0628ab8e 506 shortp = (ushort *)buf;
3863585b
WD
507 for (;;)
508 i = *shortp;
509 }
0628ab8e 510 cp = (u_char *)buf;
3863585b
WD
511 for (;;)
512 i = *cp;
513 }
514
515 if (size == 4) {
516 for (;;) {
0628ab8e 517 longp = (uint *)buf;
3863585b
WD
518 i = length;
519 while (i-- > 0)
f3b3c3df 520 *longp++;
3863585b
WD
521 }
522 }
523 if (size == 2) {
524 for (;;) {
0628ab8e 525 shortp = (ushort *)buf;
3863585b
WD
526 i = length;
527 while (i-- > 0)
f3b3c3df 528 *shortp++;
3863585b
WD
529 }
530 }
531 for (;;) {
0628ab8e 532 cp = (u_char *)buf;
3863585b
WD
533 i = length;
534 while (i-- > 0)
f3b3c3df 535 *cp++;
3863585b 536 }
0628ab8e 537 unmap_sysmem(buf);
92765f42
SG
538
539 return 0;
3863585b
WD
540}
541
56523f12 542#ifdef CONFIG_LOOPW
54841ab5 543int do_mem_loopw (cmd_tbl_t *cmdtp, int flag, int argc, char * const argv[])
56523f12 544{
0628ab8e 545 ulong addr, length, i, data, bytes;
56523f12
WD
546 int size;
547 volatile uint *longp;
548 volatile ushort *shortp;
549 volatile u_char *cp;
0628ab8e 550 void *buf;
81050926 551
47e26b1b 552 if (argc < 4)
4c12eeb8 553 return CMD_RET_USAGE;
56523f12 554
85de63e2
RD
555 /*
556 * Check for a size specification.
56523f12
WD
557 * Defaults to long if no or incorrect specification.
558 */
559 if ((size = cmd_get_data_size(argv[0], 4)) < 0)
560 return 1;
561
562 /* Address is always specified.
563 */
564 addr = simple_strtoul(argv[1], NULL, 16);
565
566 /* Length is the number of objects, not number of bytes.
567 */
568 length = simple_strtoul(argv[2], NULL, 16);
569
570 /* data to write */
571 data = simple_strtoul(argv[3], NULL, 16);
81050926 572
0628ab8e
SG
573 bytes = size * length;
574 buf = map_sysmem(addr, bytes);
575
56523f12
WD
576 /* We want to optimize the loops to run as fast as possible.
577 * If we have only one object, just run infinite loops.
578 */
579 if (length == 1) {
580 if (size == 4) {
0628ab8e 581 longp = (uint *)buf;
56523f12
WD
582 for (;;)
583 *longp = data;
584 }
585 if (size == 2) {
0628ab8e 586 shortp = (ushort *)buf;
56523f12
WD
587 for (;;)
588 *shortp = data;
589 }
0628ab8e 590 cp = (u_char *)buf;
56523f12
WD
591 for (;;)
592 *cp = data;
593 }
594
595 if (size == 4) {
596 for (;;) {
0628ab8e 597 longp = (uint *)buf;
56523f12
WD
598 i = length;
599 while (i-- > 0)
600 *longp++ = data;
601 }
602 }
603 if (size == 2) {
604 for (;;) {
0628ab8e 605 shortp = (ushort *)buf;
56523f12
WD
606 i = length;
607 while (i-- > 0)
608 *shortp++ = data;
609 }
610 }
611 for (;;) {
0628ab8e 612 cp = (u_char *)buf;
56523f12
WD
613 i = length;
614 while (i-- > 0)
615 *cp++ = data;
616 }
617}
618#endif /* CONFIG_LOOPW */
619
68149e94 620#ifdef CONFIG_CMD_MEMTEST
5512d5b0
SG
621static ulong mem_test_alt(vu_long *buf, ulong start_addr, ulong end_addr,
622 vu_long *dummy)
3863585b 623{
c9638f50 624 vu_long *addr;
c9638f50
SG
625 ulong errs = 0;
626 ulong val, readback;
627 int j;
c9638f50
SG
628 vu_long offset;
629 vu_long test_offset;
630 vu_long pattern;
631 vu_long temp;
632 vu_long anti_pattern;
633 vu_long num_words;
3863585b
WD
634 static const ulong bitpattern[] = {
635 0x00000001, /* single bit */
636 0x00000003, /* two adjacent bits */
637 0x00000007, /* three adjacent bits */
638 0x0000000F, /* four adjacent bits */
639 0x00000005, /* two non-adjacent bits */
640 0x00000015, /* three non-adjacent bits */
641 0x00000055, /* four non-adjacent bits */
642 0xaaaaaaaa, /* alternating 1/0 */
643 };
3863585b 644
5512d5b0 645 num_words = (end_addr - start_addr) / sizeof(vu_long);
8c86bbe0 646
7ecbd4d7
SG
647 /*
648 * Data line test: write a pattern to the first
649 * location, write the 1's complement to a 'parking'
650 * address (changes the state of the data bus so a
651 * floating bus doesn't give a false OK), and then
652 * read the value back. Note that we read it back
653 * into a variable because the next time we read it,
654 * it might be right (been there, tough to explain to
655 * the quality guys why it prints a failure when the
656 * "is" and "should be" are obviously the same in the
657 * error message).
658 *
659 * Rather than exhaustively testing, we test some
660 * patterns by shifting '1' bits through a field of
661 * '0's and '0' bits through a field of '1's (i.e.
662 * pattern and ~pattern).
663 */
5512d5b0 664 addr = buf;
7ecbd4d7
SG
665 for (j = 0; j < sizeof(bitpattern) / sizeof(bitpattern[0]); j++) {
666 val = bitpattern[j];
667 for (; val != 0; val <<= 1) {
5512d5b0 668 *addr = val;
c9638f50 669 *dummy = ~val; /* clear the test data off the bus */
3863585b 670 readback = *addr;
7ecbd4d7 671 if (readback != val) {
c9638f50
SG
672 printf("FAILURE (data line): "
673 "expected %08lx, actual %08lx\n",
674 val, readback);
675 errs++;
c44d4386 676 if (ctrlc())
51209b1f 677 return -1;
3863585b
WD
678 }
679 *addr = ~val;
680 *dummy = val;
681 readback = *addr;
c9638f50
SG
682 if (readback != ~val) {
683 printf("FAILURE (data line): "
684 "Is %08lx, should be %08lx\n",
685 readback, ~val);
686 errs++;
c44d4386 687 if (ctrlc())
51209b1f 688 return -1;
3863585b 689 }
3863585b 690 }
7ecbd4d7 691 }
3863585b 692
7ecbd4d7
SG
693 /*
694 * Based on code whose Original Author and Copyright
695 * information follows: Copyright (c) 1998 by Michael
696 * Barr. This software is placed into the public
697 * domain and may be used for any purpose. However,
698 * this notice must not be changed or removed and no
699 * warranty is either expressed or implied by its
700 * publication or distribution.
701 */
3863585b 702
7ecbd4d7
SG
703 /*
704 * Address line test
705
706 * Description: Test the address bus wiring in a
707 * memory region by performing a walking
708 * 1's test on the relevant bits of the
709 * address and checking for aliasing.
710 * This test will find single-bit
711 * address failures such as stuck-high,
712 * stuck-low, and shorted pins. The base
713 * address and size of the region are
714 * selected by the caller.
715
716 * Notes: For best results, the selected base
717 * address should have enough LSB 0's to
718 * guarantee single address bit changes.
719 * For example, to test a 64-Kbyte
720 * region, select a base address on a
721 * 64-Kbyte boundary. Also, select the
722 * region size as a power-of-two if at
723 * all possible.
724 *
725 * Returns: 0 if the test succeeds, 1 if the test fails.
726 */
7ecbd4d7
SG
727 pattern = (vu_long) 0xaaaaaaaa;
728 anti_pattern = (vu_long) 0x55555555;
3863585b 729
5512d5b0 730 debug("%s:%d: length = 0x%.8lx\n", __func__, __LINE__, num_words);
7ecbd4d7
SG
731 /*
732 * Write the default pattern at each of the
733 * power-of-two offsets.
734 */
5512d5b0
SG
735 for (offset = 1; offset < num_words; offset <<= 1)
736 addr[offset] = pattern;
3863585b 737
7ecbd4d7
SG
738 /*
739 * Check for address bits stuck high.
740 */
741 test_offset = 0;
5512d5b0 742 addr[test_offset] = anti_pattern;
3863585b 743
5512d5b0
SG
744 for (offset = 1; offset < num_words; offset <<= 1) {
745 temp = addr[offset];
7ecbd4d7 746 if (temp != pattern) {
c9638f50 747 printf("\nFAILURE: Address bit stuck high @ 0x%.8lx:"
3863585b 748 " expected 0x%.8lx, actual 0x%.8lx\n",
5512d5b0 749 start_addr + offset, pattern, temp);
87b22b77 750 errs++;
c44d4386 751 if (ctrlc())
7ecbd4d7 752 return -1;
3863585b 753 }
7ecbd4d7 754 }
5512d5b0 755 addr[test_offset] = pattern;
7ecbd4d7 756 WATCHDOG_RESET();
3863585b 757
7ecbd4d7
SG
758 /*
759 * Check for addr bits stuck low or shorted.
760 */
5512d5b0
SG
761 for (test_offset = 1; test_offset < num_words; test_offset <<= 1) {
762 addr[test_offset] = anti_pattern;
3863585b 763
5512d5b0
SG
764 for (offset = 1; offset < num_words; offset <<= 1) {
765 temp = addr[offset];
3863585b 766 if ((temp != pattern) && (offset != test_offset)) {
7ecbd4d7
SG
767 printf("\nFAILURE: Address bit stuck low or"
768 " shorted @ 0x%.8lx: expected 0x%.8lx,"
769 " actual 0x%.8lx\n",
5512d5b0 770 start_addr + offset, pattern, temp);
7ecbd4d7 771 errs++;
c44d4386 772 if (ctrlc())
7ecbd4d7 773 return -1;
3863585b 774 }
3863585b 775 }
5512d5b0 776 addr[test_offset] = pattern;
7ecbd4d7 777 }
3863585b 778
7ecbd4d7
SG
779 /*
780 * Description: Test the integrity of a physical
781 * memory device by performing an
782 * increment/decrement test over the
783 * entire region. In the process every
784 * storage bit in the device is tested
785 * as a zero and a one. The base address
786 * and the size of the region are
787 * selected by the caller.
788 *
789 * Returns: 0 if the test succeeds, 1 if the test fails.
790 */
5512d5b0 791 num_words++;
3863585b 792
7ecbd4d7
SG
793 /*
794 * Fill memory with a known pattern.
795 */
796 for (pattern = 1, offset = 0; offset < num_words; pattern++, offset++) {
797 WATCHDOG_RESET();
5512d5b0 798 addr[offset] = pattern;
7ecbd4d7 799 }
3863585b 800
7ecbd4d7
SG
801 /*
802 * Check each location and invert it for the second pass.
803 */
804 for (pattern = 1, offset = 0; offset < num_words; pattern++, offset++) {
805 WATCHDOG_RESET();
5512d5b0 806 temp = addr[offset];
7ecbd4d7 807 if (temp != pattern) {
c9638f50 808 printf("\nFAILURE (read/write) @ 0x%.8lx:"
3863585b 809 " expected 0x%.8lx, actual 0x%.8lx)\n",
5512d5b0 810 start_addr + offset, pattern, temp);
87b22b77 811 errs++;
c44d4386 812 if (ctrlc())
51209b1f 813 return -1;
3863585b
WD
814 }
815
7ecbd4d7 816 anti_pattern = ~pattern;
5512d5b0 817 addr[offset] = anti_pattern;
7ecbd4d7
SG
818 }
819
820 /*
821 * Check each location for the inverted pattern and zero it.
822 */
823 for (pattern = 1, offset = 0; offset < num_words; pattern++, offset++) {
824 WATCHDOG_RESET();
825 anti_pattern = ~pattern;
5512d5b0 826 temp = addr[offset];
7ecbd4d7 827 if (temp != anti_pattern) {
c9638f50 828 printf("\nFAILURE (read/write): @ 0x%.8lx:"
3863585b 829 " expected 0x%.8lx, actual 0x%.8lx)\n",
5512d5b0 830 start_addr + offset, anti_pattern, temp);
87b22b77 831 errs++;
c44d4386 832 if (ctrlc())
51209b1f 833 return -1;
3863585b 834 }
5512d5b0 835 addr[offset] = 0;
7ecbd4d7 836 }
51209b1f
SG
837
838 return 0;
c9638f50
SG
839}
840
5512d5b0
SG
841static ulong mem_test_quick(vu_long *buf, ulong start_addr, ulong end_addr,
842 vu_long pattern, int iteration)
c9638f50 843{
5512d5b0 844 vu_long *end;
c9638f50 845 vu_long *addr;
c9638f50 846 ulong errs = 0;
5512d5b0 847 ulong incr, length;
c9638f50 848 ulong val, readback;
3863585b 849
51209b1f 850 /* Alternate the pattern */
3863585b 851 incr = 1;
51209b1f
SG
852 if (iteration & 1) {
853 incr = -incr;
854 /*
855 * Flip the pattern each time to make lots of zeros and
856 * then, the next time, lots of ones. We decrement
857 * the "negative" patterns and increment the "positive"
858 * patterns to preserve this feature.
859 */
860 if (pattern & 0x80000000)
861 pattern = -pattern; /* complement & increment */
862 else
863 pattern = ~pattern;
864 }
5512d5b0
SG
865 length = (end_addr - start_addr) / sizeof(ulong);
866 end = buf + length;
7ecbd4d7
SG
867 printf("\rPattern %08lX Writing..."
868 "%12s"
869 "\b\b\b\b\b\b\b\b\b\b",
870 pattern, "");
3863585b 871
5512d5b0 872 for (addr = buf, val = pattern; addr < end; addr++) {
7ecbd4d7
SG
873 WATCHDOG_RESET();
874 *addr = val;
875 val += incr;
876 }
3863585b 877
7ecbd4d7 878 puts("Reading...");
3863585b 879
5512d5b0 880 for (addr = buf, val = pattern; addr < end; addr++) {
7ecbd4d7
SG
881 WATCHDOG_RESET();
882 readback = *addr;
883 if (readback != val) {
5512d5b0
SG
884 ulong offset = addr - buf;
885
7ecbd4d7
SG
886 printf("\nMem error @ 0x%08X: "
887 "found %08lX, expected %08lX\n",
5512d5b0
SG
888 (uint)(uintptr_t)(start_addr + offset),
889 readback, val);
7ecbd4d7 890 errs++;
c44d4386 891 if (ctrlc())
7ecbd4d7 892 return -1;
3863585b 893 }
7ecbd4d7
SG
894 val += incr;
895 }
3863585b 896
51209b1f 897 return 0;
c9638f50
SG
898}
899
900/*
901 * Perform a memory test. A more complete alternative test can be
902 * configured using CONFIG_SYS_ALT_MEMTEST. The complete test loops until
903 * interrupted by ctrl-c or by a failure of one of the sub-tests.
904 */
905static int do_mem_mtest(cmd_tbl_t *cmdtp, int flag, int argc,
906 char * const argv[])
907{
8c86bbe0 908 ulong start, end;
5512d5b0 909 vu_long *buf, *dummy;
c9638f50
SG
910 int iteration_limit;
911 int ret;
51209b1f 912 ulong errs = 0; /* number of errors, or -1 if interrupted */
c9638f50 913 ulong pattern;
51209b1f 914 int iteration;
c9638f50
SG
915#if defined(CONFIG_SYS_ALT_MEMTEST)
916 const int alt_test = 1;
917#else
918 const int alt_test = 0;
3863585b 919#endif
c9638f50
SG
920
921 if (argc > 1)
8c86bbe0 922 start = simple_strtoul(argv[1], NULL, 16);
c9638f50 923 else
8c86bbe0 924 start = CONFIG_SYS_MEMTEST_START;
c9638f50
SG
925
926 if (argc > 2)
8c86bbe0 927 end = simple_strtoul(argv[2], NULL, 16);
c9638f50 928 else
8c86bbe0 929 end = CONFIG_SYS_MEMTEST_END;
c9638f50
SG
930
931 if (argc > 3)
932 pattern = (ulong)simple_strtoul(argv[3], NULL, 16);
933 else
934 pattern = 0;
935
936 if (argc > 4)
937 iteration_limit = (ulong)simple_strtoul(argv[4], NULL, 16);
938 else
939 iteration_limit = 0;
940
8c86bbe0
SG
941 printf("Testing %08x ... %08x:\n", (uint)start, (uint)end);
942 debug("%s:%d: start %#08lx end %#08lx\n", __func__, __LINE__,
943 start, end);
51209b1f 944
5512d5b0
SG
945 buf = map_sysmem(start, end - start);
946 dummy = map_sysmem(CONFIG_SYS_MEMTEST_SCRATCH, sizeof(vu_long));
51209b1f
SG
947 for (iteration = 0;
948 !iteration_limit || iteration < iteration_limit;
949 iteration++) {
950 if (ctrlc()) {
51209b1f
SG
951 errs = -1UL;
952 break;
953 }
954
955 printf("Iteration: %6d\r", iteration + 1);
956 debug("\n");
5512d5b0
SG
957 if (alt_test) {
958 errs = mem_test_alt(buf, start, end, dummy);
959 } else {
960 errs = mem_test_quick(buf, start, end, pattern,
961 iteration);
962 }
963 if (errs == -1UL)
964 break;
965 }
966
967 /*
968 * Work-around for eldk-4.2 which gives this warning if we try to
969 * case in the unmap_sysmem() call:
970 * warning: initialization discards qualifiers from pointer target type
971 */
972 {
973 void *vbuf = (void *)buf;
974 void *vdummy = (void *)dummy;
975
976 unmap_sysmem(vbuf);
977 unmap_sysmem(vdummy);
51209b1f
SG
978 }
979
980 if (errs == -1UL) {
c44d4386
SG
981 /* Memory test was aborted - write a newline to finish off */
982 putc('\n');
51209b1f
SG
983 ret = 1;
984 } else {
985 printf("Tested %d iteration(s) with %lu errors.\n",
986 iteration, errs);
987 ret = errs != 0;
988 }
c9638f50
SG
989
990 return ret; /* not reached */
3863585b 991}
a2681707 992#endif /* CONFIG_CMD_MEMTEST */
3863585b
WD
993
994/* Modify memory.
995 *
996 * Syntax:
997 * mm{.b, .w, .l} {addr}
998 * nm{.b, .w, .l} {addr}
999 */
1000static int
54841ab5 1001mod_mem(cmd_tbl_t *cmdtp, int incrflag, int flag, int argc, char * const argv[])
3863585b 1002{
27b207fd
WD
1003 ulong addr, i;
1004 int nbytes, size;
0628ab8e 1005 void *ptr = NULL;
3863585b 1006
47e26b1b 1007 if (argc != 2)
4c12eeb8 1008 return CMD_RET_USAGE;
3863585b
WD
1009
1010#ifdef CONFIG_BOOT_RETRY_TIME
1011 reset_cmd_timeout(); /* got a good command to get here */
1012#endif
1013 /* We use the last specified parameters, unless new ones are
1014 * entered.
1015 */
1016 addr = mm_last_addr;
1017 size = mm_last_size;
1018
1019 if ((flag & CMD_FLAG_REPEAT) == 0) {
1020 /* New command specified. Check for a size specification.
1021 * Defaults to long if no or incorrect specification.
1022 */
27b207fd
WD
1023 if ((size = cmd_get_data_size(argv[0], 4)) < 0)
1024 return 1;
3863585b
WD
1025
1026 /* Address is specified since argc > 1
1027 */
1028 addr = simple_strtoul(argv[1], NULL, 16);
1029 addr += base_address;
1030 }
1031
2abbe075
WD
1032#ifdef CONFIG_HAS_DATAFLASH
1033 if (addr_dataflash(addr)){
4b9206ed 1034 puts ("Can't modify DataFlash in place. Use cp instead.\n\r");
2abbe075
WD
1035 return 0;
1036 }
1037#endif
1038
4c727c77
MF
1039#ifdef CONFIG_BLACKFIN
1040 if (addr_bfin_on_chip_mem(addr)) {
1041 puts ("Can't modify L1 instruction in place. Use cp instead.\n\r");
1042 return 0;
1043 }
1044#endif
1045
3863585b
WD
1046 /* Print the address, followed by value. Then accept input for
1047 * the next value. A non-converted value exits.
1048 */
1049 do {
0628ab8e 1050 ptr = map_sysmem(addr, size);
3863585b
WD
1051 printf("%08lx:", addr);
1052 if (size == 4)
0628ab8e 1053 printf(" %08x", *((uint *)ptr));
3863585b 1054 else if (size == 2)
0628ab8e 1055 printf(" %04x", *((ushort *)ptr));
3863585b 1056 else
0628ab8e 1057 printf(" %02x", *((u_char *)ptr));
3863585b
WD
1058
1059 nbytes = readline (" ? ");
1060 if (nbytes == 0 || (nbytes == 1 && console_buffer[0] == '-')) {
1061 /* <CR> pressed as only input, don't modify current
1062 * location and move to next. "-" pressed will go back.
1063 */
1064 if (incrflag)
1065 addr += nbytes ? -size : size;
1066 nbytes = 1;
1067#ifdef CONFIG_BOOT_RETRY_TIME
1068 reset_cmd_timeout(); /* good enough to not time out */
1069#endif
1070 }
1071#ifdef CONFIG_BOOT_RETRY_TIME
1072 else if (nbytes == -2) {
1073 break; /* timed out, exit the command */
1074 }
1075#endif
1076 else {
1077 char *endp;
1078 i = simple_strtoul(console_buffer, &endp, 16);
1079 nbytes = endp - console_buffer;
1080 if (nbytes) {
1081#ifdef CONFIG_BOOT_RETRY_TIME
1082 /* good enough to not time out
1083 */
1084 reset_cmd_timeout();
1085#endif
1086 if (size == 4)
0628ab8e 1087 *((uint *)ptr) = i;
3863585b 1088 else if (size == 2)
0628ab8e 1089 *((ushort *)ptr) = i;
3863585b 1090 else
0628ab8e 1091 *((u_char *)ptr) = i;
3863585b
WD
1092 if (incrflag)
1093 addr += size;
1094 }
1095 }
1096 } while (nbytes);
0628ab8e
SG
1097 if (ptr)
1098 unmap_sysmem(ptr);
3863585b
WD
1099
1100 mm_last_addr = addr;
1101 mm_last_size = size;
1102 return 0;
1103}
1104
710b9938
MF
1105#ifdef CONFIG_CMD_CRC32
1106
088f1b19 1107static int do_mem_crc(cmd_tbl_t *cmdtp, int flag, int argc, char * const argv[])
3863585b 1108{
d20a40de 1109 int flags = 0;
c26e454d 1110 int ac;
54841ab5 1111 char * const *av;
c26e454d 1112
d20a40de 1113 if (argc < 3)
4c12eeb8 1114 return CMD_RET_USAGE;
c26e454d
WD
1115
1116 av = argv + 1;
1117 ac = argc - 1;
d20a40de 1118#ifdef CONFIG_HASH_VERIFY
c26e454d 1119 if (strcmp(*av, "-v") == 0) {
d20a40de 1120 flags |= HASH_FLAG_VERIFY;
c26e454d
WD
1121 av++;
1122 ac--;
c26e454d 1123 }
d20a40de 1124#endif
c26e454d 1125
d20a40de 1126 return hash_command("crc32", flags, cmdtp, flag, ac, av);
c26e454d 1127}
c26e454d 1128
710b9938
MF
1129#endif
1130
8bde7f77 1131/**************************************************/
0d498393 1132U_BOOT_CMD(
53677ef1 1133 md, 3, 1, do_mem_md,
2fb2604d 1134 "memory display",
a89c33db 1135 "[.b, .w, .l] address [# of objects]"
8bde7f77
WD
1136);
1137
1138
0d498393 1139U_BOOT_CMD(
53677ef1 1140 mm, 2, 1, do_mem_mm,
a89c33db
WD
1141 "memory modify (auto-incrementing address)",
1142 "[.b, .w, .l] address"
8bde7f77
WD
1143);
1144
1145
0d498393 1146U_BOOT_CMD(
53677ef1 1147 nm, 2, 1, do_mem_nm,
2fb2604d 1148 "memory modify (constant address)",
a89c33db 1149 "[.b, .w, .l] address"
8bde7f77
WD
1150);
1151
0d498393 1152U_BOOT_CMD(
53677ef1 1153 mw, 4, 1, do_mem_mw,
2fb2604d 1154 "memory write (fill)",
a89c33db 1155 "[.b, .w, .l] address value [count]"
8bde7f77
WD
1156);
1157
0d498393 1158U_BOOT_CMD(
53677ef1 1159 cp, 4, 1, do_mem_cp,
2fb2604d 1160 "memory copy",
a89c33db 1161 "[.b, .w, .l] source target count"
8bde7f77
WD
1162);
1163
0d498393 1164U_BOOT_CMD(
53677ef1 1165 cmp, 4, 1, do_mem_cmp,
2fb2604d 1166 "memory compare",
a89c33db 1167 "[.b, .w, .l] addr1 addr2 count"
8bde7f77
WD
1168);
1169
710b9938
MF
1170#ifdef CONFIG_CMD_CRC32
1171
c26e454d
WD
1172#ifndef CONFIG_CRC32_VERIFY
1173
0d498393 1174U_BOOT_CMD(
53677ef1 1175 crc32, 4, 1, do_mem_crc,
2fb2604d 1176 "checksum calculation",
a89c33db 1177 "address count [addr]\n - compute CRC32 checksum [save at addr]"
8bde7f77
WD
1178);
1179
c26e454d
WD
1180#else /* CONFIG_CRC32_VERIFY */
1181
1182U_BOOT_CMD(
53677ef1 1183 crc32, 5, 1, do_mem_crc,
2fb2604d 1184 "checksum calculation",
c26e454d 1185 "address count [addr]\n - compute CRC32 checksum [save at addr]\n"
a89c33db 1186 "-v address count crc\n - verify crc of memory area"
c26e454d
WD
1187);
1188
1189#endif /* CONFIG_CRC32_VERIFY */
1190
710b9938
MF
1191#endif
1192
15a33e49
SG
1193#ifdef CONFIG_CMD_MEMINFO
1194__weak void board_show_dram(ulong size)
1195{
1196 puts("DRAM: ");
1197 print_size(size, "\n");
1198}
1199
1200static int do_mem_info(cmd_tbl_t *cmdtp, int flag, int argc,
1201 char * const argv[])
1202{
1203 board_show_dram(gd->ram_size);
1204
1205 return 0;
1206}
1207#endif
1208
0d498393 1209U_BOOT_CMD(
53677ef1 1210 base, 2, 1, do_mem_base,
2fb2604d 1211 "print or set address offset",
8bde7f77 1212 "\n - print address offset for memory commands\n"
a89c33db 1213 "base off\n - set address offset for memory commands to 'off'"
8bde7f77
WD
1214);
1215
0d498393 1216U_BOOT_CMD(
53677ef1 1217 loop, 3, 1, do_mem_loop,
2fb2604d 1218 "infinite loop on address range",
a89c33db 1219 "[.b, .w, .l] address number_of_objects"
8bde7f77
WD
1220);
1221
56523f12
WD
1222#ifdef CONFIG_LOOPW
1223U_BOOT_CMD(
53677ef1 1224 loopw, 4, 1, do_mem_loopw,
2fb2604d 1225 "infinite write loop on address range",
a89c33db 1226 "[.b, .w, .l] address number_of_objects data_to_write"
56523f12
WD
1227);
1228#endif /* CONFIG_LOOPW */
1229
a2681707 1230#ifdef CONFIG_CMD_MEMTEST
0d498393 1231U_BOOT_CMD(
b6fc6fd4 1232 mtest, 5, 1, do_mem_mtest,
a89c33db
WD
1233 "simple RAM read/write test",
1234 "[start [end [pattern [iterations]]]]"
8bde7f77 1235);
a2681707 1236#endif /* CONFIG_CMD_MEMTEST */
8bde7f77 1237
4aaf29b2
SR
1238#ifdef CONFIG_MX_CYCLIC
1239U_BOOT_CMD(
53677ef1 1240 mdc, 4, 1, do_mem_mdc,
2fb2604d 1241 "memory display cyclic",
a89c33db 1242 "[.b, .w, .l] address count delay(ms)"
4aaf29b2
SR
1243);
1244
1245U_BOOT_CMD(
53677ef1 1246 mwc, 4, 1, do_mem_mwc,
2fb2604d 1247 "memory write cyclic",
a89c33db 1248 "[.b, .w, .l] address value delay(ms)"
4aaf29b2
SR
1249);
1250#endif /* CONFIG_MX_CYCLIC */
15a33e49
SG
1251
1252#ifdef CONFIG_CMD_MEMINFO
1253U_BOOT_CMD(
1254 meminfo, 3, 1, do_mem_info,
1255 "display memory information",
1256 ""
1257);
1258#endif