]> git.ipfire.org Git - thirdparty/binutils-gdb.git/blame - opcodes/aarch64-dis-2.c
Include <array> to declare std::array<>.
[thirdparty/binutils-gdb.git] / opcodes / aarch64-dis-2.c
CommitLineData
a06ea964 1/* This file is automatically generated by aarch64-gen. Do not edit! */
2571583a 2/* Copyright (C) 2012-2017 Free Software Foundation, Inc.
a06ea964
NC
3 Contributed by ARM Ltd.
4
5 This file is part of the GNU opcodes library.
6
7 This library is free software; you can redistribute it and/or modify
8 it under the terms of the GNU General Public License as published by
9 the Free Software Foundation; either version 3, or (at your option)
10 any later version.
11
12 It is distributed in the hope that it will be useful, but WITHOUT
13 ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
14 or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public
15 License for more details.
16
17 You should have received a copy of the GNU General Public License
18 along with this program; see the file COPYING3. If not,
19 see <http://www.gnu.org/licenses/>. */
20
21#include "sysdep.h"
22#include "aarch64-dis.h"
23
24/* Called by aarch64_opcode_lookup. */
25
26static int
27aarch64_opcode_lookup_1 (uint32_t word)
28{
29 if (((word >> 26) & 0x1) == 0)
30 {
31 if (((word >> 25) & 0x1) == 0)
32 {
33 if (((word >> 27) & 0x1) == 0)
34 {
35 if (((word >> 24) & 0x1) == 0)
36 {
37 if (((word >> 31) & 0x1) == 0)
38 {
39 /* 33222222222211111111110000000000
40 10987654321098765432109876543210
7684e580 41 0xxx0000xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 42 adr. */
c2c4ff8d 43 return 1155;
a06ea964
NC
44 }
45 else
46 {
47 /* 33222222222211111111110000000000
48 10987654321098765432109876543210
7684e580 49 1xxx0000xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 50 adrp. */
c2c4ff8d 51 return 1156;
a06ea964
NC
52 }
53 }
54 else
55 {
56 if (((word >> 29) & 0x1) == 0)
57 {
58 if (((word >> 30) & 0x1) == 0)
59 {
60 /* 33222222222211111111110000000000
61 10987654321098765432109876543210
7684e580 62 x00x0001xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
63 add. */
64 return 12;
65 }
66 else
67 {
68 /* 33222222222211111111110000000000
69 10987654321098765432109876543210
7684e580 70 x10x0001xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
71 sub. */
72 return 16;
73 }
74 }
75 else
76 {
77 if (((word >> 30) & 0x1) == 0)
78 {
79 /* 33222222222211111111110000000000
80 10987654321098765432109876543210
7684e580 81 x01x0001xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
82 adds. */
83 return 14;
84 }
85 else
86 {
87 /* 33222222222211111111110000000000
88 10987654321098765432109876543210
7684e580 89 x11x0001xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
90 subs. */
91 return 17;
92 }
93 }
94 }
95 }
96 else
97 {
98 if (((word >> 28) & 0x1) == 0)
99 {
100 if (((word >> 22) & 0x1) == 0)
101 {
102 if (((word >> 23) & 0x1) == 0)
103 {
104 if (((word >> 29) & 0x1) == 0)
105 {
106 if (((word >> 15) & 0x1) == 0)
107 {
108 if (((word >> 21) & 0x1) == 0)
109 {
110 if (((word >> 31) & 0x1) == 0)
111 {
112 if (((word >> 30) & 0x1) == 0)
113 {
114 /* 33222222222211111111110000000000
115 10987654321098765432109876543210
7684e580 116 0000100x000xxxxx0xxxxxxxxxxxxxxx
a06ea964 117 stxrb. */
c2c4ff8d 118 return 910;
a06ea964
NC
119 }
120 else
121 {
122 /* 33222222222211111111110000000000
123 10987654321098765432109876543210
7684e580 124 0100100x000xxxxx0xxxxxxxxxxxxxxx
a06ea964 125 stxrh. */
c2c4ff8d 126 return 916;
a06ea964
NC
127 }
128 }
129 else
130 {
131 /* 33222222222211111111110000000000
132 10987654321098765432109876543210
7684e580 133 1x00100x000xxxxx0xxxxxxxxxxxxxxx
a06ea964 134 stxr. */
c2c4ff8d 135 return 922;
a06ea964
NC
136 }
137 }
138 else
139 {
ee804238
JW
140 if (((word >> 31) & 0x1) == 0)
141 {
142 /* 33222222222211111111110000000000
143 10987654321098765432109876543210
7684e580 144 0x00100x001xxxxx0xxxxxxxxxxxxxxx
ee804238 145 casp. */
c2c4ff8d 146 return 990;
ee804238
JW
147 }
148 else
149 {
150 /* 33222222222211111111110000000000
151 10987654321098765432109876543210
7684e580 152 1x00100x001xxxxx0xxxxxxxxxxxxxxx
ee804238 153 stxp. */
c2c4ff8d 154 return 924;
ee804238 155 }
a06ea964
NC
156 }
157 }
158 else
159 {
160 if (((word >> 21) & 0x1) == 0)
161 {
162 if (((word >> 31) & 0x1) == 0)
163 {
164 if (((word >> 30) & 0x1) == 0)
165 {
166 /* 33222222222211111111110000000000
167 10987654321098765432109876543210
7684e580 168 0000100x000xxxxx1xxxxxxxxxxxxxxx
a06ea964 169 stlxrb. */
c2c4ff8d 170 return 911;
a06ea964
NC
171 }
172 else
173 {
174 /* 33222222222211111111110000000000
175 10987654321098765432109876543210
7684e580 176 0100100x000xxxxx1xxxxxxxxxxxxxxx
a06ea964 177 stlxrh. */
c2c4ff8d 178 return 917;
a06ea964
NC
179 }
180 }
181 else
182 {
183 /* 33222222222211111111110000000000
184 10987654321098765432109876543210
7684e580 185 1x00100x000xxxxx1xxxxxxxxxxxxxxx
a06ea964 186 stlxr. */
c2c4ff8d 187 return 923;
a06ea964
NC
188 }
189 }
190 else
191 {
ee804238
JW
192 if (((word >> 31) & 0x1) == 0)
193 {
194 /* 33222222222211111111110000000000
195 10987654321098765432109876543210
7684e580 196 0x00100x001xxxxx1xxxxxxxxxxxxxxx
ee804238 197 caspl. */
c2c4ff8d 198 return 992;
ee804238
JW
199 }
200 else
201 {
202 /* 33222222222211111111110000000000
203 10987654321098765432109876543210
7684e580 204 1x00100x001xxxxx1xxxxxxxxxxxxxxx
ee804238 205 stlxp. */
c2c4ff8d 206 return 925;
ee804238 207 }
a06ea964
NC
208 }
209 }
210 }
211 else
212 {
213 /* 33222222222211111111110000000000
214 10987654321098765432109876543210
7684e580 215 xx10100x00xxxxxxxxxxxxxxxxxxxxxx
a06ea964 216 stnp. */
c2c4ff8d 217 return 941;
a06ea964
NC
218 }
219 }
220 else
221 {
222 if (((word >> 29) & 0x1) == 0)
223 {
ee804238 224 if (((word >> 15) & 0x1) == 0)
a06ea964 225 {
290806fd 226 if (((word >> 21) & 0x1) == 0)
a06ea964 227 {
290806fd 228 if (((word >> 31) & 0x1) == 0)
ee804238
JW
229 {
230 /* 33222222222211111111110000000000
231 10987654321098765432109876543210
7684e580 232 0x00100x100xxxxx0xxxxxxxxxxxxxxx
290806fd 233 stllrb. */
c2c4ff8d 234 return 939;
ee804238
JW
235 }
236 else
237 {
238 /* 33222222222211111111110000000000
239 10987654321098765432109876543210
7684e580 240 1x00100x100xxxxx0xxxxxxxxxxxxxxx
290806fd 241 stllr. */
c2c4ff8d 242 return 938;
ee804238 243 }
a06ea964
NC
244 }
245 else
246 {
290806fd
MW
247 if (((word >> 31) & 0x1) == 0)
248 {
249 if (((word >> 30) & 0x1) == 0)
250 {
251 /* 33222222222211111111110000000000
252 10987654321098765432109876543210
7684e580 253 0000100x101xxxxx0xxxxxxxxxxxxxxx
290806fd 254 casb. */
c2c4ff8d 255 return 978;
290806fd
MW
256 }
257 else
258 {
259 /* 33222222222211111111110000000000
260 10987654321098765432109876543210
7684e580 261 0100100x101xxxxx0xxxxxxxxxxxxxxx
290806fd 262 cash. */
c2c4ff8d 263 return 979;
290806fd
MW
264 }
265 }
266 else
267 {
268 /* 33222222222211111111110000000000
269 10987654321098765432109876543210
7684e580 270 1x00100x101xxxxx0xxxxxxxxxxxxxxx
290806fd 271 cas. */
c2c4ff8d 272 return 980;
290806fd 273 }
a06ea964
NC
274 }
275 }
276 else
277 {
ee804238
JW
278 if (((word >> 21) & 0x1) == 0)
279 {
280 if (((word >> 31) & 0x1) == 0)
281 {
282 if (((word >> 30) & 0x1) == 0)
283 {
284 /* 33222222222211111111110000000000
285 10987654321098765432109876543210
7684e580 286 0000100x100xxxxx1xxxxxxxxxxxxxxx
ee804238 287 stlrb. */
c2c4ff8d 288 return 914;
ee804238
JW
289 }
290 else
291 {
292 /* 33222222222211111111110000000000
293 10987654321098765432109876543210
7684e580 294 0100100x100xxxxx1xxxxxxxxxxxxxxx
ee804238 295 stlrh. */
c2c4ff8d 296 return 920;
ee804238
JW
297 }
298 }
299 else
300 {
301 /* 33222222222211111111110000000000
302 10987654321098765432109876543210
7684e580 303 1x00100x100xxxxx1xxxxxxxxxxxxxxx
ee804238 304 stlr. */
c2c4ff8d 305 return 930;
ee804238
JW
306 }
307 }
308 else
309 {
310 if (((word >> 31) & 0x1) == 0)
311 {
312 if (((word >> 30) & 0x1) == 0)
313 {
314 /* 33222222222211111111110000000000
315 10987654321098765432109876543210
7684e580 316 0000100x101xxxxx1xxxxxxxxxxxxxxx
ee804238 317 caslb. */
c2c4ff8d 318 return 982;
ee804238
JW
319 }
320 else
321 {
322 /* 33222222222211111111110000000000
323 10987654321098765432109876543210
7684e580 324 0100100x101xxxxx1xxxxxxxxxxxxxxx
ee804238 325 caslh. */
c2c4ff8d 326 return 985;
ee804238
JW
327 }
328 }
329 else
330 {
331 /* 33222222222211111111110000000000
332 10987654321098765432109876543210
7684e580 333 1x00100x101xxxxx1xxxxxxxxxxxxxxx
ee804238 334 casl. */
c2c4ff8d 335 return 988;
ee804238
JW
336 }
337 }
a06ea964
NC
338 }
339 }
340 else
341 {
342 /* 33222222222211111111110000000000
343 10987654321098765432109876543210
7684e580 344 xx10100x10xxxxxxxxxxxxxxxxxxxxxx
a06ea964 345 stp. */
c2c4ff8d 346 return 950;
a06ea964
NC
347 }
348 }
349 }
350 else
351 {
352 if (((word >> 23) & 0x1) == 0)
353 {
354 if (((word >> 29) & 0x1) == 0)
355 {
356 if (((word >> 15) & 0x1) == 0)
357 {
358 if (((word >> 21) & 0x1) == 0)
359 {
360 if (((word >> 31) & 0x1) == 0)
361 {
362 if (((word >> 30) & 0x1) == 0)
363 {
364 /* 33222222222211111111110000000000
365 10987654321098765432109876543210
7684e580 366 0000100x010xxxxx0xxxxxxxxxxxxxxx
a06ea964 367 ldxrb. */
c2c4ff8d 368 return 912;
a06ea964
NC
369 }
370 else
371 {
372 /* 33222222222211111111110000000000
373 10987654321098765432109876543210
7684e580 374 0100100x010xxxxx0xxxxxxxxxxxxxxx
a06ea964 375 ldxrh. */
c2c4ff8d 376 return 918;
a06ea964
NC
377 }
378 }
379 else
380 {
381 /* 33222222222211111111110000000000
382 10987654321098765432109876543210
7684e580 383 1x00100x010xxxxx0xxxxxxxxxxxxxxx
a06ea964 384 ldxr. */
c2c4ff8d 385 return 926;
a06ea964
NC
386 }
387 }
388 else
389 {
ee804238
JW
390 if (((word >> 31) & 0x1) == 0)
391 {
392 /* 33222222222211111111110000000000
393 10987654321098765432109876543210
7684e580 394 0x00100x011xxxxx0xxxxxxxxxxxxxxx
ee804238 395 caspa. */
c2c4ff8d 396 return 991;
ee804238
JW
397 }
398 else
399 {
400 /* 33222222222211111111110000000000
401 10987654321098765432109876543210
7684e580 402 1x00100x011xxxxx0xxxxxxxxxxxxxxx
ee804238 403 ldxp. */
c2c4ff8d 404 return 928;
ee804238 405 }
a06ea964
NC
406 }
407 }
408 else
409 {
410 if (((word >> 21) & 0x1) == 0)
411 {
412 if (((word >> 31) & 0x1) == 0)
413 {
414 if (((word >> 30) & 0x1) == 0)
415 {
416 /* 33222222222211111111110000000000
417 10987654321098765432109876543210
7684e580 418 0000100x010xxxxx1xxxxxxxxxxxxxxx
a06ea964 419 ldaxrb. */
c2c4ff8d 420 return 913;
a06ea964
NC
421 }
422 else
423 {
424 /* 33222222222211111111110000000000
425 10987654321098765432109876543210
7684e580 426 0100100x010xxxxx1xxxxxxxxxxxxxxx
a06ea964 427 ldaxrh. */
c2c4ff8d 428 return 919;
a06ea964
NC
429 }
430 }
431 else
432 {
433 /* 33222222222211111111110000000000
434 10987654321098765432109876543210
7684e580 435 1x00100x010xxxxx1xxxxxxxxxxxxxxx
a06ea964 436 ldaxr. */
c2c4ff8d 437 return 927;
a06ea964
NC
438 }
439 }
440 else
441 {
ee804238
JW
442 if (((word >> 31) & 0x1) == 0)
443 {
444 /* 33222222222211111111110000000000
445 10987654321098765432109876543210
7684e580 446 0x00100x011xxxxx1xxxxxxxxxxxxxxx
ee804238 447 caspal. */
c2c4ff8d 448 return 993;
ee804238
JW
449 }
450 else
451 {
452 /* 33222222222211111111110000000000
453 10987654321098765432109876543210
7684e580 454 1x00100x011xxxxx1xxxxxxxxxxxxxxx
ee804238 455 ldaxp. */
c2c4ff8d 456 return 929;
ee804238 457 }
a06ea964
NC
458 }
459 }
460 }
461 else
462 {
463 if (((word >> 30) & 0x1) == 0)
464 {
465 /* 33222222222211111111110000000000
466 10987654321098765432109876543210
7684e580 467 x010100x01xxxxxxxxxxxxxxxxxxxxxx
a06ea964 468 ldnp. */
c2c4ff8d 469 return 942;
a06ea964
NC
470 }
471 else
472 {
473 /* 33222222222211111111110000000000
474 10987654321098765432109876543210
7684e580 475 x110100x01xxxxxxxxxxxxxxxxxxxxxx
a06ea964 476 ldpsw. */
c2c4ff8d 477 return 949;
a06ea964
NC
478 }
479 }
480 }
481 else
482 {
483 if (((word >> 29) & 0x1) == 0)
484 {
ee804238 485 if (((word >> 15) & 0x1) == 0)
a06ea964 486 {
290806fd 487 if (((word >> 21) & 0x1) == 0)
a06ea964 488 {
290806fd 489 if (((word >> 31) & 0x1) == 0)
ee804238 490 {
290806fd
MW
491 if (((word >> 30) & 0x1) == 0)
492 {
493 /* 33222222222211111111110000000000
494 10987654321098765432109876543210
7684e580 495 0000100x110xxxxx0xxxxxxxxxxxxxxx
290806fd 496 ldlarb. */
c2c4ff8d 497 return 936;
290806fd
MW
498 }
499 else
500 {
501 /* 33222222222211111111110000000000
502 10987654321098765432109876543210
7684e580 503 0100100x110xxxxx0xxxxxxxxxxxxxxx
290806fd 504 ldlarh. */
c2c4ff8d 505 return 937;
290806fd 506 }
ee804238
JW
507 }
508 else
509 {
510 /* 33222222222211111111110000000000
511 10987654321098765432109876543210
7684e580 512 1x00100x110xxxxx0xxxxxxxxxxxxxxx
290806fd 513 ldlar. */
c2c4ff8d 514 return 935;
ee804238 515 }
a06ea964
NC
516 }
517 else
518 {
290806fd
MW
519 if (((word >> 31) & 0x1) == 0)
520 {
521 if (((word >> 30) & 0x1) == 0)
522 {
523 /* 33222222222211111111110000000000
524 10987654321098765432109876543210
7684e580 525 0000100x111xxxxx0xxxxxxxxxxxxxxx
290806fd 526 casab. */
c2c4ff8d 527 return 981;
290806fd
MW
528 }
529 else
530 {
531 /* 33222222222211111111110000000000
532 10987654321098765432109876543210
7684e580 533 0100100x111xxxxx0xxxxxxxxxxxxxxx
290806fd 534 casah. */
c2c4ff8d 535 return 984;
290806fd
MW
536 }
537 }
538 else
539 {
540 /* 33222222222211111111110000000000
541 10987654321098765432109876543210
7684e580 542 1x00100x111xxxxx0xxxxxxxxxxxxxxx
290806fd 543 casa. */
c2c4ff8d 544 return 987;
290806fd 545 }
a06ea964
NC
546 }
547 }
548 else
549 {
ee804238
JW
550 if (((word >> 21) & 0x1) == 0)
551 {
552 if (((word >> 31) & 0x1) == 0)
553 {
554 if (((word >> 30) & 0x1) == 0)
555 {
556 /* 33222222222211111111110000000000
557 10987654321098765432109876543210
7684e580 558 0000100x110xxxxx1xxxxxxxxxxxxxxx
ee804238 559 ldarb. */
c2c4ff8d 560 return 915;
ee804238
JW
561 }
562 else
563 {
564 /* 33222222222211111111110000000000
565 10987654321098765432109876543210
7684e580 566 0100100x110xxxxx1xxxxxxxxxxxxxxx
ee804238 567 ldarh. */
c2c4ff8d 568 return 921;
ee804238
JW
569 }
570 }
571 else
572 {
573 /* 33222222222211111111110000000000
574 10987654321098765432109876543210
7684e580 575 1x00100x110xxxxx1xxxxxxxxxxxxxxx
ee804238 576 ldar. */
c2c4ff8d 577 return 931;
ee804238
JW
578 }
579 }
580 else
581 {
582 if (((word >> 31) & 0x1) == 0)
583 {
584 if (((word >> 30) & 0x1) == 0)
585 {
586 /* 33222222222211111111110000000000
587 10987654321098765432109876543210
7684e580 588 0000100x111xxxxx1xxxxxxxxxxxxxxx
ee804238 589 casalb. */
c2c4ff8d 590 return 983;
ee804238
JW
591 }
592 else
593 {
594 /* 33222222222211111111110000000000
595 10987654321098765432109876543210
7684e580 596 0100100x111xxxxx1xxxxxxxxxxxxxxx
ee804238 597 casalh. */
c2c4ff8d 598 return 986;
ee804238
JW
599 }
600 }
601 else
602 {
603 /* 33222222222211111111110000000000
604 10987654321098765432109876543210
7684e580 605 1x00100x111xxxxx1xxxxxxxxxxxxxxx
ee804238 606 casal. */
c2c4ff8d 607 return 989;
ee804238
JW
608 }
609 }
a06ea964
NC
610 }
611 }
612 else
613 {
614 if (((word >> 30) & 0x1) == 0)
615 {
616 /* 33222222222211111111110000000000
617 10987654321098765432109876543210
7684e580 618 x010100x11xxxxxxxxxxxxxxxxxxxxxx
a06ea964 619 ldp. */
c2c4ff8d 620 return 951;
a06ea964
NC
621 }
622 else
623 {
624 /* 33222222222211111111110000000000
625 10987654321098765432109876543210
7684e580 626 x110100x11xxxxxxxxxxxxxxxxxxxxxx
a06ea964 627 ldpsw. */
c2c4ff8d 628 return 954;
a06ea964
NC
629 }
630 }
631 }
632 }
633 }
634 else
635 {
636 if (((word >> 24) & 0x1) == 0)
637 {
638 if (((word >> 29) & 0x1) == 0)
639 {
640 if (((word >> 31) & 0x1) == 0)
641 {
642 /* 33222222222211111111110000000000
643 10987654321098765432109876543210
7684e580 644 0x011000xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 645 ldr. */
c2c4ff8d 646 return 955;
a06ea964
NC
647 }
648 else
649 {
650 if (((word >> 30) & 0x1) == 0)
651 {
652 /* 33222222222211111111110000000000
653 10987654321098765432109876543210
7684e580 654 10011000xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 655 ldrsw. */
c2c4ff8d 656 return 957;
a06ea964
NC
657 }
658 else
659 {
660 /* 33222222222211111111110000000000
661 10987654321098765432109876543210
7684e580 662 11011000xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 663 prfm. */
c2c4ff8d 664 return 958;
a06ea964
NC
665 }
666 }
667 }
668 else
669 {
670 if (((word >> 10) & 0x1) == 0)
671 {
672 if (((word >> 11) & 0x1) == 0)
673 {
ee804238 674 if (((word >> 21) & 0x1) == 0)
a06ea964 675 {
ee804238 676 if (((word >> 23) & 0x1) == 0)
a06ea964 677 {
ee804238 678 if (((word >> 22) & 0x1) == 0)
a06ea964 679 {
ee804238 680 if (((word >> 31) & 0x1) == 0)
a06ea964 681 {
ee804238
JW
682 if (((word >> 30) & 0x1) == 0)
683 {
684 /* 33222222222211111111110000000000
685 10987654321098765432109876543210
7684e580 686 00111000000xxxxxxxxx00xxxxxxxxxx
ee804238 687 sturb. */
c2c4ff8d 688 return 896;
ee804238
JW
689 }
690 else
691 {
692 /* 33222222222211111111110000000000
693 10987654321098765432109876543210
7684e580 694 01111000000xxxxxxxxx00xxxxxxxxxx
ee804238 695 sturh. */
c2c4ff8d 696 return 901;
ee804238 697 }
a06ea964
NC
698 }
699 else
700 {
701 /* 33222222222211111111110000000000
702 10987654321098765432109876543210
7684e580 703 1x111000000xxxxxxxxx00xxxxxxxxxx
ee804238 704 stur. */
c2c4ff8d 705 return 904;
a06ea964
NC
706 }
707 }
708 else
709 {
ee804238
JW
710 if (((word >> 31) & 0x1) == 0)
711 {
712 if (((word >> 30) & 0x1) == 0)
713 {
714 /* 33222222222211111111110000000000
715 10987654321098765432109876543210
7684e580 716 00111000010xxxxxxxxx00xxxxxxxxxx
ee804238 717 ldurb. */
c2c4ff8d 718 return 897;
ee804238
JW
719 }
720 else
721 {
722 /* 33222222222211111111110000000000
723 10987654321098765432109876543210
7684e580 724 01111000010xxxxxxxxx00xxxxxxxxxx
ee804238 725 ldurh. */
c2c4ff8d 726 return 902;
ee804238
JW
727 }
728 }
729 else
730 {
731 /* 33222222222211111111110000000000
732 10987654321098765432109876543210
7684e580 733 1x111000010xxxxxxxxx00xxxxxxxxxx
ee804238 734 ldur. */
c2c4ff8d 735 return 905;
ee804238 736 }
a06ea964
NC
737 }
738 }
739 else
740 {
ee804238 741 if (((word >> 30) & 0x1) == 0)
a06ea964 742 {
ee804238
JW
743 if (((word >> 31) & 0x1) == 0)
744 {
745 /* 33222222222211111111110000000000
746 10987654321098765432109876543210
7684e580 747 001110001x0xxxxxxxxx00xxxxxxxxxx
ee804238 748 ldursb. */
c2c4ff8d 749 return 898;
ee804238
JW
750 }
751 else
752 {
753 /* 33222222222211111111110000000000
754 10987654321098765432109876543210
7684e580 755 101110001x0xxxxxxxxx00xxxxxxxxxx
ee804238 756 ldursw. */
c2c4ff8d 757 return 906;
ee804238
JW
758 }
759 }
760 else
761 {
762 if (((word >> 31) & 0x1) == 0)
763 {
764 /* 33222222222211111111110000000000
765 10987654321098765432109876543210
7684e580 766 011110001x0xxxxxxxxx00xxxxxxxxxx
ee804238 767 ldursh. */
c2c4ff8d 768 return 903;
ee804238
JW
769 }
770 else
a06ea964
NC
771 {
772 /* 33222222222211111111110000000000
773 10987654321098765432109876543210
7684e580 774 111110001x0xxxxxxxxx00xxxxxxxxxx
ee804238 775 prfum. */
c2c4ff8d 776 return 907;
ee804238
JW
777 }
778 }
779 }
780 }
781 else
782 {
783 if (((word >> 12) & 0x1) == 0)
784 {
785 if (((word >> 13) & 0x1) == 0)
786 {
787 if (((word >> 14) & 0x1) == 0)
788 {
789 if (((word >> 15) & 0x1) == 0)
790 {
791 if (((word >> 22) & 0x1) == 0)
792 {
793 if (((word >> 23) & 0x1) == 0)
794 {
795 if (((word >> 31) & 0x1) == 0)
796 {
797 if (((word >> 30) & 0x1) == 0)
798 {
799 /* 33222222222211111111110000000000
800 10987654321098765432109876543210
7684e580 801 00111000001xxxxx000000xxxxxxxxxx
ee804238 802 ldaddb. */
c2c4ff8d 803 return 1006;
ee804238
JW
804 }
805 else
806 {
807 /* 33222222222211111111110000000000
808 10987654321098765432109876543210
7684e580 809 01111000001xxxxx000000xxxxxxxxxx
ee804238 810 ldaddh. */
c2c4ff8d 811 return 1007;
ee804238
JW
812 }
813 }
814 else
815 {
816 /* 33222222222211111111110000000000
817 10987654321098765432109876543210
7684e580 818 1x111000001xxxxx000000xxxxxxxxxx
ee804238 819 ldadd. */
c2c4ff8d 820 return 1008;
ee804238
JW
821 }
822 }
823 else
824 {
825 if (((word >> 31) & 0x1) == 0)
826 {
827 if (((word >> 30) & 0x1) == 0)
828 {
829 /* 33222222222211111111110000000000
830 10987654321098765432109876543210
7684e580 831 00111000101xxxxx000000xxxxxxxxxx
ee804238 832 ldaddab. */
c2c4ff8d 833 return 1009;
ee804238
JW
834 }
835 else
836 {
837 /* 33222222222211111111110000000000
838 10987654321098765432109876543210
7684e580 839 01111000101xxxxx000000xxxxxxxxxx
ee804238 840 ldaddah. */
c2c4ff8d 841 return 1012;
ee804238
JW
842 }
843 }
844 else
845 {
846 /* 33222222222211111111110000000000
847 10987654321098765432109876543210
7684e580 848 1x111000101xxxxx000000xxxxxxxxxx
ee804238 849 ldadda. */
c2c4ff8d 850 return 1015;
ee804238
JW
851 }
852 }
853 }
854 else
855 {
856 if (((word >> 23) & 0x1) == 0)
857 {
858 if (((word >> 31) & 0x1) == 0)
859 {
860 if (((word >> 30) & 0x1) == 0)
861 {
862 /* 33222222222211111111110000000000
863 10987654321098765432109876543210
7684e580 864 00111000011xxxxx000000xxxxxxxxxx
ee804238 865 ldaddlb. */
c2c4ff8d 866 return 1010;
ee804238
JW
867 }
868 else
869 {
870 /* 33222222222211111111110000000000
871 10987654321098765432109876543210
7684e580 872 01111000011xxxxx000000xxxxxxxxxx
ee804238 873 ldaddlh. */
c2c4ff8d 874 return 1013;
ee804238
JW
875 }
876 }
877 else
878 {
879 /* 33222222222211111111110000000000
880 10987654321098765432109876543210
7684e580 881 1x111000011xxxxx000000xxxxxxxxxx
ee804238 882 ldaddl. */
c2c4ff8d 883 return 1016;
ee804238
JW
884 }
885 }
886 else
887 {
888 if (((word >> 31) & 0x1) == 0)
889 {
890 if (((word >> 30) & 0x1) == 0)
891 {
892 /* 33222222222211111111110000000000
893 10987654321098765432109876543210
7684e580 894 00111000111xxxxx000000xxxxxxxxxx
ee804238 895 ldaddalb. */
c2c4ff8d 896 return 1011;
ee804238
JW
897 }
898 else
899 {
900 /* 33222222222211111111110000000000
901 10987654321098765432109876543210
7684e580 902 01111000111xxxxx000000xxxxxxxxxx
ee804238 903 ldaddalh. */
c2c4ff8d 904 return 1014;
ee804238
JW
905 }
906 }
907 else
908 {
909 /* 33222222222211111111110000000000
910 10987654321098765432109876543210
7684e580 911 1x111000111xxxxx000000xxxxxxxxxx
ee804238 912 ldaddal. */
c2c4ff8d 913 return 1017;
ee804238
JW
914 }
915 }
916 }
917 }
918 else
919 {
920 if (((word >> 22) & 0x1) == 0)
921 {
922 if (((word >> 23) & 0x1) == 0)
923 {
924 if (((word >> 31) & 0x1) == 0)
925 {
926 if (((word >> 30) & 0x1) == 0)
927 {
928 /* 33222222222211111111110000000000
929 10987654321098765432109876543210
7684e580 930 00111000001xxxxx100000xxxxxxxxxx
ee804238 931 swpb. */
c2c4ff8d 932 return 994;
ee804238
JW
933 }
934 else
935 {
936 /* 33222222222211111111110000000000
937 10987654321098765432109876543210
7684e580 938 01111000001xxxxx100000xxxxxxxxxx
ee804238 939 swph. */
c2c4ff8d 940 return 995;
ee804238
JW
941 }
942 }
943 else
944 {
945 /* 33222222222211111111110000000000
946 10987654321098765432109876543210
7684e580 947 1x111000001xxxxx100000xxxxxxxxxx
ee804238 948 swp. */
c2c4ff8d 949 return 996;
ee804238
JW
950 }
951 }
952 else
953 {
954 if (((word >> 31) & 0x1) == 0)
955 {
956 if (((word >> 30) & 0x1) == 0)
957 {
958 /* 33222222222211111111110000000000
959 10987654321098765432109876543210
7684e580 960 00111000101xxxxx100000xxxxxxxxxx
ee804238 961 swpab. */
c2c4ff8d 962 return 997;
ee804238
JW
963 }
964 else
965 {
966 /* 33222222222211111111110000000000
967 10987654321098765432109876543210
7684e580 968 01111000101xxxxx100000xxxxxxxxxx
ee804238 969 swpah. */
c2c4ff8d 970 return 1000;
ee804238
JW
971 }
972 }
973 else
974 {
975 /* 33222222222211111111110000000000
976 10987654321098765432109876543210
7684e580 977 1x111000101xxxxx100000xxxxxxxxxx
ee804238 978 swpa. */
c2c4ff8d 979 return 1003;
ee804238
JW
980 }
981 }
982 }
983 else
984 {
985 if (((word >> 23) & 0x1) == 0)
986 {
987 if (((word >> 31) & 0x1) == 0)
988 {
989 if (((word >> 30) & 0x1) == 0)
990 {
991 /* 33222222222211111111110000000000
992 10987654321098765432109876543210
7684e580 993 00111000011xxxxx100000xxxxxxxxxx
ee804238 994 swplb. */
c2c4ff8d 995 return 998;
ee804238
JW
996 }
997 else
998 {
999 /* 33222222222211111111110000000000
1000 10987654321098765432109876543210
7684e580 1001 01111000011xxxxx100000xxxxxxxxxx
ee804238 1002 swplh. */
c2c4ff8d 1003 return 1001;
ee804238
JW
1004 }
1005 }
1006 else
1007 {
1008 /* 33222222222211111111110000000000
1009 10987654321098765432109876543210
7684e580 1010 1x111000011xxxxx100000xxxxxxxxxx
ee804238 1011 swpl. */
c2c4ff8d 1012 return 1004;
ee804238
JW
1013 }
1014 }
1015 else
1016 {
1017 if (((word >> 31) & 0x1) == 0)
1018 {
1019 if (((word >> 30) & 0x1) == 0)
1020 {
1021 /* 33222222222211111111110000000000
1022 10987654321098765432109876543210
7684e580 1023 00111000111xxxxx100000xxxxxxxxxx
ee804238 1024 swpalb. */
c2c4ff8d 1025 return 999;
ee804238
JW
1026 }
1027 else
1028 {
1029 /* 33222222222211111111110000000000
1030 10987654321098765432109876543210
7684e580 1031 01111000111xxxxx100000xxxxxxxxxx
ee804238 1032 swpalh. */
c2c4ff8d 1033 return 1002;
ee804238
JW
1034 }
1035 }
1036 else
1037 {
1038 /* 33222222222211111111110000000000
1039 10987654321098765432109876543210
7684e580 1040 1x111000111xxxxx100000xxxxxxxxxx
ee804238 1041 swpal. */
c2c4ff8d 1042 return 1005;
ee804238
JW
1043 }
1044 }
1045 }
1046 }
1047 }
1048 else
1049 {
28617675 1050 if (((word >> 15) & 0x1) == 0)
ee804238 1051 {
28617675 1052 if (((word >> 22) & 0x1) == 0)
ee804238 1053 {
28617675 1054 if (((word >> 23) & 0x1) == 0)
ee804238 1055 {
28617675 1056 if (((word >> 31) & 0x1) == 0)
ee804238 1057 {
28617675
SN
1058 if (((word >> 30) & 0x1) == 0)
1059 {
1060 /* 33222222222211111111110000000000
1061 10987654321098765432109876543210
7684e580 1062 00111000001xxxxx010000xxxxxxxxxx
28617675 1063 ldsmaxb. */
c2c4ff8d 1064 return 1054;
28617675
SN
1065 }
1066 else
1067 {
1068 /* 33222222222211111111110000000000
1069 10987654321098765432109876543210
7684e580 1070 01111000001xxxxx010000xxxxxxxxxx
28617675 1071 ldsmaxh. */
c2c4ff8d 1072 return 1055;
28617675 1073 }
ee804238
JW
1074 }
1075 else
1076 {
1077 /* 33222222222211111111110000000000
1078 10987654321098765432109876543210
7684e580 1079 1x111000001xxxxx010000xxxxxxxxxx
28617675 1080 ldsmax. */
c2c4ff8d 1081 return 1056;
ee804238
JW
1082 }
1083 }
1084 else
1085 {
28617675 1086 if (((word >> 31) & 0x1) == 0)
ee804238 1087 {
28617675
SN
1088 if (((word >> 30) & 0x1) == 0)
1089 {
1090 /* 33222222222211111111110000000000
1091 10987654321098765432109876543210
7684e580 1092 00111000101xxxxx010000xxxxxxxxxx
28617675 1093 ldsmaxab. */
c2c4ff8d 1094 return 1057;
28617675
SN
1095 }
1096 else
1097 {
1098 /* 33222222222211111111110000000000
1099 10987654321098765432109876543210
7684e580 1100 01111000101xxxxx010000xxxxxxxxxx
28617675 1101 ldsmaxah. */
c2c4ff8d 1102 return 1060;
28617675 1103 }
ee804238
JW
1104 }
1105 else
1106 {
1107 /* 33222222222211111111110000000000
1108 10987654321098765432109876543210
7684e580 1109 1x111000101xxxxx010000xxxxxxxxxx
28617675 1110 ldsmaxa. */
c2c4ff8d 1111 return 1063;
ee804238
JW
1112 }
1113 }
ee804238 1114 }
28617675 1115 else
ee804238 1116 {
28617675 1117 if (((word >> 23) & 0x1) == 0)
ee804238 1118 {
28617675 1119 if (((word >> 31) & 0x1) == 0)
ee804238 1120 {
28617675
SN
1121 if (((word >> 30) & 0x1) == 0)
1122 {
1123 /* 33222222222211111111110000000000
1124 10987654321098765432109876543210
7684e580 1125 00111000011xxxxx010000xxxxxxxxxx
28617675 1126 ldsmaxlb. */
c2c4ff8d 1127 return 1058;
28617675
SN
1128 }
1129 else
1130 {
1131 /* 33222222222211111111110000000000
1132 10987654321098765432109876543210
7684e580 1133 01111000011xxxxx010000xxxxxxxxxx
28617675 1134 ldsmaxlh. */
c2c4ff8d 1135 return 1061;
28617675 1136 }
ee804238
JW
1137 }
1138 else
1139 {
1140 /* 33222222222211111111110000000000
1141 10987654321098765432109876543210
7684e580 1142 1x111000011xxxxx010000xxxxxxxxxx
28617675 1143 ldsmaxl. */
c2c4ff8d 1144 return 1064;
ee804238
JW
1145 }
1146 }
1147 else
1148 {
28617675 1149 if (((word >> 31) & 0x1) == 0)
ee804238 1150 {
28617675
SN
1151 if (((word >> 30) & 0x1) == 0)
1152 {
1153 /* 33222222222211111111110000000000
1154 10987654321098765432109876543210
7684e580 1155 00111000111xxxxx010000xxxxxxxxxx
28617675 1156 ldsmaxalb. */
c2c4ff8d 1157 return 1059;
28617675
SN
1158 }
1159 else
1160 {
1161 /* 33222222222211111111110000000000
1162 10987654321098765432109876543210
7684e580 1163 01111000111xxxxx010000xxxxxxxxxx
28617675 1164 ldsmaxalh. */
c2c4ff8d 1165 return 1062;
28617675 1166 }
ee804238
JW
1167 }
1168 else
1169 {
1170 /* 33222222222211111111110000000000
1171 10987654321098765432109876543210
7684e580 1172 1x111000111xxxxx010000xxxxxxxxxx
28617675 1173 ldsmaxal. */
c2c4ff8d 1174 return 1065;
ee804238
JW
1175 }
1176 }
28617675
SN
1177 }
1178 }
1179 else
1180 {
1181 if (((word >> 31) & 0x1) == 0)
1182 {
1183 if (((word >> 30) & 0x1) == 0)
1184 {
1185 /* 33222222222211111111110000000000
1186 10987654321098765432109876543210
7684e580 1187 00111000xx1xxxxx110000xxxxxxxxxx
28617675 1188 ldaprb. */
c2c4ff8d 1189 return 932;
28617675 1190 }
ee804238
JW
1191 else
1192 {
1193 /* 33222222222211111111110000000000
1194 10987654321098765432109876543210
7684e580 1195 01111000xx1xxxxx110000xxxxxxxxxx
28617675 1196 ldaprh. */
c2c4ff8d 1197 return 933;
ee804238
JW
1198 }
1199 }
28617675
SN
1200 else
1201 {
1202 /* 33222222222211111111110000000000
1203 10987654321098765432109876543210
7684e580 1204 1x111000xx1xxxxx110000xxxxxxxxxx
28617675 1205 ldapr. */
c2c4ff8d 1206 return 934;
28617675 1207 }
ee804238
JW
1208 }
1209 }
1210 }
1211 else
1212 {
1213 if (((word >> 14) & 0x1) == 0)
1214 {
1215 if (((word >> 22) & 0x1) == 0)
1216 {
1217 if (((word >> 23) & 0x1) == 0)
1218 {
1219 if (((word >> 31) & 0x1) == 0)
1220 {
1221 if (((word >> 30) & 0x1) == 0)
1222 {
1223 /* 33222222222211111111110000000000
1224 10987654321098765432109876543210
7684e580 1225 00111000001xxxxxx01000xxxxxxxxxx
ee804238 1226 ldeorb. */
c2c4ff8d 1227 return 1030;
ee804238
JW
1228 }
1229 else
1230 {
1231 /* 33222222222211111111110000000000
1232 10987654321098765432109876543210
7684e580 1233 01111000001xxxxxx01000xxxxxxxxxx
ee804238 1234 ldeorh. */
c2c4ff8d 1235 return 1031;
ee804238
JW
1236 }
1237 }
1238 else
1239 {
1240 /* 33222222222211111111110000000000
1241 10987654321098765432109876543210
7684e580 1242 1x111000001xxxxxx01000xxxxxxxxxx
ee804238 1243 ldeor. */
c2c4ff8d 1244 return 1032;
ee804238
JW
1245 }
1246 }
1247 else
1248 {
1249 if (((word >> 31) & 0x1) == 0)
1250 {
1251 if (((word >> 30) & 0x1) == 0)
1252 {
1253 /* 33222222222211111111110000000000
1254 10987654321098765432109876543210
7684e580 1255 00111000101xxxxxx01000xxxxxxxxxx
ee804238 1256 ldeorab. */
c2c4ff8d 1257 return 1033;
ee804238
JW
1258 }
1259 else
1260 {
1261 /* 33222222222211111111110000000000
1262 10987654321098765432109876543210
7684e580 1263 01111000101xxxxxx01000xxxxxxxxxx
ee804238 1264 ldeorah. */
c2c4ff8d 1265 return 1036;
ee804238
JW
1266 }
1267 }
1268 else
1269 {
1270 /* 33222222222211111111110000000000
1271 10987654321098765432109876543210
7684e580 1272 1x111000101xxxxxx01000xxxxxxxxxx
ee804238 1273 ldeora. */
c2c4ff8d 1274 return 1039;
ee804238
JW
1275 }
1276 }
1277 }
1278 else
1279 {
1280 if (((word >> 23) & 0x1) == 0)
1281 {
1282 if (((word >> 31) & 0x1) == 0)
1283 {
1284 if (((word >> 30) & 0x1) == 0)
1285 {
1286 /* 33222222222211111111110000000000
1287 10987654321098765432109876543210
7684e580 1288 00111000011xxxxxx01000xxxxxxxxxx
ee804238 1289 ldeorlb. */
c2c4ff8d 1290 return 1034;
ee804238
JW
1291 }
1292 else
1293 {
1294 /* 33222222222211111111110000000000
1295 10987654321098765432109876543210
7684e580 1296 01111000011xxxxxx01000xxxxxxxxxx
ee804238 1297 ldeorlh. */
c2c4ff8d 1298 return 1037;
ee804238
JW
1299 }
1300 }
1301 else
1302 {
1303 /* 33222222222211111111110000000000
1304 10987654321098765432109876543210
7684e580 1305 1x111000011xxxxxx01000xxxxxxxxxx
ee804238 1306 ldeorl. */
c2c4ff8d 1307 return 1040;
ee804238
JW
1308 }
1309 }
1310 else
1311 {
1312 if (((word >> 31) & 0x1) == 0)
1313 {
1314 if (((word >> 30) & 0x1) == 0)
1315 {
1316 /* 33222222222211111111110000000000
1317 10987654321098765432109876543210
7684e580 1318 00111000111xxxxxx01000xxxxxxxxxx
ee804238 1319 ldeoralb. */
c2c4ff8d 1320 return 1035;
ee804238
JW
1321 }
1322 else
1323 {
1324 /* 33222222222211111111110000000000
1325 10987654321098765432109876543210
7684e580 1326 01111000111xxxxxx01000xxxxxxxxxx
ee804238 1327 ldeoralh. */
c2c4ff8d 1328 return 1038;
ee804238
JW
1329 }
1330 }
1331 else
1332 {
1333 /* 33222222222211111111110000000000
1334 10987654321098765432109876543210
7684e580 1335 1x111000111xxxxxx01000xxxxxxxxxx
ee804238 1336 ldeoral. */
c2c4ff8d 1337 return 1041;
ee804238
JW
1338 }
1339 }
1340 }
1341 }
1342 else
1343 {
1344 if (((word >> 22) & 0x1) == 0)
1345 {
1346 if (((word >> 23) & 0x1) == 0)
1347 {
1348 if (((word >> 31) & 0x1) == 0)
1349 {
1350 if (((word >> 30) & 0x1) == 0)
1351 {
1352 /* 33222222222211111111110000000000
1353 10987654321098765432109876543210
7684e580 1354 00111000001xxxxxx11000xxxxxxxxxx
ee804238 1355 ldumaxb. */
c2c4ff8d 1356 return 1078;
ee804238
JW
1357 }
1358 else
1359 {
1360 /* 33222222222211111111110000000000
1361 10987654321098765432109876543210
7684e580 1362 01111000001xxxxxx11000xxxxxxxxxx
ee804238 1363 ldumaxh. */
c2c4ff8d 1364 return 1079;
ee804238
JW
1365 }
1366 }
1367 else
1368 {
1369 /* 33222222222211111111110000000000
1370 10987654321098765432109876543210
7684e580 1371 1x111000001xxxxxx11000xxxxxxxxxx
ee804238 1372 ldumax. */
c2c4ff8d 1373 return 1080;
ee804238
JW
1374 }
1375 }
1376 else
1377 {
1378 if (((word >> 31) & 0x1) == 0)
1379 {
1380 if (((word >> 30) & 0x1) == 0)
1381 {
1382 /* 33222222222211111111110000000000
1383 10987654321098765432109876543210
7684e580 1384 00111000101xxxxxx11000xxxxxxxxxx
ee804238 1385 ldumaxab. */
c2c4ff8d 1386 return 1081;
ee804238
JW
1387 }
1388 else
1389 {
1390 /* 33222222222211111111110000000000
1391 10987654321098765432109876543210
7684e580 1392 01111000101xxxxxx11000xxxxxxxxxx
ee804238 1393 ldumaxah. */
c2c4ff8d 1394 return 1084;
ee804238
JW
1395 }
1396 }
1397 else
1398 {
1399 /* 33222222222211111111110000000000
1400 10987654321098765432109876543210
7684e580 1401 1x111000101xxxxxx11000xxxxxxxxxx
ee804238 1402 ldumaxa. */
c2c4ff8d 1403 return 1087;
ee804238
JW
1404 }
1405 }
1406 }
1407 else
1408 {
1409 if (((word >> 23) & 0x1) == 0)
1410 {
1411 if (((word >> 31) & 0x1) == 0)
1412 {
1413 if (((word >> 30) & 0x1) == 0)
1414 {
1415 /* 33222222222211111111110000000000
1416 10987654321098765432109876543210
7684e580 1417 00111000011xxxxxx11000xxxxxxxxxx
ee804238 1418 ldumaxlb. */
c2c4ff8d 1419 return 1082;
ee804238
JW
1420 }
1421 else
1422 {
1423 /* 33222222222211111111110000000000
1424 10987654321098765432109876543210
7684e580 1425 01111000011xxxxxx11000xxxxxxxxxx
ee804238 1426 ldumaxlh. */
c2c4ff8d 1427 return 1085;
ee804238
JW
1428 }
1429 }
1430 else
1431 {
1432 /* 33222222222211111111110000000000
1433 10987654321098765432109876543210
7684e580 1434 1x111000011xxxxxx11000xxxxxxxxxx
ee804238 1435 ldumaxl. */
c2c4ff8d 1436 return 1088;
ee804238
JW
1437 }
1438 }
1439 else
1440 {
1441 if (((word >> 31) & 0x1) == 0)
1442 {
1443 if (((word >> 30) & 0x1) == 0)
1444 {
1445 /* 33222222222211111111110000000000
1446 10987654321098765432109876543210
7684e580 1447 00111000111xxxxxx11000xxxxxxxxxx
ee804238 1448 ldumaxalb. */
c2c4ff8d 1449 return 1083;
ee804238
JW
1450 }
1451 else
1452 {
1453 /* 33222222222211111111110000000000
1454 10987654321098765432109876543210
7684e580 1455 01111000111xxxxxx11000xxxxxxxxxx
ee804238 1456 ldumaxalh. */
c2c4ff8d 1457 return 1086;
ee804238
JW
1458 }
1459 }
1460 else
1461 {
1462 /* 33222222222211111111110000000000
1463 10987654321098765432109876543210
7684e580 1464 1x111000111xxxxxx11000xxxxxxxxxx
ee804238 1465 ldumaxal. */
c2c4ff8d 1466 return 1089;
ee804238
JW
1467 }
1468 }
1469 }
1470 }
1471 }
1472 }
1473 else
1474 {
1475 if (((word >> 13) & 0x1) == 0)
1476 {
1477 if (((word >> 14) & 0x1) == 0)
1478 {
1479 if (((word >> 22) & 0x1) == 0)
1480 {
1481 if (((word >> 23) & 0x1) == 0)
1482 {
1483 if (((word >> 31) & 0x1) == 0)
1484 {
1485 if (((word >> 30) & 0x1) == 0)
1486 {
1487 /* 33222222222211111111110000000000
1488 10987654321098765432109876543210
7684e580 1489 00111000001xxxxxx00100xxxxxxxxxx
ee804238 1490 ldclrb. */
c2c4ff8d 1491 return 1018;
ee804238
JW
1492 }
1493 else
1494 {
1495 /* 33222222222211111111110000000000
1496 10987654321098765432109876543210
7684e580 1497 01111000001xxxxxx00100xxxxxxxxxx
ee804238 1498 ldclrh. */
c2c4ff8d 1499 return 1019;
ee804238
JW
1500 }
1501 }
1502 else
1503 {
1504 /* 33222222222211111111110000000000
1505 10987654321098765432109876543210
7684e580 1506 1x111000001xxxxxx00100xxxxxxxxxx
ee804238 1507 ldclr. */
c2c4ff8d 1508 return 1020;
ee804238
JW
1509 }
1510 }
1511 else
1512 {
1513 if (((word >> 31) & 0x1) == 0)
1514 {
1515 if (((word >> 30) & 0x1) == 0)
1516 {
1517 /* 33222222222211111111110000000000
1518 10987654321098765432109876543210
7684e580 1519 00111000101xxxxxx00100xxxxxxxxxx
ee804238 1520 ldclrab. */
c2c4ff8d 1521 return 1021;
ee804238
JW
1522 }
1523 else
1524 {
1525 /* 33222222222211111111110000000000
1526 10987654321098765432109876543210
7684e580 1527 01111000101xxxxxx00100xxxxxxxxxx
ee804238 1528 ldclrah. */
c2c4ff8d 1529 return 1024;
ee804238
JW
1530 }
1531 }
1532 else
1533 {
1534 /* 33222222222211111111110000000000
1535 10987654321098765432109876543210
7684e580 1536 1x111000101xxxxxx00100xxxxxxxxxx
ee804238 1537 ldclra. */
c2c4ff8d 1538 return 1027;
ee804238
JW
1539 }
1540 }
1541 }
1542 else
1543 {
1544 if (((word >> 23) & 0x1) == 0)
1545 {
1546 if (((word >> 31) & 0x1) == 0)
1547 {
1548 if (((word >> 30) & 0x1) == 0)
1549 {
1550 /* 33222222222211111111110000000000
1551 10987654321098765432109876543210
7684e580 1552 00111000011xxxxxx00100xxxxxxxxxx
ee804238 1553 ldclrlb. */
c2c4ff8d 1554 return 1022;
ee804238
JW
1555 }
1556 else
1557 {
1558 /* 33222222222211111111110000000000
1559 10987654321098765432109876543210
7684e580 1560 01111000011xxxxxx00100xxxxxxxxxx
ee804238 1561 ldclrlh. */
c2c4ff8d 1562 return 1025;
ee804238
JW
1563 }
1564 }
1565 else
1566 {
1567 /* 33222222222211111111110000000000
1568 10987654321098765432109876543210
7684e580 1569 1x111000011xxxxxx00100xxxxxxxxxx
ee804238 1570 ldclrl. */
c2c4ff8d 1571 return 1028;
ee804238
JW
1572 }
1573 }
1574 else
1575 {
1576 if (((word >> 31) & 0x1) == 0)
1577 {
1578 if (((word >> 30) & 0x1) == 0)
1579 {
1580 /* 33222222222211111111110000000000
1581 10987654321098765432109876543210
7684e580 1582 00111000111xxxxxx00100xxxxxxxxxx
ee804238 1583 ldclralb. */
c2c4ff8d 1584 return 1023;
ee804238
JW
1585 }
1586 else
1587 {
1588 /* 33222222222211111111110000000000
1589 10987654321098765432109876543210
7684e580 1590 01111000111xxxxxx00100xxxxxxxxxx
ee804238 1591 ldclralh. */
c2c4ff8d 1592 return 1026;
ee804238
JW
1593 }
1594 }
1595 else
1596 {
1597 /* 33222222222211111111110000000000
1598 10987654321098765432109876543210
7684e580 1599 1x111000111xxxxxx00100xxxxxxxxxx
ee804238 1600 ldclral. */
c2c4ff8d 1601 return 1029;
ee804238
JW
1602 }
1603 }
1604 }
1605 }
1606 else
1607 {
1608 if (((word >> 22) & 0x1) == 0)
1609 {
1610 if (((word >> 23) & 0x1) == 0)
1611 {
1612 if (((word >> 31) & 0x1) == 0)
1613 {
1614 if (((word >> 30) & 0x1) == 0)
1615 {
1616 /* 33222222222211111111110000000000
1617 10987654321098765432109876543210
7684e580 1618 00111000001xxxxxx10100xxxxxxxxxx
ee804238 1619 ldsminb. */
c2c4ff8d 1620 return 1066;
ee804238
JW
1621 }
1622 else
1623 {
1624 /* 33222222222211111111110000000000
1625 10987654321098765432109876543210
7684e580 1626 01111000001xxxxxx10100xxxxxxxxxx
ee804238 1627 ldsminh. */
c2c4ff8d 1628 return 1067;
ee804238
JW
1629 }
1630 }
1631 else
1632 {
1633 /* 33222222222211111111110000000000
1634 10987654321098765432109876543210
7684e580 1635 1x111000001xxxxxx10100xxxxxxxxxx
ee804238 1636 ldsmin. */
c2c4ff8d 1637 return 1068;
ee804238
JW
1638 }
1639 }
1640 else
1641 {
1642 if (((word >> 31) & 0x1) == 0)
1643 {
1644 if (((word >> 30) & 0x1) == 0)
1645 {
1646 /* 33222222222211111111110000000000
1647 10987654321098765432109876543210
7684e580 1648 00111000101xxxxxx10100xxxxxxxxxx
ee804238 1649 ldsminab. */
c2c4ff8d 1650 return 1069;
ee804238
JW
1651 }
1652 else
1653 {
1654 /* 33222222222211111111110000000000
1655 10987654321098765432109876543210
7684e580 1656 01111000101xxxxxx10100xxxxxxxxxx
ee804238 1657 ldsminah. */
c2c4ff8d 1658 return 1072;
ee804238
JW
1659 }
1660 }
1661 else
1662 {
1663 /* 33222222222211111111110000000000
1664 10987654321098765432109876543210
7684e580 1665 1x111000101xxxxxx10100xxxxxxxxxx
ee804238 1666 ldsmina. */
c2c4ff8d 1667 return 1075;
ee804238
JW
1668 }
1669 }
1670 }
1671 else
1672 {
1673 if (((word >> 23) & 0x1) == 0)
1674 {
1675 if (((word >> 31) & 0x1) == 0)
1676 {
1677 if (((word >> 30) & 0x1) == 0)
1678 {
1679 /* 33222222222211111111110000000000
1680 10987654321098765432109876543210
7684e580 1681 00111000011xxxxxx10100xxxxxxxxxx
ee804238 1682 ldsminlb. */
c2c4ff8d 1683 return 1070;
ee804238
JW
1684 }
1685 else
1686 {
1687 /* 33222222222211111111110000000000
1688 10987654321098765432109876543210
7684e580 1689 01111000011xxxxxx10100xxxxxxxxxx
ee804238 1690 ldsminlh. */
c2c4ff8d 1691 return 1073;
ee804238
JW
1692 }
1693 }
1694 else
1695 {
1696 /* 33222222222211111111110000000000
1697 10987654321098765432109876543210
7684e580 1698 1x111000011xxxxxx10100xxxxxxxxxx
ee804238 1699 ldsminl. */
c2c4ff8d 1700 return 1076;
ee804238
JW
1701 }
1702 }
1703 else
1704 {
1705 if (((word >> 31) & 0x1) == 0)
1706 {
1707 if (((word >> 30) & 0x1) == 0)
1708 {
1709 /* 33222222222211111111110000000000
1710 10987654321098765432109876543210
7684e580 1711 00111000111xxxxxx10100xxxxxxxxxx
ee804238 1712 ldsminalb. */
c2c4ff8d 1713 return 1071;
ee804238
JW
1714 }
1715 else
1716 {
1717 /* 33222222222211111111110000000000
1718 10987654321098765432109876543210
7684e580 1719 01111000111xxxxxx10100xxxxxxxxxx
ee804238 1720 ldsminalh. */
c2c4ff8d 1721 return 1074;
ee804238
JW
1722 }
1723 }
1724 else
1725 {
1726 /* 33222222222211111111110000000000
1727 10987654321098765432109876543210
7684e580 1728 1x111000111xxxxxx10100xxxxxxxxxx
ee804238 1729 ldsminal. */
c2c4ff8d 1730 return 1077;
ee804238
JW
1731 }
1732 }
1733 }
1734 }
1735 }
1736 else
1737 {
1738 if (((word >> 14) & 0x1) == 0)
1739 {
1740 if (((word >> 22) & 0x1) == 0)
1741 {
1742 if (((word >> 23) & 0x1) == 0)
1743 {
1744 if (((word >> 31) & 0x1) == 0)
1745 {
1746 if (((word >> 30) & 0x1) == 0)
1747 {
1748 /* 33222222222211111111110000000000
1749 10987654321098765432109876543210
7684e580 1750 00111000001xxxxxx01100xxxxxxxxxx
ee804238 1751 ldsetb. */
c2c4ff8d 1752 return 1042;
ee804238
JW
1753 }
1754 else
1755 {
1756 /* 33222222222211111111110000000000
1757 10987654321098765432109876543210
7684e580 1758 01111000001xxxxxx01100xxxxxxxxxx
ee804238 1759 ldseth. */
c2c4ff8d 1760 return 1043;
ee804238
JW
1761 }
1762 }
1763 else
1764 {
1765 /* 33222222222211111111110000000000
1766 10987654321098765432109876543210
7684e580 1767 1x111000001xxxxxx01100xxxxxxxxxx
ee804238 1768 ldset. */
c2c4ff8d 1769 return 1044;
ee804238
JW
1770 }
1771 }
1772 else
1773 {
1774 if (((word >> 31) & 0x1) == 0)
1775 {
1776 if (((word >> 30) & 0x1) == 0)
1777 {
1778 /* 33222222222211111111110000000000
1779 10987654321098765432109876543210
7684e580 1780 00111000101xxxxxx01100xxxxxxxxxx
ee804238 1781 ldsetab. */
c2c4ff8d 1782 return 1045;
ee804238
JW
1783 }
1784 else
1785 {
1786 /* 33222222222211111111110000000000
1787 10987654321098765432109876543210
7684e580 1788 01111000101xxxxxx01100xxxxxxxxxx
ee804238 1789 ldsetah. */
c2c4ff8d 1790 return 1048;
ee804238
JW
1791 }
1792 }
1793 else
1794 {
1795 /* 33222222222211111111110000000000
1796 10987654321098765432109876543210
7684e580 1797 1x111000101xxxxxx01100xxxxxxxxxx
ee804238 1798 ldseta. */
c2c4ff8d 1799 return 1051;
ee804238
JW
1800 }
1801 }
1802 }
1803 else
1804 {
1805 if (((word >> 23) & 0x1) == 0)
1806 {
1807 if (((word >> 31) & 0x1) == 0)
1808 {
1809 if (((word >> 30) & 0x1) == 0)
1810 {
1811 /* 33222222222211111111110000000000
1812 10987654321098765432109876543210
7684e580 1813 00111000011xxxxxx01100xxxxxxxxxx
ee804238 1814 ldsetlb. */
c2c4ff8d 1815 return 1046;
ee804238
JW
1816 }
1817 else
1818 {
1819 /* 33222222222211111111110000000000
1820 10987654321098765432109876543210
7684e580 1821 01111000011xxxxxx01100xxxxxxxxxx
ee804238 1822 ldsetlh. */
c2c4ff8d 1823 return 1049;
ee804238
JW
1824 }
1825 }
1826 else
1827 {
1828 /* 33222222222211111111110000000000
1829 10987654321098765432109876543210
7684e580 1830 1x111000011xxxxxx01100xxxxxxxxxx
ee804238 1831 ldsetl. */
c2c4ff8d 1832 return 1052;
ee804238
JW
1833 }
1834 }
1835 else
1836 {
1837 if (((word >> 31) & 0x1) == 0)
1838 {
1839 if (((word >> 30) & 0x1) == 0)
1840 {
1841 /* 33222222222211111111110000000000
1842 10987654321098765432109876543210
7684e580 1843 00111000111xxxxxx01100xxxxxxxxxx
ee804238 1844 ldsetalb. */
c2c4ff8d 1845 return 1047;
ee804238
JW
1846 }
1847 else
1848 {
1849 /* 33222222222211111111110000000000
1850 10987654321098765432109876543210
7684e580 1851 01111000111xxxxxx01100xxxxxxxxxx
ee804238 1852 ldsetalh. */
c2c4ff8d 1853 return 1050;
ee804238
JW
1854 }
1855 }
1856 else
1857 {
1858 /* 33222222222211111111110000000000
1859 10987654321098765432109876543210
7684e580 1860 1x111000111xxxxxx01100xxxxxxxxxx
ee804238 1861 ldsetal. */
c2c4ff8d 1862 return 1053;
ee804238
JW
1863 }
1864 }
1865 }
a06ea964
NC
1866 }
1867 else
1868 {
ee804238
JW
1869 if (((word >> 22) & 0x1) == 0)
1870 {
1871 if (((word >> 23) & 0x1) == 0)
1872 {
1873 if (((word >> 31) & 0x1) == 0)
1874 {
1875 if (((word >> 30) & 0x1) == 0)
1876 {
1877 /* 33222222222211111111110000000000
1878 10987654321098765432109876543210
7684e580 1879 00111000001xxxxxx11100xxxxxxxxxx
ee804238 1880 lduminb. */
c2c4ff8d 1881 return 1090;
ee804238
JW
1882 }
1883 else
1884 {
1885 /* 33222222222211111111110000000000
1886 10987654321098765432109876543210
7684e580 1887 01111000001xxxxxx11100xxxxxxxxxx
ee804238 1888 lduminh. */
c2c4ff8d 1889 return 1091;
ee804238
JW
1890 }
1891 }
1892 else
1893 {
1894 /* 33222222222211111111110000000000
1895 10987654321098765432109876543210
7684e580 1896 1x111000001xxxxxx11100xxxxxxxxxx
ee804238 1897 ldumin. */
c2c4ff8d 1898 return 1092;
ee804238
JW
1899 }
1900 }
1901 else
1902 {
1903 if (((word >> 31) & 0x1) == 0)
1904 {
1905 if (((word >> 30) & 0x1) == 0)
1906 {
1907 /* 33222222222211111111110000000000
1908 10987654321098765432109876543210
7684e580 1909 00111000101xxxxxx11100xxxxxxxxxx
ee804238 1910 lduminab. */
c2c4ff8d 1911 return 1093;
ee804238
JW
1912 }
1913 else
1914 {
1915 /* 33222222222211111111110000000000
1916 10987654321098765432109876543210
7684e580 1917 01111000101xxxxxx11100xxxxxxxxxx
ee804238 1918 lduminah. */
c2c4ff8d 1919 return 1096;
ee804238
JW
1920 }
1921 }
1922 else
1923 {
1924 /* 33222222222211111111110000000000
1925 10987654321098765432109876543210
7684e580 1926 1x111000101xxxxxx11100xxxxxxxxxx
ee804238 1927 ldumina. */
c2c4ff8d 1928 return 1099;
ee804238
JW
1929 }
1930 }
1931 }
1932 else
1933 {
1934 if (((word >> 23) & 0x1) == 0)
1935 {
1936 if (((word >> 31) & 0x1) == 0)
1937 {
1938 if (((word >> 30) & 0x1) == 0)
1939 {
1940 /* 33222222222211111111110000000000
1941 10987654321098765432109876543210
7684e580 1942 00111000011xxxxxx11100xxxxxxxxxx
ee804238 1943 lduminlb. */
c2c4ff8d 1944 return 1094;
ee804238
JW
1945 }
1946 else
1947 {
1948 /* 33222222222211111111110000000000
1949 10987654321098765432109876543210
7684e580 1950 01111000011xxxxxx11100xxxxxxxxxx
ee804238 1951 lduminlh. */
c2c4ff8d 1952 return 1097;
ee804238
JW
1953 }
1954 }
1955 else
1956 {
1957 /* 33222222222211111111110000000000
1958 10987654321098765432109876543210
7684e580 1959 1x111000011xxxxxx11100xxxxxxxxxx
ee804238 1960 lduminl. */
c2c4ff8d 1961 return 1100;
ee804238
JW
1962 }
1963 }
1964 else
1965 {
1966 if (((word >> 31) & 0x1) == 0)
1967 {
1968 if (((word >> 30) & 0x1) == 0)
1969 {
1970 /* 33222222222211111111110000000000
1971 10987654321098765432109876543210
7684e580 1972 00111000111xxxxxx11100xxxxxxxxxx
ee804238 1973 lduminalb. */
c2c4ff8d 1974 return 1095;
ee804238
JW
1975 }
1976 else
1977 {
1978 /* 33222222222211111111110000000000
1979 10987654321098765432109876543210
7684e580 1980 01111000111xxxxxx11100xxxxxxxxxx
ee804238 1981 lduminalh. */
c2c4ff8d 1982 return 1098;
ee804238
JW
1983 }
1984 }
1985 else
1986 {
1987 /* 33222222222211111111110000000000
1988 10987654321098765432109876543210
7684e580 1989 1x111000111xxxxxx11100xxxxxxxxxx
ee804238 1990 lduminal. */
c2c4ff8d 1991 return 1101;
ee804238
JW
1992 }
1993 }
1994 }
a06ea964
NC
1995 }
1996 }
a06ea964
NC
1997 }
1998 }
1999 }
2000 else
2001 {
2002 if (((word >> 21) & 0x1) == 0)
2003 {
2004 if (((word >> 23) & 0x1) == 0)
2005 {
2006 if (((word >> 22) & 0x1) == 0)
2007 {
2008 if (((word >> 31) & 0x1) == 0)
2009 {
2010 if (((word >> 30) & 0x1) == 0)
2011 {
2012 /* 33222222222211111111110000000000
2013 10987654321098765432109876543210
7684e580 2014 00111000000xxxxxxxxx10xxxxxxxxxx
a06ea964 2015 sttrb. */
c2c4ff8d 2016 return 887;
a06ea964
NC
2017 }
2018 else
2019 {
2020 /* 33222222222211111111110000000000
2021 10987654321098765432109876543210
7684e580 2022 01111000000xxxxxxxxx10xxxxxxxxxx
a06ea964 2023 sttrh. */
c2c4ff8d 2024 return 890;
a06ea964
NC
2025 }
2026 }
2027 else
2028 {
2029 /* 33222222222211111111110000000000
2030 10987654321098765432109876543210
7684e580 2031 1x111000000xxxxxxxxx10xxxxxxxxxx
a06ea964 2032 sttr. */
c2c4ff8d 2033 return 893;
a06ea964
NC
2034 }
2035 }
2036 else
2037 {
2038 if (((word >> 31) & 0x1) == 0)
2039 {
2040 if (((word >> 30) & 0x1) == 0)
2041 {
2042 /* 33222222222211111111110000000000
2043 10987654321098765432109876543210
7684e580 2044 00111000010xxxxxxxxx10xxxxxxxxxx
a06ea964 2045 ldtrb. */
c2c4ff8d 2046 return 888;
a06ea964
NC
2047 }
2048 else
2049 {
2050 /* 33222222222211111111110000000000
2051 10987654321098765432109876543210
7684e580 2052 01111000010xxxxxxxxx10xxxxxxxxxx
a06ea964 2053 ldtrh. */
c2c4ff8d 2054 return 891;
a06ea964
NC
2055 }
2056 }
2057 else
2058 {
2059 /* 33222222222211111111110000000000
2060 10987654321098765432109876543210
7684e580 2061 1x111000010xxxxxxxxx10xxxxxxxxxx
a06ea964 2062 ldtr. */
c2c4ff8d 2063 return 894;
a06ea964
NC
2064 }
2065 }
2066 }
2067 else
2068 {
2069 if (((word >> 30) & 0x1) == 0)
2070 {
2071 if (((word >> 31) & 0x1) == 0)
2072 {
2073 /* 33222222222211111111110000000000
2074 10987654321098765432109876543210
7684e580 2075 001110001x0xxxxxxxxx10xxxxxxxxxx
a06ea964 2076 ldtrsb. */
c2c4ff8d 2077 return 889;
a06ea964
NC
2078 }
2079 else
2080 {
2081 /* 33222222222211111111110000000000
2082 10987654321098765432109876543210
7684e580 2083 101110001x0xxxxxxxxx10xxxxxxxxxx
a06ea964 2084 ldtrsw. */
c2c4ff8d 2085 return 895;
a06ea964
NC
2086 }
2087 }
2088 else
2089 {
2090 /* 33222222222211111111110000000000
2091 10987654321098765432109876543210
7684e580 2092 x11110001x0xxxxxxxxx10xxxxxxxxxx
a06ea964 2093 ldtrsh. */
c2c4ff8d 2094 return 892;
a06ea964
NC
2095 }
2096 }
2097 }
2098 else
2099 {
2100 if (((word >> 23) & 0x1) == 0)
2101 {
2102 if (((word >> 22) & 0x1) == 0)
2103 {
2104 if (((word >> 31) & 0x1) == 0)
2105 {
2106 if (((word >> 30) & 0x1) == 0)
2107 {
2108 /* 33222222222211111111110000000000
2109 10987654321098765432109876543210
7684e580 2110 00111000001xxxxxxxxx10xxxxxxxxxx
a06ea964 2111 strb. */
c2c4ff8d 2112 return 875;
a06ea964
NC
2113 }
2114 else
2115 {
2116 /* 33222222222211111111110000000000
2117 10987654321098765432109876543210
7684e580 2118 01111000001xxxxxxxxx10xxxxxxxxxx
a06ea964 2119 strh. */
c2c4ff8d 2120 return 880;
a06ea964
NC
2121 }
2122 }
2123 else
2124 {
2125 /* 33222222222211111111110000000000
2126 10987654321098765432109876543210
7684e580 2127 1x111000001xxxxxxxxx10xxxxxxxxxx
a06ea964 2128 str. */
c2c4ff8d 2129 return 883;
a06ea964
NC
2130 }
2131 }
2132 else
2133 {
2134 if (((word >> 31) & 0x1) == 0)
2135 {
2136 if (((word >> 30) & 0x1) == 0)
2137 {
2138 /* 33222222222211111111110000000000
2139 10987654321098765432109876543210
7684e580 2140 00111000011xxxxxxxxx10xxxxxxxxxx
a06ea964 2141 ldrb. */
c2c4ff8d 2142 return 876;
a06ea964
NC
2143 }
2144 else
2145 {
2146 /* 33222222222211111111110000000000
2147 10987654321098765432109876543210
7684e580 2148 01111000011xxxxxxxxx10xxxxxxxxxx
a06ea964 2149 ldrh. */
c2c4ff8d 2150 return 881;
a06ea964
NC
2151 }
2152 }
2153 else
2154 {
2155 /* 33222222222211111111110000000000
2156 10987654321098765432109876543210
7684e580 2157 1x111000011xxxxxxxxx10xxxxxxxxxx
a06ea964 2158 ldr. */
c2c4ff8d 2159 return 884;
a06ea964
NC
2160 }
2161 }
2162 }
2163 else
2164 {
2165 if (((word >> 30) & 0x1) == 0)
2166 {
2167 if (((word >> 31) & 0x1) == 0)
2168 {
2169 /* 33222222222211111111110000000000
2170 10987654321098765432109876543210
7684e580 2171 001110001x1xxxxxxxxx10xxxxxxxxxx
a06ea964 2172 ldrsb. */
c2c4ff8d 2173 return 877;
a06ea964
NC
2174 }
2175 else
2176 {
2177 /* 33222222222211111111110000000000
2178 10987654321098765432109876543210
7684e580 2179 101110001x1xxxxxxxxx10xxxxxxxxxx
a06ea964 2180 ldrsw. */
c2c4ff8d 2181 return 885;
a06ea964
NC
2182 }
2183 }
2184 else
2185 {
2186 if (((word >> 31) & 0x1) == 0)
2187 {
2188 /* 33222222222211111111110000000000
2189 10987654321098765432109876543210
7684e580 2190 011110001x1xxxxxxxxx10xxxxxxxxxx
a06ea964 2191 ldrsh. */
c2c4ff8d 2192 return 882;
a06ea964
NC
2193 }
2194 else
2195 {
2196 /* 33222222222211111111110000000000
2197 10987654321098765432109876543210
7684e580 2198 111110001x1xxxxxxxxx10xxxxxxxxxx
a06ea964 2199 prfm. */
c2c4ff8d 2200 return 886;
a06ea964
NC
2201 }
2202 }
2203 }
2204 }
2205 }
2206 }
2207 else
2208 {
3f06e550 2209 if (((word >> 21) & 0x1) == 0)
a06ea964 2210 {
3f06e550 2211 if (((word >> 23) & 0x1) == 0)
a06ea964 2212 {
3f06e550 2213 if (((word >> 22) & 0x1) == 0)
a06ea964 2214 {
3f06e550 2215 if (((word >> 31) & 0x1) == 0)
a06ea964 2216 {
3f06e550
SN
2217 if (((word >> 30) & 0x1) == 0)
2218 {
2219 /* 33222222222211111111110000000000
2220 10987654321098765432109876543210
7684e580 2221 00111000000xxxxxxxxxx1xxxxxxxxxx
3f06e550 2222 strb. */
c2c4ff8d 2223 return 852;
3f06e550
SN
2224 }
2225 else
2226 {
2227 /* 33222222222211111111110000000000
2228 10987654321098765432109876543210
7684e580 2229 01111000000xxxxxxxxxx1xxxxxxxxxx
3f06e550 2230 strh. */
c2c4ff8d 2231 return 857;
3f06e550 2232 }
a06ea964
NC
2233 }
2234 else
2235 {
2236 /* 33222222222211111111110000000000
2237 10987654321098765432109876543210
7684e580 2238 1x111000000xxxxxxxxxx1xxxxxxxxxx
3f06e550 2239 str. */
c2c4ff8d 2240 return 860;
a06ea964
NC
2241 }
2242 }
2243 else
2244 {
3f06e550
SN
2245 if (((word >> 31) & 0x1) == 0)
2246 {
2247 if (((word >> 30) & 0x1) == 0)
2248 {
2249 /* 33222222222211111111110000000000
2250 10987654321098765432109876543210
7684e580 2251 00111000010xxxxxxxxxx1xxxxxxxxxx
3f06e550 2252 ldrb. */
c2c4ff8d 2253 return 853;
3f06e550
SN
2254 }
2255 else
2256 {
2257 /* 33222222222211111111110000000000
2258 10987654321098765432109876543210
7684e580 2259 01111000010xxxxxxxxxx1xxxxxxxxxx
3f06e550 2260 ldrh. */
c2c4ff8d 2261 return 858;
3f06e550
SN
2262 }
2263 }
2264 else
2265 {
2266 /* 33222222222211111111110000000000
2267 10987654321098765432109876543210
7684e580 2268 1x111000010xxxxxxxxxx1xxxxxxxxxx
3f06e550 2269 ldr. */
c2c4ff8d 2270 return 861;
3f06e550 2271 }
a06ea964
NC
2272 }
2273 }
2274 else
2275 {
3f06e550 2276 if (((word >> 30) & 0x1) == 0)
a06ea964 2277 {
3f06e550 2278 if (((word >> 31) & 0x1) == 0)
a06ea964
NC
2279 {
2280 /* 33222222222211111111110000000000
2281 10987654321098765432109876543210
7684e580 2282 001110001x0xxxxxxxxxx1xxxxxxxxxx
3f06e550 2283 ldrsb. */
c2c4ff8d 2284 return 854;
a06ea964
NC
2285 }
2286 else
2287 {
2288 /* 33222222222211111111110000000000
2289 10987654321098765432109876543210
7684e580 2290 101110001x0xxxxxxxxxx1xxxxxxxxxx
3f06e550 2291 ldrsw. */
c2c4ff8d 2292 return 862;
a06ea964
NC
2293 }
2294 }
2295 else
2296 {
2297 /* 33222222222211111111110000000000
2298 10987654321098765432109876543210
7684e580 2299 x11110001x0xxxxxxxxxx1xxxxxxxxxx
3f06e550 2300 ldrsh. */
c2c4ff8d 2301 return 859;
a06ea964
NC
2302 }
2303 }
2304 }
2305 else
2306 {
3f06e550 2307 if (((word >> 23) & 0x1) == 0)
a06ea964 2308 {
3f06e550
SN
2309 /* 33222222222211111111110000000000
2310 10987654321098765432109876543210
7684e580 2311 xx1110000x1xxxxxxxxxx1xxxxxxxxxx
3f06e550 2312 ldraa. */
c2c4ff8d 2313 return 908;
a06ea964
NC
2314 }
2315 else
2316 {
2317 /* 33222222222211111111110000000000
2318 10987654321098765432109876543210
7684e580 2319 xx1110001x1xxxxxxxxxx1xxxxxxxxxx
3f06e550 2320 ldrab. */
c2c4ff8d 2321 return 909;
a06ea964
NC
2322 }
2323 }
2324 }
2325 }
2326 }
2327 else
2328 {
2329 if (((word >> 23) & 0x1) == 0)
2330 {
2331 if (((word >> 22) & 0x1) == 0)
2332 {
2333 if (((word >> 31) & 0x1) == 0)
2334 {
2335 if (((word >> 30) & 0x1) == 0)
2336 {
2337 /* 33222222222211111111110000000000
2338 10987654321098765432109876543210
7684e580 2339 00x1100100xxxxxxxxxxxxxxxxxxxxxx
a06ea964 2340 strb. */
c2c4ff8d 2341 return 863;
a06ea964
NC
2342 }
2343 else
2344 {
2345 /* 33222222222211111111110000000000
2346 10987654321098765432109876543210
7684e580 2347 01x1100100xxxxxxxxxxxxxxxxxxxxxx
a06ea964 2348 strh. */
c2c4ff8d 2349 return 868;
a06ea964
NC
2350 }
2351 }
2352 else
2353 {
2354 /* 33222222222211111111110000000000
2355 10987654321098765432109876543210
7684e580 2356 1xx1100100xxxxxxxxxxxxxxxxxxxxxx
a06ea964 2357 str. */
c2c4ff8d 2358 return 871;
a06ea964
NC
2359 }
2360 }
2361 else
2362 {
2363 if (((word >> 31) & 0x1) == 0)
2364 {
2365 if (((word >> 30) & 0x1) == 0)
2366 {
2367 /* 33222222222211111111110000000000
2368 10987654321098765432109876543210
7684e580 2369 00x1100101xxxxxxxxxxxxxxxxxxxxxx
a06ea964 2370 ldrb. */
c2c4ff8d 2371 return 864;
a06ea964
NC
2372 }
2373 else
2374 {
2375 /* 33222222222211111111110000000000
2376 10987654321098765432109876543210
7684e580 2377 01x1100101xxxxxxxxxxxxxxxxxxxxxx
a06ea964 2378 ldrh. */
c2c4ff8d 2379 return 869;
a06ea964
NC
2380 }
2381 }
2382 else
2383 {
2384 /* 33222222222211111111110000000000
2385 10987654321098765432109876543210
7684e580 2386 1xx1100101xxxxxxxxxxxxxxxxxxxxxx
a06ea964 2387 ldr. */
c2c4ff8d 2388 return 872;
a06ea964
NC
2389 }
2390 }
2391 }
2392 else
2393 {
2394 if (((word >> 30) & 0x1) == 0)
2395 {
2396 if (((word >> 31) & 0x1) == 0)
2397 {
2398 /* 33222222222211111111110000000000
2399 10987654321098765432109876543210
7684e580 2400 00x110011xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2401 ldrsb. */
c2c4ff8d 2402 return 865;
a06ea964
NC
2403 }
2404 else
2405 {
2406 /* 33222222222211111111110000000000
2407 10987654321098765432109876543210
7684e580 2408 10x110011xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2409 ldrsw. */
c2c4ff8d 2410 return 873;
a06ea964
NC
2411 }
2412 }
2413 else
2414 {
2415 if (((word >> 31) & 0x1) == 0)
2416 {
2417 /* 33222222222211111111110000000000
2418 10987654321098765432109876543210
7684e580 2419 01x110011xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2420 ldrsh. */
c2c4ff8d 2421 return 870;
a06ea964
NC
2422 }
2423 else
2424 {
2425 /* 33222222222211111111110000000000
2426 10987654321098765432109876543210
7684e580 2427 11x110011xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2428 prfm. */
c2c4ff8d 2429 return 874;
a06ea964
NC
2430 }
2431 }
2432 }
2433 }
2434 }
2435 }
2436 }
2437 else
2438 {
2439 if (((word >> 24) & 0x1) == 0)
2440 {
2441 if (((word >> 27) & 0x1) == 0)
2442 {
2443 if (((word >> 23) & 0x1) == 0)
2444 {
2445 if (((word >> 29) & 0x1) == 0)
2446 {
2447 if (((word >> 30) & 0x1) == 0)
2448 {
2449 /* 33222222222211111111110000000000
2450 10987654321098765432109876543210
7684e580 2451 x00x00100xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2452 and. */
c2c4ff8d 2453 return 959;
a06ea964
NC
2454 }
2455 else
2456 {
2457 /* 33222222222211111111110000000000
2458 10987654321098765432109876543210
7684e580 2459 x10x00100xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2460 eor. */
c2c4ff8d 2461 return 963;
a06ea964
NC
2462 }
2463 }
2464 else
2465 {
2466 if (((word >> 30) & 0x1) == 0)
2467 {
2468 /* 33222222222211111111110000000000
2469 10987654321098765432109876543210
7684e580 2470 x01x00100xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2471 orr. */
c2c4ff8d 2472 return 961;
a06ea964
NC
2473 }
2474 else
2475 {
2476 /* 33222222222211111111110000000000
2477 10987654321098765432109876543210
7684e580 2478 x11x00100xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2479 ands. */
c2c4ff8d 2480 return 964;
a06ea964
NC
2481 }
2482 }
2483 }
2484 else
2485 {
2486 if (((word >> 29) & 0x1) == 0)
2487 {
2488 if (((word >> 30) & 0x1) == 0)
2489 {
2490 /* 33222222222211111111110000000000
2491 10987654321098765432109876543210
7684e580 2492 x00x00101xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2493 movn. */
c2c4ff8d 2494 return 1150;
a06ea964
NC
2495 }
2496 else
2497 {
2498 /* 33222222222211111111110000000000
2499 10987654321098765432109876543210
7684e580 2500 x10x00101xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2501 movz. */
c2c4ff8d 2502 return 1152;
a06ea964
NC
2503 }
2504 }
2505 else
2506 {
2507 /* 33222222222211111111110000000000
2508 10987654321098765432109876543210
7684e580 2509 xx1x00101xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 2510 movk. */
c2c4ff8d 2511 return 1154;
a06ea964
NC
2512 }
2513 }
2514 }
2515 else
2516 {
2517 if (((word >> 21) & 0x1) == 0)
2518 {
2519 if (((word >> 28) & 0x1) == 0)
2520 {
2521 if (((word >> 29) & 0x1) == 0)
2522 {
2523 if (((word >> 30) & 0x1) == 0)
2524 {
2525 /* 33222222222211111111110000000000
2526 10987654321098765432109876543210
7684e580 2527 x0001010xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 2528 and. */
c2c4ff8d 2529 return 966;
a06ea964
NC
2530 }
2531 else
2532 {
2533 /* 33222222222211111111110000000000
2534 10987654321098765432109876543210
7684e580 2535 x1001010xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 2536 eor. */
c2c4ff8d 2537 return 973;
a06ea964
NC
2538 }
2539 }
2540 else
2541 {
2542 if (((word >> 30) & 0x1) == 0)
2543 {
2544 /* 33222222222211111111110000000000
2545 10987654321098765432109876543210
7684e580 2546 x0101010xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 2547 orr. */
c2c4ff8d 2548 return 968;
a06ea964
NC
2549 }
2550 else
2551 {
2552 /* 33222222222211111111110000000000
2553 10987654321098765432109876543210
7684e580 2554 x1101010xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 2555 ands. */
c2c4ff8d 2556 return 975;
a06ea964
NC
2557 }
2558 }
2559 }
2560 else
2561 {
2562 if (((word >> 10) & 0x1) == 0)
2563 {
2564 if (((word >> 11) & 0x1) == 0)
2565 {
2566 if (((word >> 22) & 0x1) == 0)
2567 {
2568 if (((word >> 23) & 0x1) == 0)
2569 {
2570 if (((word >> 29) & 0x1) == 0)
2571 {
2572 if (((word >> 30) & 0x1) == 0)
2573 {
2574 /* 33222222222211111111110000000000
2575 10987654321098765432109876543210
7684e580 2576 x0011010000xxxxxxxxx00xxxxxxxxxx
a06ea964
NC
2577 adc. */
2578 return 0;
2579 }
2580 else
2581 {
2582 /* 33222222222211111111110000000000
2583 10987654321098765432109876543210
7684e580 2584 x1011010000xxxxxxxxx00xxxxxxxxxx
a06ea964
NC
2585 sbc. */
2586 return 2;
2587 }
2588 }
2589 else
2590 {
2591 if (((word >> 30) & 0x1) == 0)
2592 {
2593 /* 33222222222211111111110000000000
2594 10987654321098765432109876543210
7684e580 2595 x0111010000xxxxxxxxx00xxxxxxxxxx
a06ea964
NC
2596 adcs. */
2597 return 1;
2598 }
2599 else
2600 {
2601 /* 33222222222211111111110000000000
2602 10987654321098765432109876543210
7684e580 2603 x1111010000xxxxxxxxx00xxxxxxxxxx
a06ea964
NC
2604 sbcs. */
2605 return 4;
2606 }
2607 }
2608 }
2609 else
2610 {
2611 if (((word >> 30) & 0x1) == 0)
2612 {
2613 /* 33222222222211111111110000000000
2614 10987654321098765432109876543210
7684e580 2615 x0x11010100xxxxxxxxx00xxxxxxxxxx
a06ea964 2616 csel. */
c2c4ff8d 2617 return 656;
a06ea964
NC
2618 }
2619 else
2620 {
2621 /* 33222222222211111111110000000000
2622 10987654321098765432109876543210
7684e580 2623 x1x11010100xxxxxxxxx00xxxxxxxxxx
a06ea964 2624 csinv. */
c2c4ff8d 2625 return 660;
a06ea964
NC
2626 }
2627 }
2628 }
2629 else
2630 {
2631 if (((word >> 23) & 0x1) == 0)
2632 {
2633 if (((word >> 30) & 0x1) == 0)
2634 {
2635 /* 33222222222211111111110000000000
2636 10987654321098765432109876543210
7684e580 2637 x0x11010010xxxxxxxxx00xxxxxxxxxx
a06ea964 2638 ccmn. */
c2c4ff8d 2639 return 654;
a06ea964
NC
2640 }
2641 else
2642 {
2643 /* 33222222222211111111110000000000
2644 10987654321098765432109876543210
7684e580 2645 x1x11010010xxxxxxxxx00xxxxxxxxxx
a06ea964 2646 ccmp. */
c2c4ff8d 2647 return 655;
a06ea964
NC
2648 }
2649 }
2650 else
2651 {
2652 if (((word >> 12) & 0x1) == 0)
2653 {
2654 if (((word >> 13) & 0x1) == 0)
2655 {
e60bb1dd 2656 if (((word >> 14) & 0x1) == 0)
a2cfc830
SN
2657 {
2658 if (((word >> 16) & 0x1) == 0)
2659 {
2660 /* 33222222222211111111110000000000
2661 10987654321098765432109876543210
7684e580 2662 xxx11010110xxxx0x00000xxxxxxxxxx
a2cfc830 2663 rbit. */
c2c4ff8d 2664 return 679;
a2cfc830
SN
2665 }
2666 else
2667 {
2668 /* 33222222222211111111110000000000
2669 10987654321098765432109876543210
7684e580 2670 xxx11010110xxxx1x00000xxxxxxxxxx
a2cfc830 2671 pacia. */
c2c4ff8d 2672 return 687;
a2cfc830
SN
2673 }
2674 }
2675 else
2676 {
2677 if (((word >> 30) & 0x1) == 0)
2678 {
2679 /* 33222222222211111111110000000000
2680 10987654321098765432109876543210
7684e580 2681 x0x11010110xxxxxx10000xxxxxxxxxx
a2cfc830 2682 crc32b. */
c2c4ff8d 2683 return 716;
a2cfc830
SN
2684 }
2685 else
2686 {
2687 /* 33222222222211111111110000000000
2688 10987654321098765432109876543210
7684e580 2689 x1x11010110xxxxxx10000xxxxxxxxxx
a2cfc830 2690 xpaci. */
c2c4ff8d 2691 return 703;
a2cfc830
SN
2692 }
2693 }
2694 }
2695 else
2696 {
2697 if (((word >> 30) & 0x1) == 0)
e60bb1dd
YZ
2698 {
2699 /* 33222222222211111111110000000000
2700 10987654321098765432109876543210
7684e580 2701 x0x11010110xxxxxxx1000xxxxxxxxxx
a2cfc830 2702 lslv. */
c2c4ff8d 2703 return 707;
e60bb1dd
YZ
2704 }
2705 else
2706 {
2707 /* 33222222222211111111110000000000
2708 10987654321098765432109876543210
7684e580 2709 x1x11010110xxxxxxx1000xxxxxxxxxx
a2cfc830 2710 paciza. */
c2c4ff8d 2711 return 695;
e60bb1dd 2712 }
a06ea964 2713 }
a06ea964
NC
2714 }
2715 else
2716 {
a2cfc830 2717 if (((word >> 13) & 0x1) == 0)
e60bb1dd 2718 {
a2cfc830
SN
2719 if (((word >> 14) & 0x1) == 0)
2720 {
2721 if (((word >> 16) & 0x1) == 0)
2722 {
2723 /* 33222222222211111111110000000000
2724 10987654321098765432109876543210
7684e580 2725 xxx11010110xxxx0x00100xxxxxxxxxx
a2cfc830 2726 clz. */
c2c4ff8d 2727 return 684;
a2cfc830
SN
2728 }
2729 else
2730 {
2731 /* 33222222222211111111110000000000
2732 10987654321098765432109876543210
7684e580 2733 xxx11010110xxxx1x00100xxxxxxxxxx
a2cfc830 2734 autia. */
c2c4ff8d 2735 return 691;
a2cfc830
SN
2736 }
2737 }
2738 else
2739 {
2740 /* 33222222222211111111110000000000
2741 10987654321098765432109876543210
7684e580 2742 xxx11010110xxxxxx10100xxxxxxxxxx
a2cfc830 2743 crc32cb. */
c2c4ff8d 2744 return 720;
a2cfc830 2745 }
e60bb1dd
YZ
2746 }
2747 else
2748 {
c84364ec
SN
2749 if (((word >> 30) & 0x1) == 0)
2750 {
2751 /* 33222222222211111111110000000000
2752 10987654321098765432109876543210
7684e580 2753 x0x11010110xxxxxxx1100xxxxxxxxxx
c84364ec 2754 pacga. */
c2c4ff8d 2755 return 715;
c84364ec
SN
2756 }
2757 else
2758 {
2759 /* 33222222222211111111110000000000
2760 10987654321098765432109876543210
7684e580 2761 x1x11010110xxxxxxx1100xxxxxxxxxx
c84364ec 2762 autiza. */
c2c4ff8d 2763 return 699;
c84364ec 2764 }
e60bb1dd 2765 }
a06ea964
NC
2766 }
2767 }
2768 }
2769 }
2770 else
2771 {
2772 if (((word >> 23) & 0x1) == 0)
2773 {
2774 if (((word >> 30) & 0x1) == 0)
2775 {
2776 /* 33222222222211111111110000000000
2777 10987654321098765432109876543210
7684e580 2778 x0x110100x0xxxxxxxxx10xxxxxxxxxx
a06ea964 2779 ccmn. */
c2c4ff8d 2780 return 652;
a06ea964
NC
2781 }
2782 else
2783 {
2784 /* 33222222222211111111110000000000
2785 10987654321098765432109876543210
7684e580 2786 x1x110100x0xxxxxxxxx10xxxxxxxxxx
a06ea964 2787 ccmp. */
c2c4ff8d 2788 return 653;
a06ea964
NC
2789 }
2790 }
2791 else
2792 {
e60bb1dd 2793 if (((word >> 12) & 0x1) == 0)
a06ea964 2794 {
e60bb1dd 2795 if (((word >> 13) & 0x1) == 0)
a06ea964 2796 {
e60bb1dd 2797 if (((word >> 14) & 0x1) == 0)
a06ea964 2798 {
e60bb1dd
YZ
2799 if (((word >> 30) & 0x1) == 0)
2800 {
2801 /* 33222222222211111111110000000000
2802 10987654321098765432109876543210
7684e580 2803 x0x110101x0xxxxxx00010xxxxxxxxxx
e60bb1dd 2804 udiv. */
c2c4ff8d 2805 return 705;
e60bb1dd
YZ
2806 }
2807 else
2808 {
a2cfc830 2809 if (((word >> 16) & 0x1) == 0)
e60bb1dd 2810 {
a2cfc830
SN
2811 if (((word >> 31) & 0x1) == 0)
2812 {
2813 /* 33222222222211111111110000000000
2814 10987654321098765432109876543210
7684e580 2815 01x110101x0xxxx0x00010xxxxxxxxxx
a2cfc830 2816 rev. */
c2c4ff8d 2817 return 681;
a2cfc830
SN
2818 }
2819 else
2820 {
2821 /* 33222222222211111111110000000000
2822 10987654321098765432109876543210
7684e580 2823 11x110101x0xxxx0x00010xxxxxxxxxx
a2cfc830 2824 rev32. */
c2c4ff8d 2825 return 686;
a2cfc830 2826 }
e60bb1dd
YZ
2827 }
2828 else
2829 {
2830 /* 33222222222211111111110000000000
2831 10987654321098765432109876543210
7684e580 2832 x1x110101x0xxxx1x00010xxxxxxxxxx
a2cfc830 2833 pacda. */
c2c4ff8d 2834 return 689;
e60bb1dd
YZ
2835 }
2836 }
a06ea964
NC
2837 }
2838 else
2839 {
2840 /* 33222222222211111111110000000000
2841 10987654321098765432109876543210
7684e580 2842 xxx110101x0xxxxxx10010xxxxxxxxxx
e60bb1dd 2843 crc32w. */
c2c4ff8d 2844 return 718;
a06ea964
NC
2845 }
2846 }
e60bb1dd
YZ
2847 else
2848 {
a2cfc830
SN
2849 if (((word >> 30) & 0x1) == 0)
2850 {
2851 /* 33222222222211111111110000000000
2852 10987654321098765432109876543210
7684e580 2853 x0x110101x0xxxxxxx1010xxxxxxxxxx
a2cfc830 2854 asrv. */
c2c4ff8d 2855 return 711;
a2cfc830
SN
2856 }
2857 else
2858 {
2859 /* 33222222222211111111110000000000
2860 10987654321098765432109876543210
7684e580 2861 x1x110101x0xxxxxxx1010xxxxxxxxxx
a2cfc830 2862 pacdza. */
c2c4ff8d 2863 return 697;
a2cfc830 2864 }
e60bb1dd 2865 }
a06ea964
NC
2866 }
2867 else
2868 {
a2cfc830
SN
2869 if (((word >> 13) & 0x1) == 0)
2870 {
2871 if (((word >> 14) & 0x1) == 0)
2872 {
2873 /* 33222222222211111111110000000000
2874 10987654321098765432109876543210
7684e580 2875 xxx110101x0xxxxxx00110xxxxxxxxxx
a2cfc830 2876 autda. */
c2c4ff8d 2877 return 693;
a2cfc830
SN
2878 }
2879 else
2880 {
2881 /* 33222222222211111111110000000000
2882 10987654321098765432109876543210
7684e580 2883 xxx110101x0xxxxxx10110xxxxxxxxxx
a2cfc830 2884 crc32cw. */
c2c4ff8d 2885 return 722;
a2cfc830
SN
2886 }
2887 }
2888 else
2889 {
2890 /* 33222222222211111111110000000000
2891 10987654321098765432109876543210
7684e580 2892 xxx110101x0xxxxxxx1110xxxxxxxxxx
a2cfc830 2893 autdza. */
c2c4ff8d 2894 return 701;
a2cfc830 2895 }
a06ea964
NC
2896 }
2897 }
2898 }
2899 }
2900 else
2901 {
2902 if (((word >> 11) & 0x1) == 0)
2903 {
2904 if (((word >> 22) & 0x1) == 0)
2905 {
2906 if (((word >> 30) & 0x1) == 0)
2907 {
2908 /* 33222222222211111111110000000000
2909 10987654321098765432109876543210
7684e580 2910 x0x11010x00xxxxxxxxx01xxxxxxxxxx
a06ea964 2911 csinc. */
c2c4ff8d 2912 return 657;
a06ea964
NC
2913 }
2914 else
2915 {
2916 /* 33222222222211111111110000000000
2917 10987654321098765432109876543210
7684e580 2918 x1x11010x00xxxxxxxxx01xxxxxxxxxx
a06ea964 2919 csneg. */
c2c4ff8d 2920 return 663;
a06ea964
NC
2921 }
2922 }
2923 else
2924 {
2925 if (((word >> 12) & 0x1) == 0)
2926 {
2927 if (((word >> 13) & 0x1) == 0)
2928 {
e60bb1dd 2929 if (((word >> 14) & 0x1) == 0)
a2cfc830
SN
2930 {
2931 if (((word >> 16) & 0x1) == 0)
2932 {
2933 /* 33222222222211111111110000000000
2934 10987654321098765432109876543210
7684e580 2935 xxx11010x10xxxx0x00001xxxxxxxxxx
a2cfc830 2936 rev16. */
c2c4ff8d 2937 return 680;
a2cfc830
SN
2938 }
2939 else
2940 {
2941 /* 33222222222211111111110000000000
2942 10987654321098765432109876543210
7684e580 2943 xxx11010x10xxxx1x00001xxxxxxxxxx
a2cfc830 2944 pacib. */
c2c4ff8d 2945 return 688;
a2cfc830
SN
2946 }
2947 }
2948 else
2949 {
2950 if (((word >> 30) & 0x1) == 0)
2951 {
2952 /* 33222222222211111111110000000000
2953 10987654321098765432109876543210
7684e580 2954 x0x11010x10xxxxxx10001xxxxxxxxxx
a2cfc830 2955 crc32h. */
c2c4ff8d 2956 return 717;
a2cfc830
SN
2957 }
2958 else
2959 {
2960 /* 33222222222211111111110000000000
2961 10987654321098765432109876543210
7684e580 2962 x1x11010x10xxxxxx10001xxxxxxxxxx
a2cfc830 2963 xpacd. */
c2c4ff8d 2964 return 704;
a2cfc830
SN
2965 }
2966 }
2967 }
2968 else
2969 {
2970 if (((word >> 30) & 0x1) == 0)
e60bb1dd
YZ
2971 {
2972 /* 33222222222211111111110000000000
2973 10987654321098765432109876543210
7684e580 2974 x0x11010x10xxxxxxx1001xxxxxxxxxx
a2cfc830 2975 lsrv. */
c2c4ff8d 2976 return 709;
e60bb1dd
YZ
2977 }
2978 else
2979 {
2980 /* 33222222222211111111110000000000
2981 10987654321098765432109876543210
7684e580 2982 x1x11010x10xxxxxxx1001xxxxxxxxxx
a2cfc830 2983 pacizb. */
c2c4ff8d 2984 return 696;
e60bb1dd 2985 }
a06ea964 2986 }
a06ea964
NC
2987 }
2988 else
2989 {
a2cfc830 2990 if (((word >> 13) & 0x1) == 0)
e60bb1dd 2991 {
a2cfc830
SN
2992 if (((word >> 14) & 0x1) == 0)
2993 {
2994 if (((word >> 16) & 0x1) == 0)
2995 {
2996 /* 33222222222211111111110000000000
2997 10987654321098765432109876543210
7684e580 2998 xxx11010x10xxxx0x00101xxxxxxxxxx
a2cfc830 2999 cls. */
c2c4ff8d 3000 return 685;
a2cfc830
SN
3001 }
3002 else
3003 {
3004 /* 33222222222211111111110000000000
3005 10987654321098765432109876543210
7684e580 3006 xxx11010x10xxxx1x00101xxxxxxxxxx
a2cfc830 3007 autib. */
c2c4ff8d 3008 return 692;
a2cfc830
SN
3009 }
3010 }
3011 else
3012 {
3013 /* 33222222222211111111110000000000
3014 10987654321098765432109876543210
7684e580 3015 xxx11010x10xxxxxx10101xxxxxxxxxx
a2cfc830 3016 crc32ch. */
c2c4ff8d 3017 return 721;
a2cfc830 3018 }
e60bb1dd
YZ
3019 }
3020 else
3021 {
3022 /* 33222222222211111111110000000000
3023 10987654321098765432109876543210
7684e580 3024 xxx11010x10xxxxxxx1101xxxxxxxxxx
a2cfc830 3025 autizb. */
c2c4ff8d 3026 return 700;
e60bb1dd 3027 }
a06ea964
NC
3028 }
3029 }
3030 }
3031 else
3032 {
e60bb1dd 3033 if (((word >> 12) & 0x1) == 0)
a06ea964 3034 {
e60bb1dd 3035 if (((word >> 13) & 0x1) == 0)
a06ea964 3036 {
e60bb1dd
YZ
3037 if (((word >> 14) & 0x1) == 0)
3038 {
3039 if (((word >> 30) & 0x1) == 0)
3040 {
3041 /* 33222222222211111111110000000000
3042 10987654321098765432109876543210
7684e580 3043 x0x11010xx0xxxxxx00011xxxxxxxxxx
e60bb1dd 3044 sdiv. */
c2c4ff8d 3045 return 706;
e60bb1dd
YZ
3046 }
3047 else
3048 {
a2cfc830
SN
3049 if (((word >> 16) & 0x1) == 0)
3050 {
3051 /* 33222222222211111111110000000000
3052 10987654321098765432109876543210
7684e580 3053 x1x11010xx0xxxx0x00011xxxxxxxxxx
a2cfc830 3054 rev. */
c2c4ff8d 3055 return 682;
a2cfc830
SN
3056 }
3057 else
3058 {
3059 /* 33222222222211111111110000000000
3060 10987654321098765432109876543210
7684e580 3061 x1x11010xx0xxxx1x00011xxxxxxxxxx
a2cfc830 3062 pacdb. */
c2c4ff8d 3063 return 690;
a2cfc830 3064 }
e60bb1dd
YZ
3065 }
3066 }
3067 else
3068 {
3069 /* 33222222222211111111110000000000
3070 10987654321098765432109876543210
7684e580 3071 xxx11010xx0xxxxxx10011xxxxxxxxxx
e60bb1dd 3072 crc32x. */
c2c4ff8d 3073 return 719;
e60bb1dd 3074 }
a06ea964
NC
3075 }
3076 else
3077 {
a2cfc830
SN
3078 if (((word >> 30) & 0x1) == 0)
3079 {
3080 /* 33222222222211111111110000000000
3081 10987654321098765432109876543210
7684e580 3082 x0x11010xx0xxxxxxx1011xxxxxxxxxx
a2cfc830 3083 rorv. */
c2c4ff8d 3084 return 713;
a2cfc830
SN
3085 }
3086 else
3087 {
3088 /* 33222222222211111111110000000000
3089 10987654321098765432109876543210
7684e580 3090 x1x11010xx0xxxxxxx1011xxxxxxxxxx
a2cfc830 3091 pacdzb. */
c2c4ff8d 3092 return 698;
a2cfc830 3093 }
a06ea964
NC
3094 }
3095 }
3096 else
3097 {
a2cfc830
SN
3098 if (((word >> 13) & 0x1) == 0)
3099 {
3100 if (((word >> 14) & 0x1) == 0)
3101 {
3102 /* 33222222222211111111110000000000
3103 10987654321098765432109876543210
7684e580 3104 xxx11010xx0xxxxxx00111xxxxxxxxxx
a2cfc830 3105 autdb. */
c2c4ff8d 3106 return 694;
a2cfc830
SN
3107 }
3108 else
3109 {
3110 /* 33222222222211111111110000000000
3111 10987654321098765432109876543210
7684e580 3112 xxx11010xx0xxxxxx10111xxxxxxxxxx
a2cfc830 3113 crc32cx. */
c2c4ff8d 3114 return 723;
a2cfc830
SN
3115 }
3116 }
3117 else
3118 {
3119 /* 33222222222211111111110000000000
3120 10987654321098765432109876543210
7684e580 3121 xxx11010xx0xxxxxxx1111xxxxxxxxxx
a2cfc830 3122 autdzb. */
c2c4ff8d 3123 return 702;
a2cfc830 3124 }
a06ea964
NC
3125 }
3126 }
3127 }
3128 }
3129 }
3130 else
3131 {
3132 if (((word >> 29) & 0x1) == 0)
3133 {
3134 if (((word >> 30) & 0x1) == 0)
3135 {
3136 /* 33222222222211111111110000000000
3137 10987654321098765432109876543210
7684e580 3138 x00x1010xx1xxxxxxxxxxxxxxxxxxxxx
a06ea964 3139 bic. */
c2c4ff8d 3140 return 967;
a06ea964
NC
3141 }
3142 else
3143 {
3144 /* 33222222222211111111110000000000
3145 10987654321098765432109876543210
7684e580 3146 x10x1010xx1xxxxxxxxxxxxxxxxxxxxx
a06ea964 3147 eon. */
c2c4ff8d 3148 return 974;
a06ea964
NC
3149 }
3150 }
3151 else
3152 {
3153 if (((word >> 30) & 0x1) == 0)
3154 {
3155 /* 33222222222211111111110000000000
3156 10987654321098765432109876543210
7684e580 3157 x01x1010xx1xxxxxxxxxxxxxxxxxxxxx
a06ea964 3158 orn. */
c2c4ff8d 3159 return 971;
a06ea964
NC
3160 }
3161 else
3162 {
3163 /* 33222222222211111111110000000000
3164 10987654321098765432109876543210
7684e580 3165 x11x1010xx1xxxxxxxxxxxxxxxxxxxxx
a06ea964 3166 bics. */
c2c4ff8d 3167 return 977;
a06ea964
NC
3168 }
3169 }
3170 }
3171 }
3172 }
3173 else
3174 {
3175 if (((word >> 27) & 0x1) == 0)
3176 {
3177 if (((word >> 23) & 0x1) == 0)
3178 {
3179 if (((word >> 29) & 0x1) == 0)
3180 {
3181 if (((word >> 30) & 0x1) == 0)
3182 {
3183 /* 33222222222211111111110000000000
3184 10987654321098765432109876543210
7684e580 3185 x00x00110xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 3186 sbfm. */
c2c4ff8d 3187 return 612;
a06ea964
NC
3188 }
3189 else
3190 {
3191 /* 33222222222211111111110000000000
3192 10987654321098765432109876543210
7684e580 3193 x10x00110xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 3194 ubfm. */
c2c4ff8d 3195 return 623;
a06ea964
NC
3196 }
3197 }
3198 else
3199 {
3200 /* 33222222222211111111110000000000
3201 10987654321098765432109876543210
7684e580 3202 xx1x00110xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 3203 bfm. */
c2c4ff8d 3204 return 619;
a06ea964
NC
3205 }
3206 }
3207 else
3208 {
3209 /* 33222222222211111111110000000000
3210 10987654321098765432109876543210
7684e580 3211 xxxx00111xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 3212 extr. */
c2c4ff8d 3213 return 746;
a06ea964
NC
3214 }
3215 }
3216 else
3217 {
3218 if (((word >> 21) & 0x1) == 0)
3219 {
3220 if (((word >> 28) & 0x1) == 0)
3221 {
3222 if (((word >> 29) & 0x1) == 0)
3223 {
3224 if (((word >> 30) & 0x1) == 0)
3225 {
3226 /* 33222222222211111111110000000000
3227 10987654321098765432109876543210
7684e580 3228 x0001011xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
3229 add. */
3230 return 19;
3231 }
3232 else
3233 {
3234 /* 33222222222211111111110000000000
3235 10987654321098765432109876543210
7684e580 3236 x1001011xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
3237 sub. */
3238 return 22;
3239 }
3240 }
3241 else
3242 {
3243 if (((word >> 30) & 0x1) == 0)
3244 {
3245 /* 33222222222211111111110000000000
3246 10987654321098765432109876543210
7684e580 3247 x0101011xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
3248 adds. */
3249 return 20;
3250 }
3251 else
3252 {
3253 /* 33222222222211111111110000000000
3254 10987654321098765432109876543210
7684e580 3255 x1101011xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
3256 subs. */
3257 return 24;
3258 }
3259 }
3260 }
3261 else
3262 {
3263 if (((word >> 15) & 0x1) == 0)
3264 {
3265 if (((word >> 22) & 0x1) == 0)
3266 {
3267 /* 33222222222211111111110000000000
3268 10987654321098765432109876543210
7684e580 3269 xxx11011x00xxxxx0xxxxxxxxxxxxxxx
a06ea964 3270 madd. */
c2c4ff8d 3271 return 724;
a06ea964
NC
3272 }
3273 else
3274 {
3275 if (((word >> 23) & 0x1) == 0)
3276 {
3277 /* 33222222222211111111110000000000
3278 10987654321098765432109876543210
7684e580 3279 xxx11011010xxxxx0xxxxxxxxxxxxxxx
a06ea964 3280 smulh. */
c2c4ff8d 3281 return 732;
a06ea964
NC
3282 }
3283 else
3284 {
3285 /* 33222222222211111111110000000000
3286 10987654321098765432109876543210
7684e580 3287 xxx11011110xxxxx0xxxxxxxxxxxxxxx
a06ea964 3288 umulh. */
c2c4ff8d 3289 return 737;
a06ea964
NC
3290 }
3291 }
3292 }
3293 else
3294 {
3295 /* 33222222222211111111110000000000
3296 10987654321098765432109876543210
7684e580 3297 xxx11011xx0xxxxx1xxxxxxxxxxxxxxx
a06ea964 3298 msub. */
c2c4ff8d 3299 return 726;
a06ea964
NC
3300 }
3301 }
3302 }
3303 else
3304 {
3305 if (((word >> 23) & 0x1) == 0)
3306 {
3307 if (((word >> 28) & 0x1) == 0)
3308 {
3309 if (((word >> 29) & 0x1) == 0)
3310 {
3311 if (((word >> 30) & 0x1) == 0)
3312 {
3313 /* 33222222222211111111110000000000
3314 10987654321098765432109876543210
7684e580 3315 x00010110x1xxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
3316 add. */
3317 return 6;
3318 }
3319 else
3320 {
3321 /* 33222222222211111111110000000000
3322 10987654321098765432109876543210
7684e580 3323 x10010110x1xxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
3324 sub. */
3325 return 9;
3326 }
3327 }
3328 else
3329 {
3330 if (((word >> 30) & 0x1) == 0)
3331 {
3332 /* 33222222222211111111110000000000
3333 10987654321098765432109876543210
7684e580 3334 x01010110x1xxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
3335 adds. */
3336 return 7;
3337 }
3338 else
3339 {
3340 /* 33222222222211111111110000000000
3341 10987654321098765432109876543210
7684e580 3342 x11010110x1xxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
3343 subs. */
3344 return 10;
3345 }
3346 }
3347 }
3348 else
3349 {
3350 if (((word >> 15) & 0x1) == 0)
3351 {
3352 /* 33222222222211111111110000000000
3353 10987654321098765432109876543210
7684e580 3354 xxx110110x1xxxxx0xxxxxxxxxxxxxxx
a06ea964 3355 smaddl. */
c2c4ff8d 3356 return 728;
a06ea964
NC
3357 }
3358 else
3359 {
3360 /* 33222222222211111111110000000000
3361 10987654321098765432109876543210
7684e580 3362 xxx110110x1xxxxx1xxxxxxxxxxxxxxx
a06ea964 3363 smsubl. */
c2c4ff8d 3364 return 730;
a06ea964
NC
3365 }
3366 }
3367 }
3368 else
3369 {
3370 if (((word >> 15) & 0x1) == 0)
3371 {
3372 /* 33222222222211111111110000000000
3373 10987654321098765432109876543210
7684e580 3374 xxxx10111x1xxxxx0xxxxxxxxxxxxxxx
a06ea964 3375 umaddl. */
c2c4ff8d 3376 return 733;
a06ea964
NC
3377 }
3378 else
3379 {
3380 /* 33222222222211111111110000000000
3381 10987654321098765432109876543210
7684e580 3382 xxxx10111x1xxxxx1xxxxxxxxxxxxxxx
a06ea964 3383 umsubl. */
c2c4ff8d 3384 return 735;
a06ea964
NC
3385 }
3386 }
3387 }
3388 }
3389 }
3390 }
3391 }
3392 else
3393 {
3394 if (((word >> 27) & 0x1) == 0)
3395 {
c0890d26 3396 if (((word >> 28) & 0x1) == 0)
a06ea964 3397 {
c0890d26
RS
3398 if (((word >> 24) & 0x1) == 0)
3399 {
582e12bf 3400 if (((word >> 29) & 0x1) == 0)
c0890d26 3401 {
582e12bf 3402 if (((word >> 13) & 0x1) == 0)
c0890d26
RS
3403 {
3404 if (((word >> 14) & 0x1) == 0)
3405 {
3406 if (((word >> 15) & 0x1) == 0)
3407 {
3408 if (((word >> 21) & 0x1) == 0)
3409 {
3410 if (((word >> 30) & 0x1) == 0)
3411 {
3412 if (((word >> 31) & 0x1) == 0)
3413 {
3414 if (((word >> 16) & 0x1) == 0)
3415 {
3416 if (((word >> 17) & 0x1) == 0)
3417 {
3418 if (((word >> 18) & 0x1) == 0)
3419 {
3420 if (((word >> 19) & 0x1) == 0)
3421 {
3422 if (((word >> 20) & 0x1) == 0)
3423 {
3424 /* 33222222222211111111110000000000
3425 10987654321098765432109876543210
7684e580 3426 000001x0xx000000000xxxxxxxxxxxxx
c0890d26 3427 add. */
c2c4ff8d 3428 return 1232;
c0890d26
RS
3429 }
3430 else
3431 {
3432 /* 33222222222211111111110000000000
3433 10987654321098765432109876543210
7684e580 3434 000001x0xx010000000xxxxxxxxxxxxx
c0890d26 3435 mul. */
582e12bf 3436 return 1685;
c0890d26
RS
3437 }
3438 }
3439 else
3440 {
3441 if (((word >> 20) & 0x1) == 0)
3442 {
3443 /* 33222222222211111111110000000000
3444 10987654321098765432109876543210
7684e580 3445 000001x0xx001000000xxxxxxxxxxxxx
c0890d26 3446 smax. */
582e12bf 3447 return 1764;
c0890d26
RS
3448 }
3449 else
3450 {
3451 /* 33222222222211111111110000000000
3452 10987654321098765432109876543210
7684e580 3453 000001x0xx011000000xxxxxxxxxxxxx
c0890d26 3454 orr. */
582e12bf 3455 return 1696;
c0890d26
RS
3456 }
3457 }
3458 }
3459 else
3460 {
3461 if (((word >> 19) & 0x1) == 0)
3462 {
3463 /* 33222222222211111111110000000000
3464 10987654321098765432109876543210
7684e580 3465 000001x0xx0x0100000xxxxxxxxxxxxx
c0890d26 3466 sdiv. */
582e12bf 3467 return 1755;
c0890d26
RS
3468 }
3469 else
3470 {
3471 /* 33222222222211111111110000000000
3472 10987654321098765432109876543210
7684e580 3473 000001x0xx0x1100000xxxxxxxxxxxxx
c0890d26 3474 sabd. */
582e12bf 3475 return 1746;
c0890d26
RS
3476 }
3477 }
3478 }
3479 else
3480 {
3481 if (((word >> 18) & 0x1) == 0)
3482 {
3483 if (((word >> 19) & 0x1) == 0)
3484 {
3485 /* 33222222222211111111110000000000
3486 10987654321098765432109876543210
7684e580 3487 000001x0xx0x0010000xxxxxxxxxxxxx
c0890d26 3488 smulh. */
582e12bf 3489 return 1769;
c0890d26
RS
3490 }
3491 else
3492 {
3493 if (((word >> 20) & 0x1) == 0)
3494 {
3495 /* 33222222222211111111110000000000
3496 10987654321098765432109876543210
7684e580 3497 000001x0xx001010000xxxxxxxxxxxxx
c0890d26 3498 smin. */
582e12bf 3499 return 1767;
c0890d26
RS
3500 }
3501 else
3502 {
3503 /* 33222222222211111111110000000000
3504 10987654321098765432109876543210
7684e580 3505 000001x0xx011010000xxxxxxxxxxxxx
c0890d26 3506 and. */
c2c4ff8d 3507 return 1240;
c0890d26
RS
3508 }
3509 }
3510 }
3511 else
3512 {
3513 /* 33222222222211111111110000000000
3514 10987654321098765432109876543210
7684e580 3515 000001x0xx0xx110000xxxxxxxxxxxxx
c0890d26 3516 sdivr. */
582e12bf 3517 return 1756;
c0890d26
RS
3518 }
3519 }
3520 }
3521 else
3522 {
3523 if (((word >> 17) & 0x1) == 0)
3524 {
3525 if (((word >> 18) & 0x1) == 0)
3526 {
3527 if (((word >> 19) & 0x1) == 0)
3528 {
3529 /* 33222222222211111111110000000000
3530 10987654321098765432109876543210
7684e580 3531 000001x0xx0x0001000xxxxxxxxxxxxx
c0890d26 3532 sub. */
582e12bf 3533 return 1885;
c0890d26
RS
3534 }
3535 else
3536 {
3537 if (((word >> 20) & 0x1) == 0)
3538 {
3539 /* 33222222222211111111110000000000
3540 10987654321098765432109876543210
7684e580 3541 000001x0xx001001000xxxxxxxxxxxxx
c0890d26 3542 umax. */
582e12bf 3543 return 1913;
c0890d26
RS
3544 }
3545 else
3546 {
3547 /* 33222222222211111111110000000000
3548 10987654321098765432109876543210
7684e580 3549 000001x0xx011001000xxxxxxxxxxxxx
c0890d26 3550 eor. */
c2c4ff8d 3551 return 1327;
c0890d26
RS
3552 }
3553 }
3554 }
3555 else
3556 {
3557 if (((word >> 19) & 0x1) == 0)
3558 {
3559 /* 33222222222211111111110000000000
3560 10987654321098765432109876543210
7684e580 3561 000001x0xx0x0101000xxxxxxxxxxxxx
c0890d26 3562 udiv. */
582e12bf 3563 return 1907;
c0890d26
RS
3564 }
3565 else
3566 {
3567 /* 33222222222211111111110000000000
3568 10987654321098765432109876543210
7684e580 3569 000001x0xx0x1101000xxxxxxxxxxxxx
c0890d26 3570 uabd. */
582e12bf 3571 return 1898;
c0890d26
RS
3572 }
3573 }
3574 }
3575 else
3576 {
3577 if (((word >> 18) & 0x1) == 0)
3578 {
3579 if (((word >> 19) & 0x1) == 0)
3580 {
3581 if (((word >> 20) & 0x1) == 0)
3582 {
3583 /* 33222222222211111111110000000000
3584 10987654321098765432109876543210
7684e580 3585 000001x0xx000011000xxxxxxxxxxxxx
c0890d26 3586 subr. */
582e12bf 3587 return 1887;
c0890d26
RS
3588 }
3589 else
3590 {
3591 /* 33222222222211111111110000000000
3592 10987654321098765432109876543210
7684e580 3593 000001x0xx010011000xxxxxxxxxxxxx
c0890d26 3594 umulh. */
582e12bf 3595 return 1918;
c0890d26
RS
3596 }
3597 }
3598 else
3599 {
3600 if (((word >> 20) & 0x1) == 0)
3601 {
3602 /* 33222222222211111111110000000000
3603 10987654321098765432109876543210
7684e580 3604 000001x0xx001011000xxxxxxxxxxxxx
c0890d26 3605 umin. */
582e12bf 3606 return 1916;
c0890d26
RS
3607 }
3608 else
3609 {
3610 /* 33222222222211111111110000000000
3611 10987654321098765432109876543210
7684e580 3612 000001x0xx011011000xxxxxxxxxxxxx
c0890d26 3613 bic. */
c2c4ff8d 3614 return 1252;
c0890d26
RS
3615 }
3616 }
3617 }
3618 else
3619 {
3620 /* 33222222222211111111110000000000
3621 10987654321098765432109876543210
7684e580 3622 000001x0xx0xx111000xxxxxxxxxxxxx
c0890d26 3623 udivr. */
582e12bf 3624 return 1908;
c0890d26
RS
3625 }
3626 }
3627 }
3628 }
3629 else
3630 {
3631 if (((word >> 23) & 0x1) == 0)
3632 {
3633 /* 33222222222211111111110000000000
3634 10987654321098765432109876543210
7684e580 3635 100001x00x0xxxxx000xxxxxxxxxxxxx
c0890d26 3636 ld1sb. */
582e12bf 3637 return 1514;
c0890d26
RS
3638 }
3639 else
3640 {
3641 /* 33222222222211111111110000000000
3642 10987654321098765432109876543210
7684e580 3643 100001x01x0xxxxx000xxxxxxxxxxxxx
c0890d26 3644 ld1sh. */
582e12bf 3645 return 1525;
c0890d26
RS
3646 }
3647 }
3648 }
3649 else
3650 {
3651 if (((word >> 23) & 0x1) == 0)
3652 {
3653 /* 33222222222211111111110000000000
3654 10987654321098765432109876543210
7684e580 3655 x10001x00x0xxxxx000xxxxxxxxxxxxx
c0890d26 3656 ld1sb. */
582e12bf 3657 return 1518;
c0890d26
RS
3658 }
3659 else
3660 {
582e12bf
RS
3661 if (((word >> 31) & 0x1) == 0)
3662 {
3663 if (((word >> 10) & 0x1) == 0)
3664 {
3665 /* 33222222222211111111110000000000
3666 10987654321098765432109876543210
7684e580 3667 010001x01x0xxxxx000xx0xxxxxxxxxx
582e12bf
RS
3668 sdot. */
3669 return 1757;
3670 }
3671 else
3672 {
3673 /* 33222222222211111111110000000000
3674 10987654321098765432109876543210
7684e580 3675 010001x01x0xxxxx000xx1xxxxxxxxxx
582e12bf
RS
3676 udot. */
3677 return 1909;
3678 }
3679 }
3680 else
3681 {
3682 /* 33222222222211111111110000000000
3683 10987654321098765432109876543210
7684e580 3684 110001x01x0xxxxx000xxxxxxxxxxxxx
582e12bf
RS
3685 ld1sh. */
3686 return 1529;
3687 }
c0890d26
RS
3688 }
3689 }
3690 }
3691 else
3692 {
3693 if (((word >> 30) & 0x1) == 0)
3694 {
3695 if (((word >> 31) & 0x1) == 0)
3696 {
3697 if (((word >> 10) & 0x1) == 0)
3698 {
3699 if (((word >> 11) & 0x1) == 0)
3700 {
3701 if (((word >> 12) & 0x1) == 0)
3702 {
3703 /* 33222222222211111111110000000000
3704 10987654321098765432109876543210
7684e580 3705 000001x0xx1xxxxx000000xxxxxxxxxx
c0890d26 3706 add. */
c2c4ff8d 3707 return 1230;
c0890d26
RS
3708 }
3709 else
3710 {
3711 /* 33222222222211111111110000000000
3712 10987654321098765432109876543210
7684e580 3713 000001x0xx1xxxxx000100xxxxxxxxxx
c0890d26 3714 sqadd. */
582e12bf 3715 return 1771;
c0890d26
RS
3716 }
3717 }
3718 else
3719 {
3720 /* 33222222222211111111110000000000
3721 10987654321098765432109876543210
7684e580 3722 000001x0xx1xxxxx000x10xxxxxxxxxx
c0890d26 3723 sqsub. */
582e12bf 3724 return 1801;
c0890d26
RS
3725 }
3726 }
3727 else
3728 {
3729 if (((word >> 11) & 0x1) == 0)
3730 {
3731 if (((word >> 12) & 0x1) == 0)
3732 {
3733 /* 33222222222211111111110000000000
3734 10987654321098765432109876543210
7684e580 3735 000001x0xx1xxxxx000001xxxxxxxxxx
c0890d26 3736 sub. */
582e12bf 3737 return 1883;
c0890d26
RS
3738 }
3739 else
3740 {
3741 /* 33222222222211111111110000000000
3742 10987654321098765432109876543210
7684e580 3743 000001x0xx1xxxxx000101xxxxxxxxxx
c0890d26 3744 uqadd. */
582e12bf 3745 return 1919;
c0890d26
RS
3746 }
3747 }
3748 else
3749 {
3750 /* 33222222222211111111110000000000
3751 10987654321098765432109876543210
7684e580 3752 000001x0xx1xxxxx000x11xxxxxxxxxx
c0890d26 3753 uqsub. */
582e12bf 3754 return 1949;
c0890d26
RS
3755 }
3756 }
3757 }
3758 else
3759 {
3760 if (((word >> 23) & 0x1) == 0)
3761 {
3762 /* 33222222222211111111110000000000
3763 10987654321098765432109876543210
7684e580 3764 100001x00x1xxxxx000xxxxxxxxxxxxx
c0890d26 3765 prfb. */
582e12bf 3766 return 1704;
c0890d26
RS
3767 }
3768 else
3769 {
3770 /* 33222222222211111111110000000000
3771 10987654321098765432109876543210
7684e580 3772 100001x01x1xxxxx000xxxxxxxxxxxxx
c0890d26 3773 ld1sh. */
582e12bf 3774 return 1526;
c0890d26
RS
3775 }
3776 }
3777 }
3778 else
3779 {
3780 if (((word >> 23) & 0x1) == 0)
3781 {
3782 /* 33222222222211111111110000000000
3783 10987654321098765432109876543210
7684e580 3784 x10001x00x1xxxxx000xxxxxxxxxxxxx
c0890d26 3785 prfb. */
582e12bf 3786 return 1705;
c0890d26
RS
3787 }
3788 else
3789 {
582e12bf
RS
3790 if (((word >> 31) & 0x1) == 0)
3791 {
3792 if (((word >> 10) & 0x1) == 0)
3793 {
3794 if (((word >> 22) & 0x1) == 0)
3795 {
3796 /* 33222222222211111111110000000000
3797 10987654321098765432109876543210
7684e580 3798 010001x0101xxxxx000xx0xxxxxxxxxx
582e12bf
RS
3799 sdot. */
3800 return 1758;
3801 }
3802 else
3803 {
3804 /* 33222222222211111111110000000000
3805 10987654321098765432109876543210
7684e580 3806 010001x0111xxxxx000xx0xxxxxxxxxx
582e12bf
RS
3807 sdot. */
3808 return 1759;
3809 }
3810 }
3811 else
3812 {
3813 if (((word >> 22) & 0x1) == 0)
3814 {
3815 /* 33222222222211111111110000000000
3816 10987654321098765432109876543210
7684e580 3817 010001x0101xxxxx000xx1xxxxxxxxxx
582e12bf
RS
3818 udot. */
3819 return 1910;
3820 }
3821 else
3822 {
3823 /* 33222222222211111111110000000000
3824 10987654321098765432109876543210
7684e580 3825 010001x0111xxxxx000xx1xxxxxxxxxx
582e12bf
RS
3826 udot. */
3827 return 1911;
3828 }
3829 }
3830 }
3831 else
3832 {
3833 /* 33222222222211111111110000000000
3834 10987654321098765432109876543210
7684e580 3835 110001x01x1xxxxx000xxxxxxxxxxxxx
582e12bf
RS
3836 ld1sh. */
3837 return 1530;
3838 }
c0890d26
RS
3839 }
3840 }
3841 }
3842 }
3843 else
3844 {
3845 if (((word >> 30) & 0x1) == 0)
3846 {
3847 if (((word >> 31) & 0x1) == 0)
3848 {
3849 if (((word >> 21) & 0x1) == 0)
3850 {
3851 if (((word >> 16) & 0x1) == 0)
3852 {
3853 if (((word >> 18) & 0x1) == 0)
3854 {
3855 if (((word >> 19) & 0x1) == 0)
3856 {
3857 if (((word >> 20) & 0x1) == 0)
3858 {
3859 /* 33222222222211111111110000000000
3860 10987654321098765432109876543210
7684e580 3861 000001x0xx0000x0100xxxxxxxxxxxxx
c0890d26 3862 asr. */
c2c4ff8d 3863 return 1248;
c0890d26
RS
3864 }
3865 else
3866 {
3867 /* 33222222222211111111110000000000
3868 10987654321098765432109876543210
7684e580 3869 000001x0xx0100x0100xxxxxxxxxxxxx
c0890d26 3870 asr. */
c2c4ff8d 3871 return 1246;
c0890d26
RS
3872 }
3873 }
3874 else
3875 {
3876 /* 33222222222211111111110000000000
3877 10987654321098765432109876543210
7684e580 3878 000001x0xx0x10x0100xxxxxxxxxxxxx
c0890d26 3879 asr. */
c2c4ff8d 3880 return 1247;
c0890d26
RS
3881 }
3882 }
3883 else
3884 {
3885 if (((word >> 20) & 0x1) == 0)
3886 {
3887 /* 33222222222211111111110000000000
3888 10987654321098765432109876543210
7684e580 3889 000001x0xx00x1x0100xxxxxxxxxxxxx
c0890d26 3890 asrd. */
c2c4ff8d 3891 return 1249;
c0890d26
RS
3892 }
3893 else
3894 {
3895 /* 33222222222211111111110000000000
3896 10987654321098765432109876543210
7684e580 3897 000001x0xx01x1x0100xxxxxxxxxxxxx
c0890d26 3898 asrr. */
c2c4ff8d 3899 return 1250;
c0890d26
RS
3900 }
3901 }
3902 }
3903 else
3904 {
3905 if (((word >> 17) & 0x1) == 0)
3906 {
3907 if (((word >> 18) & 0x1) == 0)
3908 {
3909 if (((word >> 19) & 0x1) == 0)
3910 {
3911 if (((word >> 20) & 0x1) == 0)
3912 {
3913 /* 33222222222211111111110000000000
3914 10987654321098765432109876543210
7684e580 3915 000001x0xx000001100xxxxxxxxxxxxx
c0890d26 3916 lsr. */
582e12bf 3917 return 1676;
c0890d26
RS
3918 }
3919 else
3920 {
3921 /* 33222222222211111111110000000000
3922 10987654321098765432109876543210
7684e580 3923 000001x0xx010001100xxxxxxxxxxxxx
c0890d26 3924 lsr. */
582e12bf 3925 return 1674;
c0890d26
RS
3926 }
3927 }
3928 else
3929 {
3930 /* 33222222222211111111110000000000
3931 10987654321098765432109876543210
7684e580 3932 000001x0xx0x1001100xxxxxxxxxxxxx
c0890d26 3933 lsr. */
582e12bf 3934 return 1675;
c0890d26
RS
3935 }
3936 }
3937 else
3938 {
3939 /* 33222222222211111111110000000000
3940 10987654321098765432109876543210
7684e580 3941 000001x0xx0xx101100xxxxxxxxxxxxx
c0890d26 3942 lsrr. */
582e12bf 3943 return 1677;
c0890d26
RS
3944 }
3945 }
3946 else
3947 {
3948 if (((word >> 18) & 0x1) == 0)
3949 {
3950 if (((word >> 19) & 0x1) == 0)
3951 {
3952 if (((word >> 20) & 0x1) == 0)
3953 {
3954 /* 33222222222211111111110000000000
3955 10987654321098765432109876543210
7684e580 3956 000001x0xx000011100xxxxxxxxxxxxx
c0890d26 3957 lsl. */
582e12bf 3958 return 1670;
c0890d26
RS
3959 }
3960 else
3961 {
3962 /* 33222222222211111111110000000000
3963 10987654321098765432109876543210
7684e580 3964 000001x0xx010011100xxxxxxxxxxxxx
c0890d26 3965 lsl. */
582e12bf 3966 return 1668;
c0890d26
RS
3967 }
3968 }
3969 else
3970 {
3971 /* 33222222222211111111110000000000
3972 10987654321098765432109876543210
7684e580 3973 000001x0xx0x1011100xxxxxxxxxxxxx
c0890d26 3974 lsl. */
582e12bf 3975 return 1669;
c0890d26
RS
3976 }
3977 }
3978 else
3979 {
3980 /* 33222222222211111111110000000000
3981 10987654321098765432109876543210
7684e580 3982 000001x0xx0xx111100xxxxxxxxxxxxx
c0890d26 3983 lslr. */
582e12bf 3984 return 1671;
c0890d26
RS
3985 }
3986 }
3987 }
3988 }
3989 else
3990 {
3991 if (((word >> 10) & 0x1) == 0)
3992 {
3993 if (((word >> 12) & 0x1) == 0)
3994 {
3995 /* 33222222222211111111110000000000
3996 10987654321098765432109876543210
7684e580 3997 000001x0xx1xxxxx1000x0xxxxxxxxxx
c0890d26 3998 asr. */
c2c4ff8d 3999 return 1244;
c0890d26
RS
4000 }
4001 else
4002 {
4003 /* 33222222222211111111110000000000
4004 10987654321098765432109876543210
7684e580 4005 000001x0xx1xxxxx1001x0xxxxxxxxxx
c0890d26 4006 asr. */
c2c4ff8d 4007 return 1245;
c0890d26
RS
4008 }
4009 }
4010 else
4011 {
4012 if (((word >> 11) & 0x1) == 0)
4013 {
4014 if (((word >> 12) & 0x1) == 0)
4015 {
4016 /* 33222222222211111111110000000000
4017 10987654321098765432109876543210
7684e580 4018 000001x0xx1xxxxx100001xxxxxxxxxx
c0890d26 4019 lsr. */
582e12bf 4020 return 1672;
c0890d26
RS
4021 }
4022 else
4023 {
4024 /* 33222222222211111111110000000000
4025 10987654321098765432109876543210
7684e580 4026 000001x0xx1xxxxx100101xxxxxxxxxx
c0890d26 4027 lsr. */
582e12bf 4028 return 1673;
c0890d26
RS
4029 }
4030 }
4031 else
4032 {
4033 if (((word >> 12) & 0x1) == 0)
4034 {
4035 /* 33222222222211111111110000000000
4036 10987654321098765432109876543210
7684e580 4037 000001x0xx1xxxxx100011xxxxxxxxxx
c0890d26 4038 lsl. */
582e12bf 4039 return 1666;
c0890d26
RS
4040 }
4041 else
4042 {
4043 /* 33222222222211111111110000000000
4044 10987654321098765432109876543210
7684e580 4045 000001x0xx1xxxxx100111xxxxxxxxxx
c0890d26 4046 lsl. */
582e12bf 4047 return 1667;
c0890d26
RS
4048 }
4049 }
4050 }
4051 }
4052 }
4053 else
4054 {
4055 if (((word >> 22) & 0x1) == 0)
4056 {
4057 if (((word >> 23) & 0x1) == 0)
4058 {
4059 /* 33222222222211111111110000000000
4060 10987654321098765432109876543210
7684e580 4061 100001x000xxxxxx100xxxxxxxxxxxxx
c0890d26 4062 ld1sb. */
582e12bf 4063 return 1520;
c0890d26
RS
4064 }
4065 else
4066 {
4067 /* 33222222222211111111110000000000
4068 10987654321098765432109876543210
7684e580 4069 100001x010xxxxxx100xxxxxxxxxxxxx
c0890d26 4070 ld1sh. */
582e12bf 4071 return 1533;
c0890d26
RS
4072 }
4073 }
4074 else
4075 {
4076 if (((word >> 23) & 0x1) == 0)
4077 {
4078 /* 33222222222211111111110000000000
4079 10987654321098765432109876543210
7684e580 4080 100001x001xxxxxx100xxxxxxxxxxxxx
c0890d26 4081 ld1rb. */
582e12bf 4082 return 1490;
c0890d26
RS
4083 }
4084 else
4085 {
4086 /* 33222222222211111111110000000000
4087 10987654321098765432109876543210
7684e580 4088 100001x011xxxxxx100xxxxxxxxxxxxx
c0890d26 4089 ld1rsw. */
582e12bf 4090 return 1511;
c0890d26
RS
4091 }
4092 }
4093 }
4094 }
4095 else
4096 {
4097 if (((word >> 21) & 0x1) == 0)
4098 {
4099 if (((word >> 23) & 0x1) == 0)
4100 {
4101 /* 33222222222211111111110000000000
4102 10987654321098765432109876543210
7684e580 4103 x10001x00x0xxxxx100xxxxxxxxxxxxx
c0890d26 4104 ld1sb. */
582e12bf 4105 return 1519;
c0890d26
RS
4106 }
4107 else
4108 {
4109 /* 33222222222211111111110000000000
4110 10987654321098765432109876543210
7684e580 4111 x10001x01x0xxxxx100xxxxxxxxxxxxx
c0890d26 4112 ld1sh. */
582e12bf 4113 return 1531;
c0890d26
RS
4114 }
4115 }
4116 else
4117 {
4118 if (((word >> 22) & 0x1) == 0)
4119 {
4120 if (((word >> 23) & 0x1) == 0)
4121 {
4122 /* 33222222222211111111110000000000
4123 10987654321098765432109876543210
7684e580 4124 x10001x0001xxxxx100xxxxxxxxxxxxx
c0890d26 4125 ld1sb. */
582e12bf 4126 return 1524;
c0890d26
RS
4127 }
4128 else
4129 {
4130 /* 33222222222211111111110000000000
4131 10987654321098765432109876543210
7684e580 4132 x10001x0101xxxxx100xxxxxxxxxxxxx
c0890d26 4133 ld1sh. */
582e12bf 4134 return 1536;
c0890d26
RS
4135 }
4136 }
4137 else
4138 {
4139 if (((word >> 23) & 0x1) == 0)
4140 {
4141 /* 33222222222211111111110000000000
4142 10987654321098765432109876543210
7684e580 4143 x10001x0011xxxxx100xxxxxxxxxxxxx
c0890d26 4144 prfb. */
582e12bf 4145 return 1706;
c0890d26
RS
4146 }
4147 else
4148 {
4149 /* 33222222222211111111110000000000
4150 10987654321098765432109876543210
7684e580 4151 x10001x0111xxxxx100xxxxxxxxxxxxx
c0890d26 4152 ld1sh. */
582e12bf 4153 return 1532;
c0890d26
RS
4154 }
4155 }
4156 }
4157 }
4158 }
4159 }
4160 else
4161 {
4162 if (((word >> 15) & 0x1) == 0)
4163 {
4164 if (((word >> 21) & 0x1) == 0)
4165 {
4166 if (((word >> 30) & 0x1) == 0)
4167 {
4168 if (((word >> 31) & 0x1) == 0)
4169 {
4170 /* 33222222222211111111110000000000
4171 10987654321098765432109876543210
7684e580 4172 000001x0xx0xxxxx010xxxxxxxxxxxxx
c0890d26 4173 mla. */
582e12bf 4174 return 1679;
c0890d26
RS
4175 }
4176 else
4177 {
4178 if (((word >> 23) & 0x1) == 0)
4179 {
4180 /* 33222222222211111111110000000000
4181 10987654321098765432109876543210
7684e580 4182 100001x00x0xxxxx010xxxxxxxxxxxxx
c0890d26 4183 ld1b. */
582e12bf 4184 return 1456;
c0890d26
RS
4185 }
4186 else
4187 {
4188 /* 33222222222211111111110000000000
4189 10987654321098765432109876543210
7684e580 4190 100001x01x0xxxxx010xxxxxxxxxxxxx
c0890d26 4191 ld1h. */
582e12bf 4192 return 1476;
c0890d26
RS
4193 }
4194 }
4195 }
4196 else
4197 {
4198 if (((word >> 23) & 0x1) == 0)
4199 {
4200 /* 33222222222211111111110000000000
4201 10987654321098765432109876543210
7684e580 4202 x10001x00x0xxxxx010xxxxxxxxxxxxx
c0890d26 4203 ld1b. */
582e12bf 4204 return 1461;
c0890d26
RS
4205 }
4206 else
4207 {
4208 /* 33222222222211111111110000000000
4209 10987654321098765432109876543210
7684e580 4210 x10001x01x0xxxxx010xxxxxxxxxxxxx
c0890d26 4211 ld1h. */
582e12bf 4212 return 1481;
c0890d26
RS
4213 }
4214 }
4215 }
4216 else
4217 {
4218 if (((word >> 30) & 0x1) == 0)
4219 {
4220 if (((word >> 31) & 0x1) == 0)
4221 {
4222 if (((word >> 11) & 0x1) == 0)
4223 {
4224 if (((word >> 12) & 0x1) == 0)
4225 {
4226 if (((word >> 10) & 0x1) == 0)
4227 {
4228 /* 33222222222211111111110000000000
4229 10987654321098765432109876543210
7684e580 4230 000001x0xx1xxxxx010000xxxxxxxxxx
c0890d26 4231 index. */
582e12bf 4232 return 1447;
c0890d26
RS
4233 }
4234 else
4235 {
4236 /* 33222222222211111111110000000000
4237 10987654321098765432109876543210
7684e580 4238 000001x0xx1xxxxx010001xxxxxxxxxx
c0890d26 4239 index. */
582e12bf 4240 return 1448;
c0890d26
RS
4241 }
4242 }
4243 else
4244 {
4245 if (((word >> 22) & 0x1) == 0)
4246 {
4247 if (((word >> 23) & 0x1) == 0)
4248 {
4249 /* 33222222222211111111110000000000
4250 10987654321098765432109876543210
7684e580 4251 000001x0001xxxxx01010xxxxxxxxxxx
c0890d26 4252 addvl. */
c2c4ff8d 4253 return 1234;
c0890d26
RS
4254 }
4255 else
4256 {
4257 /* 33222222222211111111110000000000
4258 10987654321098765432109876543210
7684e580 4259 000001x0101xxxxx01010xxxxxxxxxxx
c0890d26 4260 rdvl. */
582e12bf 4261 return 1740;
c0890d26
RS
4262 }
4263 }
4264 else
4265 {
4266 /* 33222222222211111111110000000000
4267 10987654321098765432109876543210
7684e580 4268 000001x0x11xxxxx01010xxxxxxxxxxx
c0890d26 4269 addpl. */
c2c4ff8d 4270 return 1233;
c0890d26
RS
4271 }
4272 }
4273 }
4274 else
4275 {
4276 if (((word >> 10) & 0x1) == 0)
4277 {
4278 /* 33222222222211111111110000000000
4279 10987654321098765432109876543210
7684e580 4280 000001x0xx1xxxxx010x10xxxxxxxxxx
c0890d26 4281 index. */
582e12bf 4282 return 1449;
c0890d26
RS
4283 }
4284 else
4285 {
4286 /* 33222222222211111111110000000000
4287 10987654321098765432109876543210
7684e580 4288 000001x0xx1xxxxx010x11xxxxxxxxxx
c0890d26 4289 index. */
582e12bf 4290 return 1446;
c0890d26
RS
4291 }
4292 }
4293 }
4294 else
4295 {
4296 if (((word >> 23) & 0x1) == 0)
4297 {
4298 /* 33222222222211111111110000000000
4299 10987654321098765432109876543210
7684e580 4300 100001x00x1xxxxx010xxxxxxxxxxxxx
c0890d26 4301 prfw. */
582e12bf 4302 return 1724;
c0890d26
RS
4303 }
4304 else
4305 {
4306 /* 33222222222211111111110000000000
4307 10987654321098765432109876543210
7684e580 4308 100001x01x1xxxxx010xxxxxxxxxxxxx
c0890d26 4309 ld1h. */
582e12bf 4310 return 1477;
c0890d26
RS
4311 }
4312 }
4313 }
4314 else
4315 {
4316 if (((word >> 23) & 0x1) == 0)
4317 {
4318 /* 33222222222211111111110000000000
4319 10987654321098765432109876543210
7684e580 4320 x10001x00x1xxxxx010xxxxxxxxxxxxx
c0890d26 4321 prfw. */
582e12bf 4322 return 1726;
c0890d26
RS
4323 }
4324 else
4325 {
4326 /* 33222222222211111111110000000000
4327 10987654321098765432109876543210
7684e580 4328 x10001x01x1xxxxx010xxxxxxxxxxxxx
c0890d26 4329 ld1h. */
582e12bf 4330 return 1482;
c0890d26
RS
4331 }
4332 }
4333 }
4334 }
4335 else
4336 {
4337 if (((word >> 30) & 0x1) == 0)
4338 {
4339 if (((word >> 31) & 0x1) == 0)
4340 {
4341 if (((word >> 21) & 0x1) == 0)
4342 {
4343 /* 33222222222211111111110000000000
4344 10987654321098765432109876543210
7684e580 4345 000001x0xx0xxxxx110xxxxxxxxxxxxx
c0890d26 4346 mad. */
582e12bf 4347 return 1678;
c0890d26
RS
4348 }
4349 else
4350 {
4351 if (((word >> 10) & 0x1) == 0)
4352 {
4353 if (((word >> 11) & 0x1) == 0)
4354 {
4355 if (((word >> 20) & 0x1) == 0)
4356 {
4357 if (((word >> 22) & 0x1) == 0)
4358 {
4359 /* 33222222222211111111110000000000
4360 10987654321098765432109876543210
7684e580 4361 000001x0x010xxxx110x00xxxxxxxxxx
c0890d26 4362 sqincw. */
582e12bf 4363 return 1798;
c0890d26
RS
4364 }
4365 else
4366 {
4367 if (((word >> 23) & 0x1) == 0)
4368 {
4369 /* 33222222222211111111110000000000
4370 10987654321098765432109876543210
7684e580 4371 000001x00110xxxx110x00xxxxxxxxxx
c0890d26 4372 sqinch. */
582e12bf 4373 return 1792;
c0890d26
RS
4374 }
4375 else
4376 {
4377 /* 33222222222211111111110000000000
4378 10987654321098765432109876543210
7684e580 4379 000001x01110xxxx110x00xxxxxxxxxx
c0890d26 4380 sqincd. */
582e12bf 4381 return 1789;
c0890d26
RS
4382 }
4383 }
4384 }
4385 else
4386 {
4387 if (((word >> 22) & 0x1) == 0)
4388 {
4389 /* 33222222222211111111110000000000
4390 10987654321098765432109876543210
7684e580 4391 000001x0x011xxxx110x00xxxxxxxxxx
c0890d26 4392 incw. */
582e12bf 4393 return 1444;
c0890d26
RS
4394 }
4395 else
4396 {
4397 if (((word >> 23) & 0x1) == 0)
4398 {
4399 /* 33222222222211111111110000000000
4400 10987654321098765432109876543210
7684e580 4401 000001x00111xxxx110x00xxxxxxxxxx
c0890d26 4402 inch. */
582e12bf 4403 return 1440;
c0890d26
RS
4404 }
4405 else
4406 {
4407 /* 33222222222211111111110000000000
4408 10987654321098765432109876543210
7684e580 4409 000001x01111xxxx110x00xxxxxxxxxx
c0890d26 4410 incd. */
582e12bf 4411 return 1438;
c0890d26
RS
4412 }
4413 }
4414 }
4415 }
4416 else
4417 {
4418 if (((word >> 22) & 0x1) == 0)
4419 {
4420 /* 33222222222211111111110000000000
4421 10987654321098765432109876543210
7684e580 4422 000001x0x01xxxxx110x10xxxxxxxxxx
c0890d26 4423 sqdecw. */
582e12bf 4424 return 1784;
c0890d26
RS
4425 }
4426 else
4427 {
4428 if (((word >> 23) & 0x1) == 0)
4429 {
4430 /* 33222222222211111111110000000000
4431 10987654321098765432109876543210
7684e580 4432 000001x0011xxxxx110x10xxxxxxxxxx
c0890d26 4433 sqdech. */
582e12bf 4434 return 1778;
c0890d26
RS
4435 }
4436 else
4437 {
4438 /* 33222222222211111111110000000000
4439 10987654321098765432109876543210
7684e580 4440 000001x0111xxxxx110x10xxxxxxxxxx
c0890d26 4441 sqdecd. */
582e12bf 4442 return 1775;
c0890d26
RS
4443 }
4444 }
4445 }
4446 }
4447 else
4448 {
4449 if (((word >> 11) & 0x1) == 0)
4450 {
4451 if (((word >> 20) & 0x1) == 0)
4452 {
4453 if (((word >> 22) & 0x1) == 0)
4454 {
4455 /* 33222222222211111111110000000000
4456 10987654321098765432109876543210
7684e580 4457 000001x0x010xxxx110x01xxxxxxxxxx
c0890d26 4458 uqincw. */
582e12bf 4459 return 1946;
c0890d26
RS
4460 }
4461 else
4462 {
4463 if (((word >> 23) & 0x1) == 0)
4464 {
4465 /* 33222222222211111111110000000000
4466 10987654321098765432109876543210
7684e580 4467 000001x00110xxxx110x01xxxxxxxxxx
c0890d26 4468 uqinch. */
582e12bf 4469 return 1940;
c0890d26
RS
4470 }
4471 else
4472 {
4473 /* 33222222222211111111110000000000
4474 10987654321098765432109876543210
7684e580 4475 000001x01110xxxx110x01xxxxxxxxxx
c0890d26 4476 uqincd. */
582e12bf 4477 return 1937;
c0890d26
RS
4478 }
4479 }
4480 }
4481 else
4482 {
4483 if (((word >> 22) & 0x1) == 0)
4484 {
4485 /* 33222222222211111111110000000000
4486 10987654321098765432109876543210
7684e580 4487 000001x0x011xxxx110x01xxxxxxxxxx
c0890d26 4488 decw. */
c2c4ff8d 4489 return 1319;
c0890d26
RS
4490 }
4491 else
4492 {
4493 if (((word >> 23) & 0x1) == 0)
4494 {
4495 /* 33222222222211111111110000000000
4496 10987654321098765432109876543210
7684e580 4497 000001x00111xxxx110x01xxxxxxxxxx
c0890d26 4498 dech. */
c2c4ff8d 4499 return 1315;
c0890d26
RS
4500 }
4501 else
4502 {
4503 /* 33222222222211111111110000000000
4504 10987654321098765432109876543210
7684e580 4505 000001x01111xxxx110x01xxxxxxxxxx
c0890d26 4506 decd. */
c2c4ff8d 4507 return 1313;
c0890d26
RS
4508 }
4509 }
4510 }
4511 }
4512 else
4513 {
4514 if (((word >> 22) & 0x1) == 0)
4515 {
4516 /* 33222222222211111111110000000000
4517 10987654321098765432109876543210
7684e580 4518 000001x0x01xxxxx110x11xxxxxxxxxx
c0890d26 4519 uqdecw. */
582e12bf 4520 return 1932;
c0890d26
RS
4521 }
4522 else
4523 {
4524 if (((word >> 23) & 0x1) == 0)
4525 {
4526 /* 33222222222211111111110000000000
4527 10987654321098765432109876543210
7684e580 4528 000001x0011xxxxx110x11xxxxxxxxxx
c0890d26 4529 uqdech. */
582e12bf 4530 return 1926;
c0890d26
RS
4531 }
4532 else
4533 {
4534 /* 33222222222211111111110000000000
4535 10987654321098765432109876543210
7684e580 4536 000001x0111xxxxx110x11xxxxxxxxxx
c0890d26 4537 uqdecd. */
582e12bf 4538 return 1923;
c0890d26
RS
4539 }
4540 }
4541 }
4542 }
4543 }
4544 }
4545 else
4546 {
4547 if (((word >> 22) & 0x1) == 0)
4548 {
4549 if (((word >> 21) & 0x1) == 0)
4550 {
4551 if (((word >> 23) & 0x1) == 0)
4552 {
4553 /* 33222222222211111111110000000000
4554 10987654321098765432109876543210
7684e580 4555 100001x0000xxxxx110xxxxxxxxxxxxx
c0890d26 4556 prfb. */
582e12bf 4557 return 1703;
c0890d26
RS
4558 }
4559 else
4560 {
4561 /* 33222222222211111111110000000000
4562 10987654321098765432109876543210
7684e580 4563 100001x0100xxxxx110xxxxxxxxxxxxx
c0890d26 4564 prfh. */
582e12bf 4565 return 1718;
c0890d26
RS
4566 }
4567 }
4568 else
4569 {
4570 if (((word >> 23) & 0x1) == 0)
4571 {
4572 /* 33222222222211111111110000000000
4573 10987654321098765432109876543210
7684e580 4574 100001x0001xxxxx110xxxxxxxxxxxxx
c0890d26 4575 ld1b. */
582e12bf 4576 return 1463;
c0890d26
RS
4577 }
4578 else
4579 {
4580 /* 33222222222211111111110000000000
4581 10987654321098765432109876543210
7684e580 4582 100001x0101xxxxx110xxxxxxxxxxxxx
c0890d26 4583 ld1h. */
582e12bf 4584 return 1485;
c0890d26
RS
4585 }
4586 }
4587 }
4588 else
4589 {
4590 if (((word >> 23) & 0x1) == 0)
4591 {
4592 /* 33222222222211111111110000000000
4593 10987654321098765432109876543210
7684e580 4594 100001x001xxxxxx110xxxxxxxxxxxxx
c0890d26 4595 ld1rb. */
582e12bf 4596 return 1492;
c0890d26
RS
4597 }
4598 else
4599 {
4600 /* 33222222222211111111110000000000
4601 10987654321098765432109876543210
7684e580 4602 100001x011xxxxxx110xxxxxxxxxxxxx
c0890d26 4603 ld1rh. */
582e12bf 4604 return 1496;
c0890d26
RS
4605 }
4606 }
4607 }
4608 }
4609 else
4610 {
4611 if (((word >> 21) & 0x1) == 0)
4612 {
4613 if (((word >> 23) & 0x1) == 0)
4614 {
4615 /* 33222222222211111111110000000000
4616 10987654321098765432109876543210
7684e580 4617 x10001x00x0xxxxx110xxxxxxxxxxxxx
c0890d26 4618 ld1b. */
582e12bf 4619 return 1462;
c0890d26
RS
4620 }
4621 else
4622 {
4623 /* 33222222222211111111110000000000
4624 10987654321098765432109876543210
7684e580 4625 x10001x01x0xxxxx110xxxxxxxxxxxxx
c0890d26 4626 ld1h. */
582e12bf 4627 return 1483;
c0890d26
RS
4628 }
4629 }
4630 else
4631 {
4632 if (((word >> 22) & 0x1) == 0)
4633 {
4634 if (((word >> 23) & 0x1) == 0)
4635 {
4636 /* 33222222222211111111110000000000
4637 10987654321098765432109876543210
7684e580 4638 x10001x0001xxxxx110xxxxxxxxxxxxx
c0890d26 4639 ld1b. */
582e12bf 4640 return 1468;
c0890d26
RS
4641 }
4642 else
4643 {
4644 /* 33222222222211111111110000000000
4645 10987654321098765432109876543210
7684e580 4646 x10001x0101xxxxx110xxxxxxxxxxxxx
c0890d26 4647 ld1h. */
582e12bf 4648 return 1489;
c0890d26
RS
4649 }
4650 }
4651 else
4652 {
4653 if (((word >> 23) & 0x1) == 0)
4654 {
4655 /* 33222222222211111111110000000000
4656 10987654321098765432109876543210
7684e580 4657 x10001x0011xxxxx110xxxxxxxxxxxxx
c0890d26 4658 prfw. */
582e12bf 4659 return 1727;
c0890d26
RS
4660 }
4661 else
4662 {
4663 /* 33222222222211111111110000000000
4664 10987654321098765432109876543210
7684e580 4665 x10001x0111xxxxx110xxxxxxxxxxxxx
c0890d26 4666 ld1h. */
582e12bf 4667 return 1484;
c0890d26
RS
4668 }
4669 }
4670 }
4671 }
4672 }
4673 }
4674 }
4675 else
4676 {
582e12bf 4677 if (((word >> 14) & 0x1) == 0)
c0890d26
RS
4678 {
4679 if (((word >> 15) & 0x1) == 0)
4680 {
582e12bf 4681 if (((word >> 21) & 0x1) == 0)
c0890d26
RS
4682 {
4683 if (((word >> 30) & 0x1) == 0)
4684 {
4685 if (((word >> 31) & 0x1) == 0)
4686 {
582e12bf 4687 if (((word >> 17) & 0x1) == 0)
c0890d26 4688 {
582e12bf 4689 if (((word >> 19) & 0x1) == 0)
c0890d26 4690 {
582e12bf
RS
4691 if (((word >> 20) & 0x1) == 0)
4692 {
4693 if (((word >> 16) & 0x1) == 0)
4694 {
4695 /* 33222222222211111111110000000000
4696 10987654321098765432109876543210
7684e580 4697 000001x0xx000x00001xxxxxxxxxxxxx
582e12bf
RS
4698 saddv. */
4699 return 1747;
4700 }
4701 else
4702 {
4703 /* 33222222222211111111110000000000
4704 10987654321098765432109876543210
7684e580 4705 000001x0xx000x01001xxxxxxxxxxxxx
582e12bf
RS
4706 uaddv. */
4707 return 1899;
4708 }
4709 }
4710 else
4711 {
4712 /* 33222222222211111111110000000000
4713 10987654321098765432109876543210
7684e580 4714 000001x0xx010x0x001xxxxxxxxxxxxx
582e12bf
RS
4715 movprfx. */
4716 return 1682;
4717 }
c0890d26
RS
4718 }
4719 else
4720 {
582e12bf
RS
4721 if (((word >> 16) & 0x1) == 0)
4722 {
4723 if (((word >> 20) & 0x1) == 0)
4724 {
4725 /* 33222222222211111111110000000000
4726 10987654321098765432109876543210
7684e580 4727 000001x0xx001x00001xxxxxxxxxxxxx
582e12bf
RS
4728 smaxv. */
4729 return 1765;
4730 }
4731 else
4732 {
4733 /* 33222222222211111111110000000000
4734 10987654321098765432109876543210
7684e580 4735 000001x0xx011x00001xxxxxxxxxxxxx
582e12bf
RS
4736 orv. */
4737 return 1699;
4738 }
4739 }
4740 else
4741 {
4742 if (((word >> 20) & 0x1) == 0)
4743 {
4744 /* 33222222222211111111110000000000
4745 10987654321098765432109876543210
7684e580 4746 000001x0xx001x01001xxxxxxxxxxxxx
582e12bf
RS
4747 umaxv. */
4748 return 1914;
4749 }
4750 else
4751 {
4752 /* 33222222222211111111110000000000
4753 10987654321098765432109876543210
7684e580 4754 000001x0xx011x01001xxxxxxxxxxxxx
582e12bf
RS
4755 eorv. */
4756 return 1330;
4757 }
4758 }
c0890d26
RS
4759 }
4760 }
4761 else
4762 {
582e12bf 4763 if (((word >> 16) & 0x1) == 0)
c0890d26 4764 {
582e12bf
RS
4765 if (((word >> 20) & 0x1) == 0)
4766 {
4767 /* 33222222222211111111110000000000
4768 10987654321098765432109876543210
7684e580 4769 000001x0xx00xx10001xxxxxxxxxxxxx
582e12bf
RS
4770 sminv. */
4771 return 1768;
4772 }
4773 else
4774 {
4775 /* 33222222222211111111110000000000
4776 10987654321098765432109876543210
7684e580 4777 000001x0xx01xx10001xxxxxxxxxxxxx
582e12bf
RS
4778 andv. */
4779 return 1243;
4780 }
c0890d26
RS
4781 }
4782 else
4783 {
4784 /* 33222222222211111111110000000000
4785 10987654321098765432109876543210
7684e580 4786 000001x0xx0xxx11001xxxxxxxxxxxxx
582e12bf
RS
4787 uminv. */
4788 return 1917;
c0890d26
RS
4789 }
4790 }
4791 }
c0890d26
RS
4792 else
4793 {
4794 if (((word >> 23) & 0x1) == 0)
4795 {
4796 /* 33222222222211111111110000000000
4797 10987654321098765432109876543210
7684e580 4798 100001x00x0xxxxx001xxxxxxxxxxxxx
582e12bf
RS
4799 ldff1sb. */
4800 return 1606;
c0890d26
RS
4801 }
4802 else
4803 {
4804 /* 33222222222211111111110000000000
4805 10987654321098765432109876543210
7684e580 4806 100001x01x0xxxxx001xxxxxxxxxxxxx
582e12bf
RS
4807 ldff1sh. */
4808 return 1614;
c0890d26
RS
4809 }
4810 }
4811 }
582e12bf 4812 else
c0890d26 4813 {
582e12bf 4814 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
4815 {
4816 /* 33222222222211111111110000000000
4817 10987654321098765432109876543210
7684e580 4818 x10001x00x0xxxxx001xxxxxxxxxxxxx
582e12bf
RS
4819 ldff1sb. */
4820 return 1610;
c0890d26
RS
4821 }
4822 else
4823 {
4824 /* 33222222222211111111110000000000
4825 10987654321098765432109876543210
7684e580 4826 x10001x01x0xxxxx001xxxxxxxxxxxxx
582e12bf
RS
4827 ldff1sh. */
4828 return 1618;
c0890d26
RS
4829 }
4830 }
582e12bf
RS
4831 }
4832 else
4833 {
4834 if (((word >> 23) & 0x1) == 0)
c0890d26 4835 {
582e12bf 4836 if (((word >> 30) & 0x1) == 0)
c0890d26 4837 {
582e12bf 4838 if (((word >> 31) & 0x1) == 0)
c0890d26 4839 {
582e12bf 4840 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
4841 {
4842 /* 33222222222211111111110000000000
4843 10987654321098765432109876543210
7684e580 4844 000001x0001xxxxx001xxxxxxxxxxxxx
582e12bf
RS
4845 and. */
4846 return 1238;
c0890d26
RS
4847 }
4848 else
4849 {
4850 /* 33222222222211111111110000000000
4851 10987654321098765432109876543210
7684e580 4852 000001x0011xxxxx001xxxxxxxxxxxxx
582e12bf
RS
4853 orr. */
4854 return 1694;
c0890d26
RS
4855 }
4856 }
c0890d26
RS
4857 else
4858 {
4859 /* 33222222222211111111110000000000
4860 10987654321098765432109876543210
7684e580 4861 100001x00x1xxxxx001xxxxxxxxxxxxx
582e12bf
RS
4862 prfh. */
4863 return 1717;
c0890d26
RS
4864 }
4865 }
4866 else
4867 {
582e12bf
RS
4868 /* 33222222222211111111110000000000
4869 10987654321098765432109876543210
7684e580 4870 x10001x00x1xxxxx001xxxxxxxxxxxxx
582e12bf
RS
4871 prfh. */
4872 return 1719;
c0890d26
RS
4873 }
4874 }
4875 else
4876 {
582e12bf 4877 if (((word >> 30) & 0x1) == 0)
c0890d26 4878 {
582e12bf 4879 if (((word >> 31) & 0x1) == 0)
c0890d26 4880 {
582e12bf
RS
4881 if (((word >> 22) & 0x1) == 0)
4882 {
4883 /* 33222222222211111111110000000000
4884 10987654321098765432109876543210
7684e580 4885 000001x0101xxxxx001xxxxxxxxxxxxx
582e12bf
RS
4886 eor. */
4887 return 1325;
4888 }
4889 else
4890 {
4891 /* 33222222222211111111110000000000
4892 10987654321098765432109876543210
7684e580 4893 000001x0111xxxxx001xxxxxxxxxxxxx
582e12bf
RS
4894 bic. */
4895 return 1251;
4896 }
c0890d26
RS
4897 }
4898 else
4899 {
4900 /* 33222222222211111111110000000000
4901 10987654321098765432109876543210
7684e580 4902 100001x01x1xxxxx001xxxxxxxxxxxxx
582e12bf
RS
4903 ldff1sh. */
4904 return 1615;
c0890d26
RS
4905 }
4906 }
4907 else
4908 {
582e12bf
RS
4909 /* 33222222222211111111110000000000
4910 10987654321098765432109876543210
7684e580 4911 x10001x01x1xxxxx001xxxxxxxxxxxxx
582e12bf
RS
4912 ldff1sh. */
4913 return 1619;
c0890d26
RS
4914 }
4915 }
4916 }
4917 }
4918 else
4919 {
582e12bf 4920 if (((word >> 30) & 0x1) == 0)
c0890d26 4921 {
582e12bf 4922 if (((word >> 31) & 0x1) == 0)
c0890d26 4923 {
582e12bf 4924 if (((word >> 21) & 0x1) == 0)
c0890d26 4925 {
582e12bf 4926 if (((word >> 16) & 0x1) == 0)
c0890d26 4927 {
582e12bf 4928 if (((word >> 17) & 0x1) == 0)
c0890d26 4929 {
582e12bf 4930 if (((word >> 18) & 0x1) == 0)
c0890d26 4931 {
582e12bf 4932 if (((word >> 19) & 0x1) == 0)
c0890d26
RS
4933 {
4934 /* 33222222222211111111110000000000
4935 10987654321098765432109876543210
7684e580 4936 000001x0xx0x0000101xxxxxxxxxxxxx
582e12bf
RS
4937 sxtb. */
4938 return 1890;
c0890d26
RS
4939 }
4940 else
4941 {
4942 /* 33222222222211111111110000000000
4943 10987654321098765432109876543210
7684e580 4944 000001x0xx0x1000101xxxxxxxxxxxxx
582e12bf
RS
4945 cls. */
4946 return 1271;
c0890d26
RS
4947 }
4948 }
4949 else
4950 {
582e12bf
RS
4951 if (((word >> 19) & 0x1) == 0)
4952 {
4953 /* 33222222222211111111110000000000
4954 10987654321098765432109876543210
7684e580 4955 000001x0xx0x0100101xxxxxxxxxxxxx
582e12bf
RS
4956 sxtw. */
4957 return 1892;
4958 }
4959 else
4960 {
4961 /* 33222222222211111111110000000000
4962 10987654321098765432109876543210
7684e580 4963 000001x0xx0x1100101xxxxxxxxxxxxx
582e12bf
RS
4964 fabs. */
4965 return 1333;
4966 }
c0890d26
RS
4967 }
4968 }
4969 else
4970 {
582e12bf 4971 if (((word >> 18) & 0x1) == 0)
c0890d26 4972 {
582e12bf 4973 if (((word >> 19) & 0x1) == 0)
c0890d26
RS
4974 {
4975 /* 33222222222211111111110000000000
4976 10987654321098765432109876543210
7684e580 4977 000001x0xx0x0010101xxxxxxxxxxxxx
582e12bf
RS
4978 sxth. */
4979 return 1891;
c0890d26
RS
4980 }
4981 else
4982 {
4983 /* 33222222222211111111110000000000
4984 10987654321098765432109876543210
7684e580 4985 000001x0xx0x1010101xxxxxxxxxxxxx
582e12bf
RS
4986 cnt. */
4987 return 1300;
c0890d26
RS
4988 }
4989 }
4990 else
4991 {
582e12bf 4992 if (((word >> 19) & 0x1) == 0)
c0890d26
RS
4993 {
4994 /* 33222222222211111111110000000000
4995 10987654321098765432109876543210
7684e580 4996 000001x0xx0x0110101xxxxxxxxxxxxx
582e12bf
RS
4997 abs. */
4998 return 1229;
c0890d26
RS
4999 }
5000 else
5001 {
5002 /* 33222222222211111111110000000000
5003 10987654321098765432109876543210
7684e580 5004 000001x0xx0x1110101xxxxxxxxxxxxx
582e12bf
RS
5005 not. */
5006 return 1691;
c0890d26
RS
5007 }
5008 }
5009 }
5010 }
5011 else
5012 {
582e12bf 5013 if (((word >> 17) & 0x1) == 0)
c0890d26 5014 {
582e12bf
RS
5015 if (((word >> 18) & 0x1) == 0)
5016 {
5017 if (((word >> 19) & 0x1) == 0)
5018 {
5019 /* 33222222222211111111110000000000
5020 10987654321098765432109876543210
7684e580 5021 000001x0xx0x0001101xxxxxxxxxxxxx
582e12bf
RS
5022 uxtb. */
5023 return 1953;
5024 }
5025 else
5026 {
5027 /* 33222222222211111111110000000000
5028 10987654321098765432109876543210
7684e580 5029 000001x0xx0x1001101xxxxxxxxxxxxx
582e12bf
RS
5030 clz. */
5031 return 1272;
5032 }
5033 }
5034 else
5035 {
5036 if (((word >> 19) & 0x1) == 0)
5037 {
5038 /* 33222222222211111111110000000000
5039 10987654321098765432109876543210
7684e580 5040 000001x0xx0x0101101xxxxxxxxxxxxx
582e12bf
RS
5041 uxtw. */
5042 return 1955;
5043 }
5044 else
5045 {
5046 /* 33222222222211111111110000000000
5047 10987654321098765432109876543210
7684e580 5048 000001x0xx0x1101101xxxxxxxxxxxxx
582e12bf
RS
5049 fneg. */
5050 return 1410;
5051 }
5052 }
5053 }
5054 else
5055 {
5056 if (((word >> 18) & 0x1) == 0)
5057 {
5058 if (((word >> 19) & 0x1) == 0)
5059 {
5060 /* 33222222222211111111110000000000
5061 10987654321098765432109876543210
7684e580 5062 000001x0xx0x0011101xxxxxxxxxxxxx
582e12bf
RS
5063 uxth. */
5064 return 1954;
5065 }
5066 else
5067 {
5068 /* 33222222222211111111110000000000
5069 10987654321098765432109876543210
7684e580 5070 000001x0xx0x1011101xxxxxxxxxxxxx
582e12bf
RS
5071 cnot. */
5072 return 1299;
5073 }
5074 }
5075 else
c0890d26
RS
5076 {
5077 /* 33222222222211111111110000000000
5078 10987654321098765432109876543210
7684e580 5079 000001x0xx0xx111101xxxxxxxxxxxxx
582e12bf
RS
5080 neg. */
5081 return 1688;
5082 }
5083 }
5084 }
5085 }
5086 else
5087 {
5088 if (((word >> 12) & 0x1) == 0)
5089 {
5090 if (((word >> 23) & 0x1) == 0)
5091 {
5092 if (((word >> 22) & 0x1) == 0)
5093 {
5094 /* 33222222222211111111110000000000
5095 10987654321098765432109876543210
7684e580 5096 000001x0001xxxxx1010xxxxxxxxxxxx
582e12bf
RS
5097 adr. */
5098 return 1235;
c0890d26
RS
5099 }
5100 else
5101 {
5102 /* 33222222222211111111110000000000
5103 10987654321098765432109876543210
7684e580 5104 000001x0011xxxxx1010xxxxxxxxxxxx
582e12bf
RS
5105 adr. */
5106 return 1236;
c0890d26
RS
5107 }
5108 }
5109 else
5110 {
5111 /* 33222222222211111111110000000000
5112 10987654321098765432109876543210
7684e580 5113 000001x01x1xxxxx1010xxxxxxxxxxxx
582e12bf
RS
5114 adr. */
5115 return 1237;
5116 }
5117 }
5118 else
5119 {
5120 if (((word >> 10) & 0x1) == 0)
5121 {
5122 if (((word >> 11) & 0x1) == 0)
5123 {
5124 /* 33222222222211111111110000000000
5125 10987654321098765432109876543210
7684e580 5126 000001x0xx1xxxxx101100xxxxxxxxxx
582e12bf
RS
5127 ftssel. */
5128 return 1436;
5129 }
5130 else
5131 {
5132 /* 33222222222211111111110000000000
5133 10987654321098765432109876543210
7684e580 5134 000001x0xx1xxxxx101110xxxxxxxxxx
582e12bf
RS
5135 fexpa. */
5136 return 1380;
5137 }
5138 }
5139 else
5140 {
5141 /* 33222222222211111111110000000000
5142 10987654321098765432109876543210
7684e580 5143 000001x0xx1xxxxx1011x1xxxxxxxxxx
582e12bf
RS
5144 movprfx. */
5145 return 1681;
c0890d26
RS
5146 }
5147 }
5148 }
582e12bf
RS
5149 }
5150 else
5151 {
5152 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
5153 {
5154 if (((word >> 23) & 0x1) == 0)
5155 {
5156 /* 33222222222211111111110000000000
5157 10987654321098765432109876543210
7684e580 5158 100001x000xxxxxx101xxxxxxxxxxxxx
c0890d26 5159 ldff1sb. */
582e12bf 5160 return 1612;
c0890d26
RS
5161 }
5162 else
5163 {
5164 /* 33222222222211111111110000000000
5165 10987654321098765432109876543210
7684e580 5166 100001x010xxxxxx101xxxxxxxxxxxxx
c0890d26 5167 ldff1sh. */
582e12bf
RS
5168 return 1622;
5169 }
5170 }
5171 else
5172 {
5173 if (((word >> 23) & 0x1) == 0)
5174 {
5175 /* 33222222222211111111110000000000
5176 10987654321098765432109876543210
7684e580 5177 100001x001xxxxxx101xxxxxxxxxxxxx
582e12bf
RS
5178 ld1rb. */
5179 return 1491;
5180 }
5181 else
5182 {
5183 /* 33222222222211111111110000000000
5184 10987654321098765432109876543210
7684e580 5185 100001x011xxxxxx101xxxxxxxxxxxxx
582e12bf
RS
5186 ld1rh. */
5187 return 1495;
c0890d26
RS
5188 }
5189 }
5190 }
582e12bf
RS
5191 }
5192 else
5193 {
5194 if (((word >> 21) & 0x1) == 0)
c0890d26
RS
5195 {
5196 if (((word >> 23) & 0x1) == 0)
5197 {
5198 /* 33222222222211111111110000000000
5199 10987654321098765432109876543210
7684e580 5200 x10001x00x0xxxxx101xxxxxxxxxxxxx
c0890d26 5201 ldff1sb. */
582e12bf 5202 return 1611;
c0890d26
RS
5203 }
5204 else
5205 {
5206 /* 33222222222211111111110000000000
5207 10987654321098765432109876543210
7684e580 5208 x10001x01x0xxxxx101xxxxxxxxxxxxx
c0890d26 5209 ldff1sh. */
582e12bf 5210 return 1620;
c0890d26
RS
5211 }
5212 }
582e12bf 5213 else
c0890d26 5214 {
582e12bf 5215 if (((word >> 22) & 0x1) == 0)
c0890d26 5216 {
582e12bf 5217 if (((word >> 23) & 0x1) == 0)
c0890d26 5218 {
582e12bf
RS
5219 /* 33222222222211111111110000000000
5220 10987654321098765432109876543210
7684e580 5221 x10001x0001xxxxx101xxxxxxxxxxxxx
582e12bf
RS
5222 ldff1sb. */
5223 return 1613;
c0890d26
RS
5224 }
5225 else
5226 {
5227 /* 33222222222211111111110000000000
5228 10987654321098765432109876543210
7684e580 5229 x10001x0101xxxxx101xxxxxxxxxxxxx
582e12bf
RS
5230 ldff1sh. */
5231 return 1623;
c0890d26
RS
5232 }
5233 }
5234 else
5235 {
582e12bf
RS
5236 if (((word >> 23) & 0x1) == 0)
5237 {
5238 /* 33222222222211111111110000000000
5239 10987654321098765432109876543210
7684e580 5240 x10001x0011xxxxx101xxxxxxxxxxxxx
582e12bf
RS
5241 prfh. */
5242 return 1720;
5243 }
5244 else
5245 {
5246 /* 33222222222211111111110000000000
5247 10987654321098765432109876543210
7684e580 5248 x10001x0111xxxxx101xxxxxxxxxxxxx
582e12bf
RS
5249 ldff1sh. */
5250 return 1621;
5251 }
c0890d26
RS
5252 }
5253 }
582e12bf
RS
5254 }
5255 }
5256 }
5257 else
5258 {
5259 if (((word >> 15) & 0x1) == 0)
5260 {
5261 if (((word >> 21) & 0x1) == 0)
5262 {
5263 if (((word >> 30) & 0x1) == 0)
c0890d26 5264 {
582e12bf 5265 if (((word >> 31) & 0x1) == 0)
c0890d26 5266 {
582e12bf
RS
5267 /* 33222222222211111111110000000000
5268 10987654321098765432109876543210
7684e580 5269 000001x0xx0xxxxx011xxxxxxxxxxxxx
582e12bf
RS
5270 mls. */
5271 return 1680;
5272 }
5273 else
5274 {
5275 if (((word >> 23) & 0x1) == 0)
c0890d26 5276 {
582e12bf
RS
5277 /* 33222222222211111111110000000000
5278 10987654321098765432109876543210
7684e580 5279 100001x00x0xxxxx011xxxxxxxxxxxxx
582e12bf
RS
5280 ldff1b. */
5281 return 1580;
c0890d26
RS
5282 }
5283 else
5284 {
5285 /* 33222222222211111111110000000000
5286 10987654321098765432109876543210
7684e580 5287 100001x01x0xxxxx011xxxxxxxxxxxxx
582e12bf
RS
5288 ldff1h. */
5289 return 1595;
c0890d26
RS
5290 }
5291 }
582e12bf
RS
5292 }
5293 else
5294 {
5295 if (((word >> 23) & 0x1) == 0)
5296 {
5297 /* 33222222222211111111110000000000
5298 10987654321098765432109876543210
7684e580 5299 x10001x00x0xxxxx011xxxxxxxxxxxxx
582e12bf
RS
5300 ldff1b. */
5301 return 1585;
5302 }
c0890d26
RS
5303 else
5304 {
5305 /* 33222222222211111111110000000000
5306 10987654321098765432109876543210
7684e580 5307 x10001x01x0xxxxx011xxxxxxxxxxxxx
582e12bf
RS
5308 ldff1h. */
5309 return 1600;
5310 }
5311 }
5312 }
5313 else
5314 {
5315 if (((word >> 23) & 0x1) == 0)
5316 {
5317 if (((word >> 30) & 0x1) == 0)
5318 {
5319 /* 33222222222211111111110000000000
5320 10987654321098765432109876543210
7684e580 5321 x00001x00x1xxxxx011xxxxxxxxxxxxx
582e12bf
RS
5322 prfd. */
5323 return 1710;
5324 }
5325 else
5326 {
5327 /* 33222222222211111111110000000000
5328 10987654321098765432109876543210
7684e580 5329 x10001x00x1xxxxx011xxxxxxxxxxxxx
582e12bf
RS
5330 prfd. */
5331 return 1712;
5332 }
5333 }
5334 else
5335 {
5336 if (((word >> 30) & 0x1) == 0)
5337 {
5338 /* 33222222222211111111110000000000
5339 10987654321098765432109876543210
7684e580 5340 x00001x01x1xxxxx011xxxxxxxxxxxxx
582e12bf
RS
5341 ldff1h. */
5342 return 1596;
5343 }
5344 else
5345 {
5346 /* 33222222222211111111110000000000
5347 10987654321098765432109876543210
7684e580 5348 x10001x01x1xxxxx011xxxxxxxxxxxxx
582e12bf
RS
5349 ldff1h. */
5350 return 1601;
c0890d26
RS
5351 }
5352 }
5353 }
5354 }
5355 else
5356 {
5357 if (((word >> 30) & 0x1) == 0)
5358 {
5359 if (((word >> 31) & 0x1) == 0)
5360 {
5361 if (((word >> 21) & 0x1) == 0)
5362 {
582e12bf
RS
5363 /* 33222222222211111111110000000000
5364 10987654321098765432109876543210
7684e580 5365 000001x0xx0xxxxx111xxxxxxxxxxxxx
582e12bf
RS
5366 msb. */
5367 return 1683;
5368 }
5369 else
5370 {
5371 if (((word >> 10) & 0x1) == 0)
c0890d26 5372 {
582e12bf 5373 if (((word >> 11) & 0x1) == 0)
c0890d26 5374 {
582e12bf 5375 if (((word >> 12) & 0x1) == 0)
c0890d26 5376 {
582e12bf 5377 if (((word >> 20) & 0x1) == 0)
c0890d26 5378 {
582e12bf
RS
5379 if (((word >> 22) & 0x1) == 0)
5380 {
5381 if (((word >> 23) & 0x1) == 0)
5382 {
5383 /* 33222222222211111111110000000000
5384 10987654321098765432109876543210
7684e580 5385 000001x00010xxxx111000xxxxxxxxxx
582e12bf
RS
5386 cntb. */
5387 return 1301;
5388 }
5389 else
5390 {
5391 /* 33222222222211111111110000000000
5392 10987654321098765432109876543210
7684e580 5393 000001x01010xxxx111000xxxxxxxxxx
582e12bf
RS
5394 cntw. */
5395 return 1305;
5396 }
5397 }
5398 else
5399 {
5400 if (((word >> 23) & 0x1) == 0)
5401 {
5402 /* 33222222222211111111110000000000
5403 10987654321098765432109876543210
7684e580 5404 000001x00110xxxx111000xxxxxxxxxx
582e12bf
RS
5405 cnth. */
5406 return 1303;
5407 }
5408 else
5409 {
5410 /* 33222222222211111111110000000000
5411 10987654321098765432109876543210
7684e580 5412 000001x01110xxxx111000xxxxxxxxxx
582e12bf
RS
5413 cntd. */
5414 return 1302;
5415 }
5416 }
c0890d26
RS
5417 }
5418 else
5419 {
582e12bf
RS
5420 if (((word >> 22) & 0x1) == 0)
5421 {
5422 if (((word >> 23) & 0x1) == 0)
5423 {
5424 /* 33222222222211111111110000000000
5425 10987654321098765432109876543210
7684e580 5426 000001x00011xxxx111000xxxxxxxxxx
582e12bf
RS
5427 incb. */
5428 return 1437;
5429 }
5430 else
5431 {
5432 /* 33222222222211111111110000000000
5433 10987654321098765432109876543210
7684e580 5434 000001x01011xxxx111000xxxxxxxxxx
582e12bf
RS
5435 incw. */
5436 return 1445;
5437 }
5438 }
5439 else
5440 {
5441 if (((word >> 23) & 0x1) == 0)
5442 {
5443 /* 33222222222211111111110000000000
5444 10987654321098765432109876543210
7684e580 5445 000001x00111xxxx111000xxxxxxxxxx
582e12bf
RS
5446 inch. */
5447 return 1441;
5448 }
5449 else
5450 {
5451 /* 33222222222211111111110000000000
5452 10987654321098765432109876543210
7684e580 5453 000001x01111xxxx111000xxxxxxxxxx
582e12bf
RS
5454 incd. */
5455 return 1439;
5456 }
5457 }
c0890d26
RS
5458 }
5459 }
5460 else
5461 {
582e12bf 5462 if (((word >> 20) & 0x1) == 0)
c0890d26
RS
5463 {
5464 if (((word >> 22) & 0x1) == 0)
5465 {
5466 if (((word >> 23) & 0x1) == 0)
5467 {
5468 /* 33222222222211111111110000000000
5469 10987654321098765432109876543210
7684e580 5470 000001x00010xxxx111100xxxxxxxxxx
c0890d26 5471 sqincb. */
582e12bf 5472 return 1788;
c0890d26
RS
5473 }
5474 else
5475 {
5476 /* 33222222222211111111110000000000
5477 10987654321098765432109876543210
7684e580 5478 000001x01010xxxx111100xxxxxxxxxx
c0890d26 5479 sqincw. */
582e12bf 5480 return 1800;
c0890d26
RS
5481 }
5482 }
5483 else
5484 {
5485 if (((word >> 23) & 0x1) == 0)
5486 {
5487 /* 33222222222211111111110000000000
5488 10987654321098765432109876543210
7684e580 5489 000001x00110xxxx111100xxxxxxxxxx
c0890d26 5490 sqinch. */
582e12bf 5491 return 1794;
c0890d26
RS
5492 }
5493 else
5494 {
5495 /* 33222222222211111111110000000000
5496 10987654321098765432109876543210
7684e580 5497 000001x01110xxxx111100xxxxxxxxxx
c0890d26 5498 sqincd. */
582e12bf 5499 return 1791;
c0890d26
RS
5500 }
5501 }
5502 }
5503 else
5504 {
5505 if (((word >> 22) & 0x1) == 0)
5506 {
5507 if (((word >> 23) & 0x1) == 0)
5508 {
5509 /* 33222222222211111111110000000000
5510 10987654321098765432109876543210
7684e580 5511 000001x00011xxxx111100xxxxxxxxxx
c0890d26 5512 sqincb. */
582e12bf 5513 return 1787;
c0890d26
RS
5514 }
5515 else
5516 {
5517 /* 33222222222211111111110000000000
5518 10987654321098765432109876543210
7684e580 5519 000001x01011xxxx111100xxxxxxxxxx
c0890d26 5520 sqincw. */
582e12bf 5521 return 1799;
c0890d26
RS
5522 }
5523 }
5524 else
5525 {
5526 if (((word >> 23) & 0x1) == 0)
5527 {
5528 /* 33222222222211111111110000000000
5529 10987654321098765432109876543210
7684e580 5530 000001x00111xxxx111100xxxxxxxxxx
c0890d26 5531 sqinch. */
582e12bf 5532 return 1793;
c0890d26
RS
5533 }
5534 else
5535 {
5536 /* 33222222222211111111110000000000
5537 10987654321098765432109876543210
7684e580 5538 000001x01111xxxx111100xxxxxxxxxx
c0890d26 5539 sqincd. */
582e12bf 5540 return 1790;
c0890d26
RS
5541 }
5542 }
5543 }
5544 }
5545 }
5546 else
5547 {
5548 if (((word >> 20) & 0x1) == 0)
5549 {
5550 if (((word >> 22) & 0x1) == 0)
5551 {
5552 if (((word >> 23) & 0x1) == 0)
5553 {
5554 /* 33222222222211111111110000000000
5555 10987654321098765432109876543210
7684e580 5556 000001x00010xxxx111x10xxxxxxxxxx
c0890d26 5557 sqdecb. */
582e12bf 5558 return 1774;
c0890d26
RS
5559 }
5560 else
5561 {
5562 /* 33222222222211111111110000000000
5563 10987654321098765432109876543210
7684e580 5564 000001x01010xxxx111x10xxxxxxxxxx
c0890d26 5565 sqdecw. */
582e12bf 5566 return 1786;
c0890d26
RS
5567 }
5568 }
5569 else
5570 {
5571 if (((word >> 23) & 0x1) == 0)
5572 {
5573 /* 33222222222211111111110000000000
5574 10987654321098765432109876543210
7684e580 5575 000001x00110xxxx111x10xxxxxxxxxx
c0890d26 5576 sqdech. */
582e12bf 5577 return 1780;
c0890d26
RS
5578 }
5579 else
5580 {
5581 /* 33222222222211111111110000000000
5582 10987654321098765432109876543210
7684e580 5583 000001x01110xxxx111x10xxxxxxxxxx
c0890d26 5584 sqdecd. */
582e12bf 5585 return 1777;
c0890d26
RS
5586 }
5587 }
5588 }
5589 else
5590 {
5591 if (((word >> 22) & 0x1) == 0)
5592 {
5593 if (((word >> 23) & 0x1) == 0)
5594 {
5595 /* 33222222222211111111110000000000
5596 10987654321098765432109876543210
7684e580 5597 000001x00011xxxx111x10xxxxxxxxxx
c0890d26 5598 sqdecb. */
582e12bf 5599 return 1773;
c0890d26
RS
5600 }
5601 else
5602 {
5603 /* 33222222222211111111110000000000
5604 10987654321098765432109876543210
7684e580 5605 000001x01011xxxx111x10xxxxxxxxxx
c0890d26 5606 sqdecw. */
582e12bf 5607 return 1785;
c0890d26
RS
5608 }
5609 }
5610 else
5611 {
5612 if (((word >> 23) & 0x1) == 0)
5613 {
5614 /* 33222222222211111111110000000000
5615 10987654321098765432109876543210
7684e580 5616 000001x00111xxxx111x10xxxxxxxxxx
c0890d26 5617 sqdech. */
582e12bf 5618 return 1779;
c0890d26
RS
5619 }
5620 else
5621 {
5622 /* 33222222222211111111110000000000
5623 10987654321098765432109876543210
7684e580 5624 000001x01111xxxx111x10xxxxxxxxxx
c0890d26 5625 sqdecd. */
582e12bf 5626 return 1776;
c0890d26
RS
5627 }
5628 }
5629 }
5630 }
5631 }
5632 else
5633 {
5634 if (((word >> 11) & 0x1) == 0)
5635 {
5636 if (((word >> 12) & 0x1) == 0)
5637 {
5638 if (((word >> 22) & 0x1) == 0)
5639 {
5640 if (((word >> 23) & 0x1) == 0)
5641 {
5642 /* 33222222222211111111110000000000
5643 10987654321098765432109876543210
7684e580 5644 000001x0001xxxxx111001xxxxxxxxxx
c0890d26 5645 decb. */
c2c4ff8d 5646 return 1312;
c0890d26
RS
5647 }
5648 else
5649 {
5650 /* 33222222222211111111110000000000
5651 10987654321098765432109876543210
7684e580 5652 000001x0101xxxxx111001xxxxxxxxxx
c0890d26 5653 decw. */
c2c4ff8d 5654 return 1320;
c0890d26
RS
5655 }
5656 }
5657 else
5658 {
5659 if (((word >> 23) & 0x1) == 0)
5660 {
5661 /* 33222222222211111111110000000000
5662 10987654321098765432109876543210
7684e580 5663 000001x0011xxxxx111001xxxxxxxxxx
c0890d26 5664 dech. */
c2c4ff8d 5665 return 1316;
c0890d26
RS
5666 }
5667 else
5668 {
5669 /* 33222222222211111111110000000000
5670 10987654321098765432109876543210
7684e580 5671 000001x0111xxxxx111001xxxxxxxxxx
c0890d26 5672 decd. */
c2c4ff8d 5673 return 1314;
c0890d26
RS
5674 }
5675 }
5676 }
5677 else
5678 {
5679 if (((word >> 20) & 0x1) == 0)
5680 {
5681 if (((word >> 22) & 0x1) == 0)
5682 {
5683 if (((word >> 23) & 0x1) == 0)
5684 {
5685 /* 33222222222211111111110000000000
5686 10987654321098765432109876543210
7684e580 5687 000001x00010xxxx111101xxxxxxxxxx
c0890d26 5688 uqincb. */
582e12bf 5689 return 1935;
c0890d26
RS
5690 }
5691 else
5692 {
5693 /* 33222222222211111111110000000000
5694 10987654321098765432109876543210
7684e580 5695 000001x01010xxxx111101xxxxxxxxxx
c0890d26 5696 uqincw. */
582e12bf 5697 return 1947;
c0890d26
RS
5698 }
5699 }
5700 else
5701 {
5702 if (((word >> 23) & 0x1) == 0)
5703 {
5704 /* 33222222222211111111110000000000
5705 10987654321098765432109876543210
7684e580 5706 000001x00110xxxx111101xxxxxxxxxx
c0890d26 5707 uqinch. */
582e12bf 5708 return 1941;
c0890d26
RS
5709 }
5710 else
5711 {
5712 /* 33222222222211111111110000000000
5713 10987654321098765432109876543210
7684e580 5714 000001x01110xxxx111101xxxxxxxxxx
c0890d26 5715 uqincd. */
582e12bf 5716 return 1938;
c0890d26
RS
5717 }
5718 }
5719 }
5720 else
5721 {
5722 if (((word >> 22) & 0x1) == 0)
5723 {
5724 if (((word >> 23) & 0x1) == 0)
5725 {
5726 /* 33222222222211111111110000000000
5727 10987654321098765432109876543210
7684e580 5728 000001x00011xxxx111101xxxxxxxxxx
c0890d26 5729 uqincb. */
582e12bf 5730 return 1936;
c0890d26
RS
5731 }
5732 else
5733 {
5734 /* 33222222222211111111110000000000
5735 10987654321098765432109876543210
7684e580 5736 000001x01011xxxx111101xxxxxxxxxx
c0890d26 5737 uqincw. */
582e12bf 5738 return 1948;
c0890d26
RS
5739 }
5740 }
5741 else
5742 {
5743 if (((word >> 23) & 0x1) == 0)
5744 {
5745 /* 33222222222211111111110000000000
5746 10987654321098765432109876543210
7684e580 5747 000001x00111xxxx111101xxxxxxxxxx
c0890d26 5748 uqinch. */
582e12bf 5749 return 1942;
c0890d26
RS
5750 }
5751 else
5752 {
5753 /* 33222222222211111111110000000000
5754 10987654321098765432109876543210
7684e580 5755 000001x01111xxxx111101xxxxxxxxxx
c0890d26 5756 uqincd. */
582e12bf 5757 return 1939;
c0890d26
RS
5758 }
5759 }
5760 }
5761 }
5762 }
5763 else
5764 {
5765 if (((word >> 20) & 0x1) == 0)
5766 {
5767 if (((word >> 22) & 0x1) == 0)
5768 {
5769 if (((word >> 23) & 0x1) == 0)
5770 {
5771 /* 33222222222211111111110000000000
5772 10987654321098765432109876543210
7684e580 5773 000001x00010xxxx111x11xxxxxxxxxx
c0890d26 5774 uqdecb. */
582e12bf 5775 return 1921;
c0890d26
RS
5776 }
5777 else
5778 {
5779 /* 33222222222211111111110000000000
5780 10987654321098765432109876543210
7684e580 5781 000001x01010xxxx111x11xxxxxxxxxx
c0890d26 5782 uqdecw. */
582e12bf 5783 return 1933;
c0890d26
RS
5784 }
5785 }
5786 else
5787 {
5788 if (((word >> 23) & 0x1) == 0)
5789 {
5790 /* 33222222222211111111110000000000
5791 10987654321098765432109876543210
7684e580 5792 000001x00110xxxx111x11xxxxxxxxxx
c0890d26 5793 uqdech. */
582e12bf 5794 return 1927;
c0890d26
RS
5795 }
5796 else
5797 {
5798 /* 33222222222211111111110000000000
5799 10987654321098765432109876543210
7684e580 5800 000001x01110xxxx111x11xxxxxxxxxx
c0890d26 5801 uqdecd. */
582e12bf 5802 return 1924;
c0890d26
RS
5803 }
5804 }
5805 }
5806 else
5807 {
5808 if (((word >> 22) & 0x1) == 0)
5809 {
5810 if (((word >> 23) & 0x1) == 0)
5811 {
5812 /* 33222222222211111111110000000000
5813 10987654321098765432109876543210
7684e580 5814 000001x00011xxxx111x11xxxxxxxxxx
c0890d26 5815 uqdecb. */
582e12bf 5816 return 1922;
c0890d26
RS
5817 }
5818 else
5819 {
5820 /* 33222222222211111111110000000000
5821 10987654321098765432109876543210
7684e580 5822 000001x01011xxxx111x11xxxxxxxxxx
c0890d26 5823 uqdecw. */
582e12bf 5824 return 1934;
c0890d26
RS
5825 }
5826 }
5827 else
5828 {
5829 if (((word >> 23) & 0x1) == 0)
5830 {
5831 /* 33222222222211111111110000000000
5832 10987654321098765432109876543210
7684e580 5833 000001x00111xxxx111x11xxxxxxxxxx
c0890d26 5834 uqdech. */
582e12bf 5835 return 1928;
c0890d26
RS
5836 }
5837 else
5838 {
5839 /* 33222222222211111111110000000000
5840 10987654321098765432109876543210
7684e580 5841 000001x01111xxxx111x11xxxxxxxxxx
c0890d26 5842 uqdecd. */
582e12bf 5843 return 1925;
c0890d26
RS
5844 }
5845 }
5846 }
5847 }
5848 }
5849 }
5850 }
5851 else
5852 {
5853 if (((word >> 22) & 0x1) == 0)
5854 {
582e12bf
RS
5855 if (((word >> 21) & 0x1) == 0)
5856 {
5857 if (((word >> 23) & 0x1) == 0)
5858 {
5859 /* 33222222222211111111110000000000
5860 10987654321098765432109876543210
7684e580 5861 100001x0000xxxxx111xxxxxxxxxxxxx
582e12bf
RS
5862 prfb. */
5863 return 1707;
5864 }
5865 else
5866 {
5867 /* 33222222222211111111110000000000
5868 10987654321098765432109876543210
7684e580 5869 100001x0100xxxxx111xxxxxxxxxxxxx
582e12bf
RS
5870 prfh. */
5871 return 1721;
5872 }
5873 }
5874 else
5875 {
5876 if (((word >> 23) & 0x1) == 0)
5877 {
5878 /* 33222222222211111111110000000000
5879 10987654321098765432109876543210
7684e580 5880 100001x0001xxxxx111xxxxxxxxxxxxx
582e12bf
RS
5881 ldff1b. */
5882 return 1587;
5883 }
5884 else
5885 {
5886 /* 33222222222211111111110000000000
5887 10987654321098765432109876543210
7684e580 5888 100001x0101xxxxx111xxxxxxxxxxxxx
582e12bf
RS
5889 ldff1h. */
5890 return 1604;
5891 }
5892 }
5893 }
5894 else
5895 {
5896 if (((word >> 23) & 0x1) == 0)
5897 {
5898 /* 33222222222211111111110000000000
5899 10987654321098765432109876543210
7684e580 5900 100001x001xxxxxx111xxxxxxxxxxxxx
582e12bf
RS
5901 ld1rb. */
5902 return 1493;
5903 }
5904 else
5905 {
5906 /* 33222222222211111111110000000000
5907 10987654321098765432109876543210
7684e580 5908 100001x011xxxxxx111xxxxxxxxxxxxx
582e12bf
RS
5909 ld1rh. */
5910 return 1497;
5911 }
5912 }
5913 }
5914 }
5915 else
5916 {
5917 if (((word >> 21) & 0x1) == 0)
5918 {
5919 if (((word >> 22) & 0x1) == 0)
5920 {
5921 if (((word >> 23) & 0x1) == 0)
5922 {
5923 /* 33222222222211111111110000000000
5924 10987654321098765432109876543210
7684e580 5925 x10001x0000xxxxx111xxxxxxxxxxxxx
582e12bf
RS
5926 prfb. */
5927 return 1709;
5928 }
5929 else
5930 {
5931 /* 33222222222211111111110000000000
5932 10987654321098765432109876543210
7684e580 5933 x10001x0100xxxxx111xxxxxxxxxxxxx
582e12bf
RS
5934 prfh. */
5935 return 1723;
5936 }
5937 }
5938 else
5939 {
5940 if (((word >> 23) & 0x1) == 0)
5941 {
5942 /* 33222222222211111111110000000000
5943 10987654321098765432109876543210
7684e580 5944 x10001x0010xxxxx111xxxxxxxxxxxxx
582e12bf
RS
5945 ldff1b. */
5946 return 1586;
5947 }
5948 else
5949 {
5950 /* 33222222222211111111110000000000
5951 10987654321098765432109876543210
7684e580 5952 x10001x0110xxxxx111xxxxxxxxxxxxx
582e12bf
RS
5953 ldff1h. */
5954 return 1602;
5955 }
5956 }
5957 }
5958 else
5959 {
5960 if (((word >> 22) & 0x1) == 0)
5961 {
5962 if (((word >> 23) & 0x1) == 0)
5963 {
5964 /* 33222222222211111111110000000000
5965 10987654321098765432109876543210
7684e580 5966 x10001x0001xxxxx111xxxxxxxxxxxxx
582e12bf
RS
5967 ldff1b. */
5968 return 1588;
5969 }
5970 else
5971 {
5972 /* 33222222222211111111110000000000
5973 10987654321098765432109876543210
7684e580 5974 x10001x0101xxxxx111xxxxxxxxxxxxx
582e12bf
RS
5975 ldff1h. */
5976 return 1605;
5977 }
5978 }
5979 else
5980 {
5981 if (((word >> 23) & 0x1) == 0)
5982 {
5983 /* 33222222222211111111110000000000
5984 10987654321098765432109876543210
7684e580 5985 x10001x0011xxxxx111xxxxxxxxxxxxx
582e12bf
RS
5986 prfd. */
5987 return 1713;
5988 }
5989 else
5990 {
5991 /* 33222222222211111111110000000000
5992 10987654321098765432109876543210
7684e580 5993 x10001x0111xxxxx111xxxxxxxxxxxxx
582e12bf
RS
5994 ldff1h. */
5995 return 1603;
5996 }
5997 }
5998 }
5999 }
6000 }
6001 }
6002 }
6003 }
6004 else
6005 {
6006 if (((word >> 21) & 0x1) == 0)
6007 {
6008 if (((word >> 15) & 0x1) == 0)
6009 {
6010 if (((word >> 30) & 0x1) == 0)
6011 {
6012 if (((word >> 13) & 0x1) == 0)
6013 {
6014 if (((word >> 14) & 0x1) == 0)
6015 {
6016 if (((word >> 31) & 0x1) == 0)
6017 {
6018 if (((word >> 4) & 0x1) == 0)
6019 {
6020 /* 33222222222211111111110000000000
6021 10987654321098765432109876543210
7684e580 6022 001001x0xx0xxxxx000xxxxxxxx0xxxx
582e12bf
RS
6023 cmphs. */
6024 return 1285;
6025 }
6026 else
6027 {
6028 /* 33222222222211111111110000000000
6029 10987654321098765432109876543210
7684e580 6030 001001x0xx0xxxxx000xxxxxxxx1xxxx
582e12bf
RS
6031 cmphi. */
6032 return 1282;
6033 }
6034 }
6035 else
6036 {
6037 if (((word >> 23) & 0x1) == 0)
6038 {
6039 /* 33222222222211111111110000000000
6040 10987654321098765432109876543210
7684e580 6041 101001x00x0xxxxx000xxxxxxxxxxxxx
582e12bf
RS
6042 ld1rqb. */
6043 return 1499;
6044 }
6045 else
6046 {
6047 /* 33222222222211111111110000000000
6048 10987654321098765432109876543210
7684e580 6049 101001x01x0xxxxx000xxxxxxxxxxxxx
582e12bf
RS
6050 ld1rqh. */
6051 return 1503;
6052 }
6053 }
6054 }
6055 else
6056 {
6057 if (((word >> 31) & 0x1) == 0)
6058 {
6059 if (((word >> 4) & 0x1) == 0)
6060 {
6061 /* 33222222222211111111110000000000
6062 10987654321098765432109876543210
7684e580 6063 001001x0xx0xxxxx010xxxxxxxx0xxxx
582e12bf
RS
6064 cmpge. */
6065 return 1276;
6066 }
6067 else
6068 {
6069 /* 33222222222211111111110000000000
6070 10987654321098765432109876543210
7684e580 6071 001001x0xx0xxxxx010xxxxxxxx1xxxx
582e12bf
RS
6072 cmpgt. */
6073 return 1279;
6074 }
6075 }
6076 else
6077 {
6078 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
6079 {
6080 if (((word >> 23) & 0x1) == 0)
6081 {
6082 /* 33222222222211111111110000000000
6083 10987654321098765432109876543210
7684e580 6084 101001x0000xxxxx010xxxxxxxxxxxxx
582e12bf
RS
6085 ld1b. */
6086 return 1457;
c0890d26
RS
6087 }
6088 else
6089 {
6090 /* 33222222222211111111110000000000
6091 10987654321098765432109876543210
7684e580 6092 101001x0100xxxxx010xxxxxxxxxxxxx
582e12bf
RS
6093 ld1sw. */
6094 return 1537;
c0890d26
RS
6095 }
6096 }
6097 else
6098 {
6099 if (((word >> 23) & 0x1) == 0)
6100 {
6101 /* 33222222222211111111110000000000
6102 10987654321098765432109876543210
7684e580 6103 101001x0010xxxxx010xxxxxxxxxxxxx
582e12bf
RS
6104 ld1b. */
6105 return 1459;
c0890d26
RS
6106 }
6107 else
6108 {
6109 /* 33222222222211111111110000000000
6110 10987654321098765432109876543210
7684e580 6111 101001x0110xxxxx010xxxxxxxxxxxxx
582e12bf
RS
6112 ld1h. */
6113 return 1479;
c0890d26
RS
6114 }
6115 }
6116 }
582e12bf
RS
6117 }
6118 }
6119 else
6120 {
6121 if (((word >> 14) & 0x1) == 0)
6122 {
6123 if (((word >> 31) & 0x1) == 0)
6124 {
6125 if (((word >> 4) & 0x1) == 0)
6126 {
6127 /* 33222222222211111111110000000000
6128 10987654321098765432109876543210
7684e580 6129 001001x0xx0xxxxx001xxxxxxxx0xxxx
582e12bf
RS
6130 cmpeq. */
6131 return 1273;
6132 }
6133 else
6134 {
6135 /* 33222222222211111111110000000000
6136 10987654321098765432109876543210
7684e580 6137 001001x0xx0xxxxx001xxxxxxxx1xxxx
582e12bf
RS
6138 cmpne. */
6139 return 1296;
6140 }
6141 }
c0890d26
RS
6142 else
6143 {
6144 if (((word >> 23) & 0x1) == 0)
6145 {
6146 /* 33222222222211111111110000000000
6147 10987654321098765432109876543210
7684e580 6148 101001x00x0xxxxx001xxxxxxxxxxxxx
582e12bf
RS
6149 ld1rqb. */
6150 return 1498;
c0890d26
RS
6151 }
6152 else
6153 {
6154 /* 33222222222211111111110000000000
6155 10987654321098765432109876543210
7684e580 6156 101001x01x0xxxxx001xxxxxxxxxxxxx
582e12bf
RS
6157 ld1rqh. */
6158 return 1502;
6159 }
6160 }
6161 }
6162 else
6163 {
6164 if (((word >> 31) & 0x1) == 0)
6165 {
6166 if (((word >> 4) & 0x1) == 0)
6167 {
6168 /* 33222222222211111111110000000000
6169 10987654321098765432109876543210
7684e580 6170 001001x0xx0xxxxx011xxxxxxxx0xxxx
582e12bf
RS
6171 cmplt. */
6172 return 1294;
6173 }
6174 else
6175 {
6176 /* 33222222222211111111110000000000
6177 10987654321098765432109876543210
7684e580 6178 001001x0xx0xxxxx011xxxxxxxx1xxxx
582e12bf
RS
6179 cmple. */
6180 return 1288;
6181 }
6182 }
6183 else
6184 {
6185 if (((word >> 22) & 0x1) == 0)
6186 {
6187 if (((word >> 23) & 0x1) == 0)
6188 {
6189 /* 33222222222211111111110000000000
6190 10987654321098765432109876543210
7684e580 6191 101001x0000xxxxx011xxxxxxxxxxxxx
582e12bf
RS
6192 ldff1b. */
6193 return 1581;
6194 }
6195 else
6196 {
6197 /* 33222222222211111111110000000000
6198 10987654321098765432109876543210
7684e580 6199 101001x0100xxxxx011xxxxxxxxxxxxx
582e12bf
RS
6200 ldff1sw. */
6201 return 1624;
6202 }
6203 }
6204 else
6205 {
6206 if (((word >> 23) & 0x1) == 0)
6207 {
6208 /* 33222222222211111111110000000000
6209 10987654321098765432109876543210
7684e580 6210 101001x0010xxxxx011xxxxxxxxxxxxx
582e12bf
RS
6211 ldff1b. */
6212 return 1583;
6213 }
6214 else
6215 {
6216 /* 33222222222211111111110000000000
6217 10987654321098765432109876543210
7684e580 6218 101001x0110xxxxx011xxxxxxxxxxxxx
582e12bf
RS
6219 ldff1h. */
6220 return 1598;
6221 }
c0890d26
RS
6222 }
6223 }
6224 }
6225 }
582e12bf
RS
6226 }
6227 else
6228 {
6229 if (((word >> 31) & 0x1) == 0)
6230 {
6231 /* 33222222222211111111110000000000
6232 10987654321098765432109876543210
7684e580 6233 011001x0xx0xxxxx0xxxxxxxxxxxxxxx
582e12bf
RS
6234 fcmla. */
6235 return 1342;
6236 }
c0890d26
RS
6237 else
6238 {
582e12bf 6239 if (((word >> 13) & 0x1) == 0)
c0890d26
RS
6240 {
6241 if (((word >> 22) & 0x1) == 0)
6242 {
582e12bf
RS
6243 /* 33222222222211111111110000000000
6244 10987654321098765432109876543210
7684e580 6245 111001x0x00xxxxx0x0xxxxxxxxxxxxx
582e12bf
RS
6246 st1b. */
6247 return 1803;
c0890d26
RS
6248 }
6249 else
6250 {
6251 if (((word >> 23) & 0x1) == 0)
6252 {
6253 /* 33222222222211111111110000000000
6254 10987654321098765432109876543210
7684e580 6255 111001x0010xxxxx0x0xxxxxxxxxxxxx
582e12bf
RS
6256 st1b. */
6257 return 1807;
c0890d26
RS
6258 }
6259 else
6260 {
6261 /* 33222222222211111111110000000000
6262 10987654321098765432109876543210
7684e580 6263 111001x0110xxxxx0x0xxxxxxxxxxxxx
582e12bf
RS
6264 st1h. */
6265 return 1828;
c0890d26
RS
6266 }
6267 }
6268 }
6269 else
6270 {
6271 if (((word >> 22) & 0x1) == 0)
6272 {
6273 if (((word >> 23) & 0x1) == 0)
6274 {
6275 /* 33222222222211111111110000000000
6276 10987654321098765432109876543210
7684e580 6277 111001x0000xxxxx0x1xxxxxxxxxxxxx
582e12bf
RS
6278 stnt1b. */
6279 return 1873;
c0890d26
RS
6280 }
6281 else
6282 {
6283 /* 33222222222211111111110000000000
6284 10987654321098765432109876543210
7684e580 6285 111001x0100xxxxx0x1xxxxxxxxxxxxx
582e12bf
RS
6286 stnt1h. */
6287 return 1877;
c0890d26
RS
6288 }
6289 }
6290 else
6291 {
6292 if (((word >> 23) & 0x1) == 0)
6293 {
6294 /* 33222222222211111111110000000000
6295 10987654321098765432109876543210
7684e580 6296 111001x0010xxxxx0x1xxxxxxxxxxxxx
582e12bf
RS
6297 st3b. */
6298 return 1857;
c0890d26
RS
6299 }
6300 else
6301 {
6302 /* 33222222222211111111110000000000
6303 10987654321098765432109876543210
7684e580 6304 111001x0110xxxxx0x1xxxxxxxxxxxxx
582e12bf
RS
6305 st3h. */
6306 return 1861;
c0890d26
RS
6307 }
6308 }
6309 }
6310 }
6311 }
6312 }
582e12bf 6313 else
c0890d26 6314 {
582e12bf 6315 if (((word >> 13) & 0x1) == 0)
c0890d26 6316 {
582e12bf 6317 if (((word >> 30) & 0x1) == 0)
c0890d26 6318 {
582e12bf 6319 if (((word >> 14) & 0x1) == 0)
c0890d26 6320 {
582e12bf
RS
6321 if (((word >> 4) & 0x1) == 0)
6322 {
6323 /* 33222222222211111111110000000000
6324 10987654321098765432109876543210
7684e580 6325 x01001x0xx0xxxxx100xxxxxxxx0xxxx
582e12bf
RS
6326 cmpge. */
6327 return 1277;
6328 }
6329 else
6330 {
6331 /* 33222222222211111111110000000000
6332 10987654321098765432109876543210
7684e580 6333 x01001x0xx0xxxxx100xxxxxxxx1xxxx
582e12bf
RS
6334 cmpgt. */
6335 return 1280;
6336 }
c0890d26
RS
6337 }
6338 else
c0890d26
RS
6339 {
6340 if (((word >> 31) & 0x1) == 0)
6341 {
6342 if (((word >> 4) & 0x1) == 0)
6343 {
6344 /* 33222222222211111111110000000000
6345 10987654321098765432109876543210
7684e580 6346 001001x0xx0xxxxx110xxxxxxxx0xxxx
582e12bf
RS
6347 cmphs. */
6348 return 1286;
c0890d26
RS
6349 }
6350 else
6351 {
6352 /* 33222222222211111111110000000000
6353 10987654321098765432109876543210
7684e580 6354 001001x0xx0xxxxx110xxxxxxxx1xxxx
582e12bf
RS
6355 cmphi. */
6356 return 1283;
c0890d26
RS
6357 }
6358 }
6359 else
6360 {
582e12bf 6361 if (((word >> 22) & 0x1) == 0)
c0890d26 6362 {
582e12bf 6363 if (((word >> 23) & 0x1) == 0)
c0890d26 6364 {
582e12bf
RS
6365 /* 33222222222211111111110000000000
6366 10987654321098765432109876543210
7684e580 6367 101001x0000xxxxx110xxxxxxxxxxxxx
582e12bf
RS
6368 ldnt1b. */
6369 return 1656;
c0890d26
RS
6370 }
6371 else
6372 {
582e12bf
RS
6373 /* 33222222222211111111110000000000
6374 10987654321098765432109876543210
7684e580 6375 101001x0100xxxxx110xxxxxxxxxxxxx
582e12bf
RS
6376 ldnt1h. */
6377 return 1660;
c0890d26
RS
6378 }
6379 }
6380 else
6381 {
582e12bf 6382 if (((word >> 23) & 0x1) == 0)
c0890d26 6383 {
582e12bf
RS
6384 /* 33222222222211111111110000000000
6385 10987654321098765432109876543210
7684e580 6386 101001x0010xxxxx110xxxxxxxxxxxxx
582e12bf
RS
6387 ld3b. */
6388 return 1564;
c0890d26
RS
6389 }
6390 else
6391 {
582e12bf
RS
6392 /* 33222222222211111111110000000000
6393 10987654321098765432109876543210
7684e580 6394 101001x0110xxxxx110xxxxxxxxxxxxx
582e12bf
RS
6395 ld3h. */
6396 return 1568;
c0890d26
RS
6397 }
6398 }
6399 }
6400 }
582e12bf
RS
6401 }
6402 else
6403 {
6404 if (((word >> 31) & 0x1) == 0)
6405 {
6406 /* 33222222222211111111110000000000
6407 10987654321098765432109876543210
7684e580 6408 011001x0xx0xxxxx1x0xxxxxxxxxxxxx
582e12bf
RS
6409 fcadd. */
6410 return 1341;
6411 }
c0890d26
RS
6412 else
6413 {
6414 if (((word >> 22) & 0x1) == 0)
6415 {
6416 if (((word >> 23) & 0x1) == 0)
6417 {
6418 /* 33222222222211111111110000000000
6419 10987654321098765432109876543210
7684e580 6420 111001x0000xxxxx1x0xxxxxxxxxxxxx
c0890d26 6421 st1b. */
582e12bf 6422 return 1804;
c0890d26
RS
6423 }
6424 else
6425 {
6426 /* 33222222222211111111110000000000
6427 10987654321098765432109876543210
7684e580 6428 111001x0100xxxxx1x0xxxxxxxxxxxxx
c0890d26 6429 st1h. */
582e12bf 6430 return 1823;
c0890d26
RS
6431 }
6432 }
6433 else
6434 {
6435 if (((word >> 23) & 0x1) == 0)
6436 {
6437 /* 33222222222211111111110000000000
6438 10987654321098765432109876543210
7684e580 6439 111001x0010xxxxx1x0xxxxxxxxxxxxx
c0890d26 6440 st1b. */
582e12bf 6441 return 1808;
c0890d26
RS
6442 }
6443 else
6444 {
6445 /* 33222222222211111111110000000000
6446 10987654321098765432109876543210
7684e580 6447 111001x0110xxxxx1x0xxxxxxxxxxxxx
c0890d26 6448 st1h. */
582e12bf 6449 return 1829;
c0890d26
RS
6450 }
6451 }
6452 }
6453 }
6454 }
6455 else
6456 {
582e12bf 6457 if (((word >> 14) & 0x1) == 0)
c0890d26
RS
6458 {
6459 if (((word >> 30) & 0x1) == 0)
6460 {
6461 if (((word >> 31) & 0x1) == 0)
6462 {
6463 if (((word >> 4) & 0x1) == 0)
6464 {
6465 /* 33222222222211111111110000000000
6466 10987654321098765432109876543210
7684e580 6467 001001x0xx0xxxxx101xxxxxxxx0xxxx
582e12bf
RS
6468 cmpeq. */
6469 return 1274;
c0890d26
RS
6470 }
6471 else
6472 {
6473 /* 33222222222211111111110000000000
6474 10987654321098765432109876543210
7684e580 6475 001001x0xx0xxxxx101xxxxxxxx1xxxx
582e12bf
RS
6476 cmpne. */
6477 return 1297;
c0890d26
RS
6478 }
6479 }
6480 else
6481 {
582e12bf 6482 if (((word >> 20) & 0x1) == 0)
c0890d26 6483 {
582e12bf 6484 if (((word >> 22) & 0x1) == 0)
c0890d26 6485 {
582e12bf
RS
6486 if (((word >> 23) & 0x1) == 0)
6487 {
6488 /* 33222222222211111111110000000000
6489 10987654321098765432109876543210
7684e580 6490 101001x00000xxxx101xxxxxxxxxxxxx
582e12bf
RS
6491 ld1b. */
6492 return 1464;
6493 }
6494 else
6495 {
6496 /* 33222222222211111111110000000000
6497 10987654321098765432109876543210
7684e580 6498 101001x01000xxxx101xxxxxxxxxxxxx
582e12bf
RS
6499 ld1sw. */
6500 return 1542;
6501 }
c0890d26
RS
6502 }
6503 else
6504 {
582e12bf
RS
6505 if (((word >> 23) & 0x1) == 0)
6506 {
6507 /* 33222222222211111111110000000000
6508 10987654321098765432109876543210
7684e580 6509 101001x00100xxxx101xxxxxxxxxxxxx
582e12bf
RS
6510 ld1b. */
6511 return 1466;
6512 }
6513 else
6514 {
6515 /* 33222222222211111111110000000000
6516 10987654321098765432109876543210
7684e580 6517 101001x01100xxxx101xxxxxxxxxxxxx
582e12bf
RS
6518 ld1h. */
6519 return 1487;
6520 }
c0890d26
RS
6521 }
6522 }
6523 else
6524 {
582e12bf 6525 if (((word >> 22) & 0x1) == 0)
c0890d26 6526 {
582e12bf
RS
6527 if (((word >> 23) & 0x1) == 0)
6528 {
6529 /* 33222222222211111111110000000000
6530 10987654321098765432109876543210
7684e580 6531 101001x00001xxxx101xxxxxxxxxxxxx
582e12bf
RS
6532 ldnf1b. */
6533 return 1640;
6534 }
6535 else
6536 {
6537 /* 33222222222211111111110000000000
6538 10987654321098765432109876543210
7684e580 6539 101001x01001xxxx101xxxxxxxxxxxxx
582e12bf
RS
6540 ldnf1sw. */
6541 return 1653;
6542 }
c0890d26
RS
6543 }
6544 else
6545 {
582e12bf
RS
6546 if (((word >> 23) & 0x1) == 0)
6547 {
6548 /* 33222222222211111111110000000000
6549 10987654321098765432109876543210
7684e580 6550 101001x00101xxxx101xxxxxxxxxxxxx
582e12bf
RS
6551 ldnf1b. */
6552 return 1642;
6553 }
6554 else
6555 {
6556 /* 33222222222211111111110000000000
6557 10987654321098765432109876543210
7684e580 6558 101001x01101xxxx101xxxxxxxxxxxxx
582e12bf
RS
6559 ldnf1h. */
6560 return 1646;
6561 }
c0890d26
RS
6562 }
6563 }
6564 }
6565 }
6566 else
6567 {
6568 if (((word >> 22) & 0x1) == 0)
6569 {
6570 if (((word >> 23) & 0x1) == 0)
6571 {
6572 /* 33222222222211111111110000000000
6573 10987654321098765432109876543210
7684e580 6574 x11001x0000xxxxx101xxxxxxxxxxxxx
582e12bf
RS
6575 st1b. */
6576 return 1805;
c0890d26
RS
6577 }
6578 else
6579 {
6580 /* 33222222222211111111110000000000
6581 10987654321098765432109876543210
7684e580 6582 x11001x0100xxxxx101xxxxxxxxxxxxx
582e12bf
RS
6583 st1h. */
6584 return 1824;
c0890d26
RS
6585 }
6586 }
6587 else
6588 {
6589 if (((word >> 23) & 0x1) == 0)
6590 {
6591 /* 33222222222211111111110000000000
6592 10987654321098765432109876543210
7684e580 6593 x11001x0010xxxxx101xxxxxxxxxxxxx
582e12bf
RS
6594 st1b. */
6595 return 1812;
c0890d26
RS
6596 }
6597 else
6598 {
6599 /* 33222222222211111111110000000000
6600 10987654321098765432109876543210
7684e580 6601 x11001x0110xxxxx101xxxxxxxxxxxxx
582e12bf
RS
6602 st1h. */
6603 return 1833;
c0890d26
RS
6604 }
6605 }
6606 }
6607 }
6608 else
6609 {
6610 if (((word >> 30) & 0x1) == 0)
6611 {
6612 if (((word >> 31) & 0x1) == 0)
6613 {
6614 if (((word >> 4) & 0x1) == 0)
6615 {
6616 /* 33222222222211111111110000000000
6617 10987654321098765432109876543210
7684e580 6618 001001x0xx0xxxxx111xxxxxxxx0xxxx
c0890d26 6619 cmplo. */
c2c4ff8d 6620 return 1290;
c0890d26
RS
6621 }
6622 else
6623 {
6624 /* 33222222222211111111110000000000
6625 10987654321098765432109876543210
7684e580 6626 001001x0xx0xxxxx111xxxxxxxx1xxxx
c0890d26 6627 cmpls. */
c2c4ff8d 6628 return 1292;
c0890d26
RS
6629 }
6630 }
6631 else
6632 {
6633 if (((word >> 22) & 0x1) == 0)
6634 {
6635 if (((word >> 23) & 0x1) == 0)
6636 {
6637 /* 33222222222211111111110000000000
6638 10987654321098765432109876543210
7684e580 6639 101001x0000xxxxx111xxxxxxxxxxxxx
c0890d26 6640 ldnt1b. */
582e12bf 6641 return 1657;
c0890d26
RS
6642 }
6643 else
6644 {
6645 /* 33222222222211111111110000000000
6646 10987654321098765432109876543210
7684e580 6647 101001x0100xxxxx111xxxxxxxxxxxxx
c0890d26 6648 ldnt1h. */
582e12bf 6649 return 1661;
c0890d26
RS
6650 }
6651 }
6652 else
6653 {
6654 if (((word >> 23) & 0x1) == 0)
6655 {
6656 /* 33222222222211111111110000000000
6657 10987654321098765432109876543210
7684e580 6658 101001x0010xxxxx111xxxxxxxxxxxxx
c0890d26 6659 ld3b. */
582e12bf 6660 return 1565;
c0890d26
RS
6661 }
6662 else
6663 {
6664 /* 33222222222211111111110000000000
6665 10987654321098765432109876543210
7684e580 6666 101001x0110xxxxx111xxxxxxxxxxxxx
c0890d26 6667 ld3h. */
582e12bf 6668 return 1569;
c0890d26
RS
6669 }
6670 }
6671 }
6672 }
6673 else
6674 {
6675 if (((word >> 20) & 0x1) == 0)
6676 {
6677 if (((word >> 22) & 0x1) == 0)
6678 {
6679 /* 33222222222211111111110000000000
6680 10987654321098765432109876543210
7684e580 6681 x11001x0x000xxxx111xxxxxxxxxxxxx
c0890d26 6682 st1b. */
582e12bf 6683 return 1810;
c0890d26
RS
6684 }
6685 else
6686 {
6687 if (((word >> 23) & 0x1) == 0)
6688 {
6689 /* 33222222222211111111110000000000
6690 10987654321098765432109876543210
7684e580 6691 x11001x00100xxxx111xxxxxxxxxxxxx
c0890d26 6692 st1b. */
582e12bf 6693 return 1813;
c0890d26
RS
6694 }
6695 else
6696 {
6697 /* 33222222222211111111110000000000
6698 10987654321098765432109876543210
7684e580 6699 x11001x01100xxxx111xxxxxxxxxxxxx
c0890d26 6700 st1h. */
582e12bf 6701 return 1834;
c0890d26
RS
6702 }
6703 }
6704 }
6705 else
6706 {
6707 if (((word >> 22) & 0x1) == 0)
6708 {
6709 if (((word >> 23) & 0x1) == 0)
6710 {
6711 /* 33222222222211111111110000000000
6712 10987654321098765432109876543210
7684e580 6713 x11001x00001xxxx111xxxxxxxxxxxxx
c0890d26 6714 stnt1b. */
582e12bf 6715 return 1874;
c0890d26
RS
6716 }
6717 else
6718 {
6719 /* 33222222222211111111110000000000
6720 10987654321098765432109876543210
7684e580 6721 x11001x01001xxxx111xxxxxxxxxxxxx
c0890d26 6722 stnt1h. */
582e12bf 6723 return 1878;
c0890d26
RS
6724 }
6725 }
6726 else
6727 {
6728 if (((word >> 23) & 0x1) == 0)
6729 {
6730 /* 33222222222211111111110000000000
6731 10987654321098765432109876543210
7684e580 6732 x11001x00101xxxx111xxxxxxxxxxxxx
c0890d26 6733 st3b. */
582e12bf 6734 return 1858;
c0890d26
RS
6735 }
6736 else
6737 {
6738 /* 33222222222211111111110000000000
6739 10987654321098765432109876543210
7684e580 6740 x11001x01101xxxx111xxxxxxxxxxxxx
c0890d26 6741 st3h. */
582e12bf
RS
6742 return 1862;
6743 }
6744 }
6745 }
6746 }
6747 }
6748 }
6749 }
6750 }
6751 else
6752 {
6753 if (((word >> 13) & 0x1) == 0)
6754 {
6755 if (((word >> 30) & 0x1) == 0)
6756 {
6757 if (((word >> 31) & 0x1) == 0)
6758 {
6759 if (((word >> 4) & 0x1) == 0)
6760 {
6761 /* 33222222222211111111110000000000
6762 10987654321098765432109876543210
7684e580 6763 001001x0xx1xxxxxxx0xxxxxxxx0xxxx
582e12bf
RS
6764 cmphs. */
6765 return 1287;
6766 }
6767 else
6768 {
6769 /* 33222222222211111111110000000000
6770 10987654321098765432109876543210
7684e580 6771 001001x0xx1xxxxxxx0xxxxxxxx1xxxx
582e12bf
RS
6772 cmphi. */
6773 return 1284;
6774 }
6775 }
6776 else
6777 {
6778 if (((word >> 15) & 0x1) == 0)
6779 {
6780 if (((word >> 22) & 0x1) == 0)
6781 {
6782 if (((word >> 23) & 0x1) == 0)
6783 {
6784 /* 33222222222211111111110000000000
6785 10987654321098765432109876543210
7684e580 6786 101001x0001xxxxx0x0xxxxxxxxxxxxx
582e12bf
RS
6787 ld1b. */
6788 return 1458;
6789 }
6790 else
6791 {
6792 /* 33222222222211111111110000000000
6793 10987654321098765432109876543210
7684e580 6794 101001x0101xxxxx0x0xxxxxxxxxxxxx
582e12bf
RS
6795 ld1h. */
6796 return 1478;
6797 }
6798 }
6799 else
6800 {
6801 if (((word >> 23) & 0x1) == 0)
6802 {
6803 /* 33222222222211111111110000000000
6804 10987654321098765432109876543210
7684e580 6805 101001x0011xxxxx0x0xxxxxxxxxxxxx
582e12bf
RS
6806 ld1b. */
6807 return 1460;
6808 }
6809 else
6810 {
6811 /* 33222222222211111111110000000000
6812 10987654321098765432109876543210
7684e580 6813 101001x0111xxxxx0x0xxxxxxxxxxxxx
582e12bf
RS
6814 ld1h. */
6815 return 1480;
6816 }
6817 }
6818 }
6819 else
6820 {
6821 if (((word >> 22) & 0x1) == 0)
6822 {
6823 if (((word >> 23) & 0x1) == 0)
6824 {
6825 /* 33222222222211111111110000000000
6826 10987654321098765432109876543210
7684e580 6827 101001x0001xxxxx1x0xxxxxxxxxxxxx
582e12bf
RS
6828 ld2b. */
6829 return 1556;
6830 }
6831 else
6832 {
6833 /* 33222222222211111111110000000000
6834 10987654321098765432109876543210
7684e580 6835 101001x0101xxxxx1x0xxxxxxxxxxxxx
582e12bf
RS
6836 ld2h. */
6837 return 1560;
6838 }
6839 }
6840 else
6841 {
6842 if (((word >> 23) & 0x1) == 0)
6843 {
6844 /* 33222222222211111111110000000000
6845 10987654321098765432109876543210
7684e580 6846 101001x0011xxxxx1x0xxxxxxxxxxxxx
582e12bf
RS
6847 ld4b. */
6848 return 1572;
6849 }
6850 else
6851 {
6852 /* 33222222222211111111110000000000
6853 10987654321098765432109876543210
7684e580 6854 101001x0111xxxxx1x0xxxxxxxxxxxxx
582e12bf
RS
6855 ld4h. */
6856 return 1576;
6857 }
6858 }
6859 }
6860 }
6861 }
6862 else
6863 {
6864 if (((word >> 15) & 0x1) == 0)
6865 {
6866 if (((word >> 14) & 0x1) == 0)
6867 {
6868 if (((word >> 12) & 0x1) == 0)
6869 {
6870 if (((word >> 10) & 0x1) == 0)
6871 {
6872 if (((word >> 23) & 0x1) == 0)
6873 {
6874 /* 33222222222211111111110000000000
6875 10987654321098765432109876543210
7684e580 6876 x11001x00x1xxxxx0000x0xxxxxxxxxx
582e12bf
RS
6877 fmla. */
6878 return 1395;
6879 }
6880 else
6881 {
6882 if (((word >> 22) & 0x1) == 0)
6883 {
6884 /* 33222222222211111111110000000000
6885 10987654321098765432109876543210
7684e580 6886 x11001x0101xxxxx0000x0xxxxxxxxxx
582e12bf
RS
6887 fmla. */
6888 return 1396;
6889 }
6890 else
6891 {
6892 /* 33222222222211111111110000000000
6893 10987654321098765432109876543210
7684e580 6894 x11001x0111xxxxx0000x0xxxxxxxxxx
582e12bf
RS
6895 fmla. */
6896 return 1397;
6897 }
6898 }
6899 }
6900 else
6901 {
6902 if (((word >> 23) & 0x1) == 0)
6903 {
6904 /* 33222222222211111111110000000000
6905 10987654321098765432109876543210
7684e580 6906 x11001x00x1xxxxx0000x1xxxxxxxxxx
582e12bf
RS
6907 fmls. */
6908 return 1399;
6909 }
6910 else
6911 {
6912 if (((word >> 22) & 0x1) == 0)
6913 {
6914 /* 33222222222211111111110000000000
6915 10987654321098765432109876543210
7684e580 6916 x11001x0101xxxxx0000x1xxxxxxxxxx
582e12bf
RS
6917 fmls. */
6918 return 1400;
6919 }
6920 else
6921 {
6922 /* 33222222222211111111110000000000
6923 10987654321098765432109876543210
7684e580 6924 x11001x0111xxxxx0000x1xxxxxxxxxx
582e12bf
RS
6925 fmls. */
6926 return 1401;
6927 }
c0890d26
RS
6928 }
6929 }
6930 }
582e12bf
RS
6931 else
6932 {
6933 if (((word >> 22) & 0x1) == 0)
6934 {
6935 /* 33222222222211111111110000000000
6936 10987654321098765432109876543210
7684e580 6937 x11001x0x01xxxxx0001xxxxxxxxxxxx
582e12bf
RS
6938 fcmla. */
6939 return 1343;
6940 }
6941 else
6942 {
6943 /* 33222222222211111111110000000000
6944 10987654321098765432109876543210
7684e580 6945 x11001x0x11xxxxx0001xxxxxxxxxxxx
582e12bf
RS
6946 fcmla. */
6947 return 1344;
6948 }
6949 }
6950 }
6951 else
6952 {
6953 if (((word >> 22) & 0x1) == 0)
6954 {
6955 if (((word >> 23) & 0x1) == 0)
6956 {
6957 /* 33222222222211111111110000000000
6958 10987654321098765432109876543210
7684e580 6959 x11001x0001xxxxx010xxxxxxxxxxxxx
582e12bf
RS
6960 st1b. */
6961 return 1806;
6962 }
6963 else
6964 {
6965 /* 33222222222211111111110000000000
6966 10987654321098765432109876543210
7684e580 6967 x11001x0101xxxxx010xxxxxxxxxxxxx
582e12bf
RS
6968 st1h. */
6969 return 1825;
6970 }
6971 }
6972 else
6973 {
6974 if (((word >> 23) & 0x1) == 0)
6975 {
6976 /* 33222222222211111111110000000000
6977 10987654321098765432109876543210
7684e580 6978 x11001x0011xxxxx010xxxxxxxxxxxxx
582e12bf
RS
6979 st1b. */
6980 return 1809;
6981 }
6982 else
6983 {
6984 /* 33222222222211111111110000000000
6985 10987654321098765432109876543210
7684e580 6986 x11001x0111xxxxx010xxxxxxxxxxxxx
582e12bf
RS
6987 st1h. */
6988 return 1830;
6989 }
6990 }
6991 }
6992 }
6993 else
6994 {
6995 if (((word >> 22) & 0x1) == 0)
6996 {
6997 /* 33222222222211111111110000000000
6998 10987654321098765432109876543210
7684e580 6999 x11001x0x01xxxxx1x0xxxxxxxxxxxxx
582e12bf
RS
7000 st1h. */
7001 return 1826;
7002 }
7003 else
7004 {
7005 /* 33222222222211111111110000000000
7006 10987654321098765432109876543210
7684e580 7007 x11001x0x11xxxxx1x0xxxxxxxxxxxxx
582e12bf
RS
7008 st1h. */
7009 return 1831;
c0890d26
RS
7010 }
7011 }
7012 }
7013 }
7014 else
7015 {
7016 if (((word >> 30) & 0x1) == 0)
7017 {
7018 if (((word >> 31) & 0x1) == 0)
7019 {
7020 if (((word >> 4) & 0x1) == 0)
7021 {
7022 /* 33222222222211111111110000000000
7023 10987654321098765432109876543210
7684e580 7024 001001x0xx1xxxxxxx1xxxxxxxx0xxxx
c0890d26 7025 cmplo. */
c2c4ff8d 7026 return 1291;
c0890d26
RS
7027 }
7028 else
7029 {
7030 /* 33222222222211111111110000000000
7031 10987654321098765432109876543210
7684e580 7032 001001x0xx1xxxxxxx1xxxxxxxx1xxxx
c0890d26 7033 cmpls. */
c2c4ff8d 7034 return 1293;
c0890d26
RS
7035 }
7036 }
7037 else
7038 {
7039 if (((word >> 14) & 0x1) == 0)
7040 {
7041 if (((word >> 20) & 0x1) == 0)
7042 {
7043 if (((word >> 22) & 0x1) == 0)
7044 {
7045 if (((word >> 23) & 0x1) == 0)
7046 {
7047 /* 33222222222211111111110000000000
7048 10987654321098765432109876543210
7684e580 7049 101001x00010xxxxx01xxxxxxxxxxxxx
c0890d26 7050 ld1b. */
582e12bf 7051 return 1465;
c0890d26
RS
7052 }
7053 else
7054 {
7055 /* 33222222222211111111110000000000
7056 10987654321098765432109876543210
7684e580 7057 101001x01010xxxxx01xxxxxxxxxxxxx
c0890d26 7058 ld1h. */
582e12bf 7059 return 1486;
c0890d26
RS
7060 }
7061 }
7062 else
7063 {
7064 if (((word >> 23) & 0x1) == 0)
7065 {
7066 /* 33222222222211111111110000000000
7067 10987654321098765432109876543210
7684e580 7068 101001x00110xxxxx01xxxxxxxxxxxxx
c0890d26 7069 ld1b. */
582e12bf 7070 return 1467;
c0890d26
RS
7071 }
7072 else
7073 {
7074 /* 33222222222211111111110000000000
7075 10987654321098765432109876543210
7684e580 7076 101001x01110xxxxx01xxxxxxxxxxxxx
c0890d26 7077 ld1h. */
582e12bf 7078 return 1488;
c0890d26
RS
7079 }
7080 }
7081 }
7082 else
7083 {
7084 if (((word >> 22) & 0x1) == 0)
7085 {
7086 if (((word >> 23) & 0x1) == 0)
7087 {
7088 /* 33222222222211111111110000000000
7089 10987654321098765432109876543210
7684e580 7090 101001x00011xxxxx01xxxxxxxxxxxxx
c0890d26 7091 ldnf1b. */
582e12bf 7092 return 1641;
c0890d26
RS
7093 }
7094 else
7095 {
7096 /* 33222222222211111111110000000000
7097 10987654321098765432109876543210
7684e580 7098 101001x01011xxxxx01xxxxxxxxxxxxx
c0890d26 7099 ldnf1h. */
582e12bf 7100 return 1645;
c0890d26
RS
7101 }
7102 }
7103 else
7104 {
7105 if (((word >> 23) & 0x1) == 0)
7106 {
7107 /* 33222222222211111111110000000000
7108 10987654321098765432109876543210
7684e580 7109 101001x00111xxxxx01xxxxxxxxxxxxx
c0890d26 7110 ldnf1b. */
582e12bf 7111 return 1643;
c0890d26
RS
7112 }
7113 else
7114 {
7115 /* 33222222222211111111110000000000
7116 10987654321098765432109876543210
7684e580 7117 101001x01111xxxxx01xxxxxxxxxxxxx
c0890d26 7118 ldnf1h. */
582e12bf 7119 return 1647;
c0890d26
RS
7120 }
7121 }
7122 }
7123 }
7124 else
7125 {
7126 if (((word >> 15) & 0x1) == 0)
7127 {
7128 if (((word >> 22) & 0x1) == 0)
7129 {
7130 if (((word >> 23) & 0x1) == 0)
7131 {
7132 /* 33222222222211111111110000000000
7133 10987654321098765432109876543210
7684e580 7134 101001x0001xxxxx011xxxxxxxxxxxxx
c0890d26 7135 ldff1b. */
582e12bf 7136 return 1582;
c0890d26
RS
7137 }
7138 else
7139 {
7140 /* 33222222222211111111110000000000
7141 10987654321098765432109876543210
7684e580 7142 101001x0101xxxxx011xxxxxxxxxxxxx
c0890d26 7143 ldff1h. */
582e12bf 7144 return 1597;
c0890d26
RS
7145 }
7146 }
7147 else
7148 {
7149 if (((word >> 23) & 0x1) == 0)
7150 {
7151 /* 33222222222211111111110000000000
7152 10987654321098765432109876543210
7684e580 7153 101001x0011xxxxx011xxxxxxxxxxxxx
c0890d26 7154 ldff1b. */
582e12bf 7155 return 1584;
c0890d26
RS
7156 }
7157 else
7158 {
7159 /* 33222222222211111111110000000000
7160 10987654321098765432109876543210
7684e580 7161 101001x0111xxxxx011xxxxxxxxxxxxx
c0890d26 7162 ldff1h. */
582e12bf 7163 return 1599;
c0890d26
RS
7164 }
7165 }
7166 }
7167 else
7168 {
7169 if (((word >> 22) & 0x1) == 0)
7170 {
7171 if (((word >> 23) & 0x1) == 0)
7172 {
7173 /* 33222222222211111111110000000000
7174 10987654321098765432109876543210
7684e580 7175 101001x0001xxxxx111xxxxxxxxxxxxx
c0890d26 7176 ld2b. */
582e12bf 7177 return 1557;
c0890d26
RS
7178 }
7179 else
7180 {
7181 /* 33222222222211111111110000000000
7182 10987654321098765432109876543210
7684e580 7183 101001x0101xxxxx111xxxxxxxxxxxxx
c0890d26 7184 ld2h. */
582e12bf 7185 return 1561;
c0890d26
RS
7186 }
7187 }
7188 else
7189 {
7190 if (((word >> 23) & 0x1) == 0)
7191 {
7192 /* 33222222222211111111110000000000
7193 10987654321098765432109876543210
7684e580 7194 101001x0011xxxxx111xxxxxxxxxxxxx
c0890d26 7195 ld4b. */
582e12bf 7196 return 1573;
c0890d26
RS
7197 }
7198 else
7199 {
7200 /* 33222222222211111111110000000000
7201 10987654321098765432109876543210
7684e580 7202 101001x0111xxxxx111xxxxxxxxxxxxx
c0890d26 7203 ld4h. */
582e12bf 7204 return 1577;
c0890d26
RS
7205 }
7206 }
7207 }
7208 }
7209 }
7210 }
7211 else
7212 {
7213 if (((word >> 14) & 0x1) == 0)
7214 {
582e12bf 7215 if (((word >> 15) & 0x1) == 0)
c0890d26
RS
7216 {
7217 if (((word >> 23) & 0x1) == 0)
7218 {
7219 /* 33222222222211111111110000000000
7220 10987654321098765432109876543210
7684e580 7221 x11001x00x1xxxxx001xxxxxxxxxxxxx
582e12bf
RS
7222 fmul. */
7223 return 1406;
c0890d26
RS
7224 }
7225 else
582e12bf
RS
7226 {
7227 if (((word >> 22) & 0x1) == 0)
7228 {
7229 /* 33222222222211111111110000000000
7230 10987654321098765432109876543210
7684e580 7231 x11001x0101xxxxx001xxxxxxxxxxxxx
582e12bf
RS
7232 fmul. */
7233 return 1407;
7234 }
7235 else
7236 {
7237 /* 33222222222211111111110000000000
7238 10987654321098765432109876543210
7684e580 7239 x11001x0111xxxxx001xxxxxxxxxxxxx
582e12bf
RS
7240 fmul. */
7241 return 1408;
7242 }
7243 }
7244 }
7245 else
7246 {
7247 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
7248 {
7249 /* 33222222222211111111110000000000
7250 10987654321098765432109876543210
7684e580 7251 x11001x0x01xxxxx101xxxxxxxxxxxxx
c0890d26 7252 st1h. */
582e12bf
RS
7253 return 1827;
7254 }
7255 else
7256 {
7257 if (((word >> 23) & 0x1) == 0)
7258 {
7259 /* 33222222222211111111110000000000
7260 10987654321098765432109876543210
7684e580 7261 x11001x0011xxxxx101xxxxxxxxxxxxx
582e12bf
RS
7262 st1b. */
7263 return 1814;
7264 }
7265 else
7266 {
7267 /* 33222222222211111111110000000000
7268 10987654321098765432109876543210
7684e580 7269 x11001x0111xxxxx101xxxxxxxxxxxxx
582e12bf
RS
7270 st1h. */
7271 return 1835;
7272 }
c0890d26
RS
7273 }
7274 }
7275 }
7276 else
7277 {
7278 if (((word >> 15) & 0x1) == 0)
7279 {
7280 if (((word >> 22) & 0x1) == 0)
7281 {
7282 if (((word >> 23) & 0x1) == 0)
7283 {
7284 /* 33222222222211111111110000000000
7285 10987654321098765432109876543210
7684e580 7286 x11001x0001xxxxx011xxxxxxxxxxxxx
c0890d26 7287 st2b. */
582e12bf 7288 return 1849;
c0890d26
RS
7289 }
7290 else
7291 {
7292 /* 33222222222211111111110000000000
7293 10987654321098765432109876543210
7684e580 7294 x11001x0101xxxxx011xxxxxxxxxxxxx
c0890d26 7295 st2h. */
582e12bf 7296 return 1853;
c0890d26
RS
7297 }
7298 }
7299 else
7300 {
7301 if (((word >> 23) & 0x1) == 0)
7302 {
7303 /* 33222222222211111111110000000000
7304 10987654321098765432109876543210
7684e580 7305 x11001x0011xxxxx011xxxxxxxxxxxxx
c0890d26 7306 st4b. */
582e12bf 7307 return 1865;
c0890d26
RS
7308 }
7309 else
7310 {
7311 /* 33222222222211111111110000000000
7312 10987654321098765432109876543210
7684e580 7313 x11001x0111xxxxx011xxxxxxxxxxxxx
c0890d26 7314 st4h. */
582e12bf 7315 return 1869;
c0890d26
RS
7316 }
7317 }
7318 }
7319 else
7320 {
7321 if (((word >> 20) & 0x1) == 0)
7322 {
7323 if (((word >> 22) & 0x1) == 0)
7324 {
7325 if (((word >> 23) & 0x1) == 0)
7326 {
7327 /* 33222222222211111111110000000000
7328 10987654321098765432109876543210
7684e580 7329 x11001x00010xxxx111xxxxxxxxxxxxx
c0890d26 7330 st1b. */
582e12bf 7331 return 1811;
c0890d26
RS
7332 }
7333 else
7334 {
7335 /* 33222222222211111111110000000000
7336 10987654321098765432109876543210
7684e580 7337 x11001x01010xxxx111xxxxxxxxxxxxx
c0890d26 7338 st1h. */
582e12bf 7339 return 1832;
c0890d26
RS
7340 }
7341 }
7342 else
7343 {
7344 if (((word >> 23) & 0x1) == 0)
7345 {
7346 /* 33222222222211111111110000000000
7347 10987654321098765432109876543210
7684e580 7348 x11001x00110xxxx111xxxxxxxxxxxxx
c0890d26 7349 st1b. */
582e12bf 7350 return 1815;
c0890d26
RS
7351 }
7352 else
7353 {
7354 /* 33222222222211111111110000000000
7355 10987654321098765432109876543210
7684e580 7356 x11001x01110xxxx111xxxxxxxxxxxxx
c0890d26 7357 st1h. */
582e12bf 7358 return 1836;
c0890d26
RS
7359 }
7360 }
7361 }
7362 else
7363 {
7364 if (((word >> 22) & 0x1) == 0)
7365 {
7366 if (((word >> 23) & 0x1) == 0)
7367 {
7368 /* 33222222222211111111110000000000
7369 10987654321098765432109876543210
7684e580 7370 x11001x00011xxxx111xxxxxxxxxxxxx
c0890d26 7371 st2b. */
582e12bf 7372 return 1850;
c0890d26
RS
7373 }
7374 else
7375 {
7376 /* 33222222222211111111110000000000
7377 10987654321098765432109876543210
7684e580 7378 x11001x01011xxxx111xxxxxxxxxxxxx
c0890d26 7379 st2h. */
582e12bf 7380 return 1854;
c0890d26
RS
7381 }
7382 }
7383 else
7384 {
7385 if (((word >> 23) & 0x1) == 0)
7386 {
7387 /* 33222222222211111111110000000000
7388 10987654321098765432109876543210
7684e580 7389 x11001x00111xxxx111xxxxxxxxxxxxx
c0890d26 7390 st4b. */
582e12bf 7391 return 1866;
c0890d26
RS
7392 }
7393 else
7394 {
7395 /* 33222222222211111111110000000000
7396 10987654321098765432109876543210
7684e580 7397 x11001x01111xxxx111xxxxxxxxxxxxx
c0890d26 7398 st4h. */
582e12bf 7399 return 1870;
c0890d26
RS
7400 }
7401 }
7402 }
7403 }
7404 }
7405 }
7406 }
7407 }
7408 }
7409 }
7410 else
7411 {
7412 if (((word >> 29) & 0x1) == 0)
7413 {
7414 if (((word >> 30) & 0x1) == 0)
7415 {
7416 if (((word >> 31) & 0x1) == 0)
7417 {
7418 if (((word >> 21) & 0x1) == 0)
7419 {
7420 if (((word >> 20) & 0x1) == 0)
7421 {
7422 if (((word >> 22) & 0x1) == 0)
7423 {
7424 if (((word >> 23) & 0x1) == 0)
7425 {
7426 /* 33222222222211111111110000000000
7427 10987654321098765432109876543210
7684e580 7428 000001x10000xxxxxxxxxxxxxxxxxxxx
c0890d26 7429 orr. */
582e12bf 7430 return 1695;
c0890d26
RS
7431 }
7432 else
7433 {
7434 /* 33222222222211111111110000000000
7435 10987654321098765432109876543210
7684e580 7436 000001x11000xxxxxxxxxxxxxxxxxxxx
c0890d26 7437 and. */
c2c4ff8d 7438 return 1239;
c0890d26
RS
7439 }
7440 }
7441 else
7442 {
7443 if (((word >> 23) & 0x1) == 0)
7444 {
7445 /* 33222222222211111111110000000000
7446 10987654321098765432109876543210
7684e580 7447 000001x10100xxxxxxxxxxxxxxxxxxxx
c0890d26 7448 eor. */
c2c4ff8d 7449 return 1326;
c0890d26
RS
7450 }
7451 else
7452 {
7453 /* 33222222222211111111110000000000
7454 10987654321098765432109876543210
7684e580 7455 000001x11100xxxxxxxxxxxxxxxxxxxx
c0890d26 7456 dupm. */
c2c4ff8d 7457 return 1324;
c0890d26
RS
7458 }
7459 }
7460 }
7461 else
7462 {
7463 if (((word >> 15) & 0x1) == 0)
7464 {
7465 /* 33222222222211111111110000000000
7466 10987654321098765432109876543210
7684e580 7467 000001x1xx01xxxx0xxxxxxxxxxxxxxx
c0890d26 7468 cpy. */
c2c4ff8d 7469 return 1309;
c0890d26
RS
7470 }
7471 else
7472 {
7473 /* 33222222222211111111110000000000
7474 10987654321098765432109876543210
7684e580 7475 000001x1xx01xxxx1xxxxxxxxxxxxxxx
c0890d26 7476 fcpy. */
582e12bf 7477 return 1356;
c0890d26
RS
7478 }
7479 }
7480 }
7481 else
7482 {
7483 if (((word >> 14) & 0x1) == 0)
7484 {
7485 if (((word >> 13) & 0x1) == 0)
7486 {
7487 if (((word >> 15) & 0x1) == 0)
7488 {
7489 /* 33222222222211111111110000000000
7490 10987654321098765432109876543210
7684e580 7491 000001x1xx1xxxxx000xxxxxxxxxxxxx
c0890d26 7492 ext. */
c2c4ff8d 7493 return 1331;
c0890d26
RS
7494 }
7495 else
7496 {
7497 if (((word >> 16) & 0x1) == 0)
7498 {
7499 if (((word >> 17) & 0x1) == 0)
7500 {
7501 if (((word >> 18) & 0x1) == 0)
7502 {
7503 if (((word >> 19) & 0x1) == 0)
7504 {
7505 /* 33222222222211111111110000000000
7506 10987654321098765432109876543210
7684e580 7507 000001x1xx1x0000100xxxxxxxxxxxxx
c0890d26 7508 cpy. */
c2c4ff8d 7509 return 1307;
c0890d26
RS
7510 }
7511 else
7512 {
7513 /* 33222222222211111111110000000000
7514 10987654321098765432109876543210
7684e580 7515 000001x1xx1x1000100xxxxxxxxxxxxx
c0890d26 7516 clasta. */
c2c4ff8d 7517 return 1265;
c0890d26
RS
7518 }
7519 }
7520 else
7521 {
7522 if (((word >> 19) & 0x1) == 0)
7523 {
7524 /* 33222222222211111111110000000000
7525 10987654321098765432109876543210
7684e580 7526 000001x1xx1x0100100xxxxxxxxxxxxx
c0890d26 7527 revb. */
582e12bf 7528 return 1743;
c0890d26
RS
7529 }
7530 else
7531 {
7532 /* 33222222222211111111110000000000
7533 10987654321098765432109876543210
7684e580 7534 000001x1xx1x1100100xxxxxxxxxxxxx
c0890d26 7535 splice. */
582e12bf 7536 return 1770;
c0890d26
RS
7537 }
7538 }
7539 }
7540 else
7541 {
7542 if (((word >> 18) & 0x1) == 0)
7543 {
7544 if (((word >> 19) & 0x1) == 0)
7545 {
7546 /* 33222222222211111111110000000000
7547 10987654321098765432109876543210
7684e580 7548 000001x1xx1x0010100xxxxxxxxxxxxx
c0890d26 7549 lasta. */
582e12bf 7550 return 1453;
c0890d26
RS
7551 }
7552 else
7553 {
7554 /* 33222222222211111111110000000000
7555 10987654321098765432109876543210
7684e580 7556 000001x1xx1x1010100xxxxxxxxxxxxx
c0890d26 7557 clasta. */
c2c4ff8d 7558 return 1266;
c0890d26
RS
7559 }
7560 }
7561 else
7562 {
7563 /* 33222222222211111111110000000000
7564 10987654321098765432109876543210
7684e580 7565 000001x1xx1xx110100xxxxxxxxxxxxx
c0890d26 7566 revw. */
582e12bf 7567 return 1745;
c0890d26
RS
7568 }
7569 }
7570 }
7571 else
7572 {
7573 if (((word >> 17) & 0x1) == 0)
7574 {
7575 if (((word >> 18) & 0x1) == 0)
7576 {
7577 if (((word >> 19) & 0x1) == 0)
7578 {
7579 /* 33222222222211111111110000000000
7580 10987654321098765432109876543210
7684e580 7581 000001x1xx1x0001100xxxxxxxxxxxxx
c0890d26 7582 compact. */
c2c4ff8d 7583 return 1306;
c0890d26
RS
7584 }
7585 else
7586 {
7587 /* 33222222222211111111110000000000
7588 10987654321098765432109876543210
7684e580 7589 000001x1xx1x1001100xxxxxxxxxxxxx
c0890d26 7590 clastb. */
c2c4ff8d 7591 return 1268;
c0890d26
RS
7592 }
7593 }
7594 else
7595 {
7596 /* 33222222222211111111110000000000
7597 10987654321098765432109876543210
7684e580 7598 000001x1xx1xx101100xxxxxxxxxxxxx
c0890d26 7599 revh. */
582e12bf 7600 return 1744;
c0890d26
RS
7601 }
7602 }
7603 else
7604 {
7605 if (((word >> 18) & 0x1) == 0)
7606 {
7607 if (((word >> 19) & 0x1) == 0)
7608 {
7609 /* 33222222222211111111110000000000
7610 10987654321098765432109876543210
7684e580 7611 000001x1xx1x0011100xxxxxxxxxxxxx
c0890d26 7612 lastb. */
582e12bf 7613 return 1455;
c0890d26
RS
7614 }
7615 else
7616 {
7617 /* 33222222222211111111110000000000
7618 10987654321098765432109876543210
7684e580 7619 000001x1xx1x1011100xxxxxxxxxxxxx
c0890d26 7620 clastb. */
c2c4ff8d 7621 return 1269;
c0890d26
RS
7622 }
7623 }
7624 else
7625 {
7626 /* 33222222222211111111110000000000
7627 10987654321098765432109876543210
7684e580 7628 000001x1xx1xx111100xxxxxxxxxxxxx
c0890d26 7629 rbit. */
582e12bf 7630 return 1736;
c0890d26
RS
7631 }
7632 }
7633 }
7634 }
7635 }
7636 else
7637 {
7638 if (((word >> 15) & 0x1) == 0)
7639 {
7640 if (((word >> 11) & 0x1) == 0)
7641 {
7642 if (((word >> 12) & 0x1) == 0)
7643 {
7644 /* 33222222222211111111110000000000
7645 10987654321098765432109876543210
7684e580 7646 000001x1xx1xxxxx00100xxxxxxxxxxx
c0890d26 7647 dup. */
c2c4ff8d 7648 return 1322;
c0890d26
RS
7649 }
7650 else
7651 {
7652 /* 33222222222211111111110000000000
7653 10987654321098765432109876543210
7684e580 7654 000001x1xx1xxxxx00110xxxxxxxxxxx
c0890d26 7655 tbl. */
582e12bf 7656 return 1893;
c0890d26
RS
7657 }
7658 }
7659 else
7660 {
7661 if (((word >> 16) & 0x1) == 0)
7662 {
7663 if (((word >> 17) & 0x1) == 0)
7664 {
7665 if (((word >> 18) & 0x1) == 0)
7666 {
7667 if (((word >> 19) & 0x1) == 0)
7668 {
7669 if (((word >> 20) & 0x1) == 0)
7670 {
7671 /* 33222222222211111111110000000000
7672 10987654321098765432109876543210
7684e580 7673 000001x1xx100000001x1xxxxxxxxxxx
c0890d26 7674 dup. */
c2c4ff8d 7675 return 1321;
c0890d26
RS
7676 }
7677 else
7678 {
7679 /* 33222222222211111111110000000000
7680 10987654321098765432109876543210
7684e580 7681 000001x1xx110000001x1xxxxxxxxxxx
c0890d26 7682 sunpklo. */
582e12bf 7683 return 1889;
c0890d26
RS
7684 }
7685 }
7686 else
7687 {
7688 /* 33222222222211111111110000000000
7689 10987654321098765432109876543210
7684e580 7690 000001x1xx1x1000001x1xxxxxxxxxxx
c0890d26 7691 rev. */
582e12bf 7692 return 1742;
c0890d26
RS
7693 }
7694 }
7695 else
7696 {
7697 if (((word >> 20) & 0x1) == 0)
7698 {
7699 /* 33222222222211111111110000000000
7700 10987654321098765432109876543210
7684e580 7701 000001x1xx10x100001x1xxxxxxxxxxx
c0890d26 7702 insr. */
582e12bf 7703 return 1450;
c0890d26
RS
7704 }
7705 else
7706 {
7707 /* 33222222222211111111110000000000
7708 10987654321098765432109876543210
7684e580 7709 000001x1xx11x100001x1xxxxxxxxxxx
c0890d26 7710 insr. */
582e12bf 7711 return 1451;
c0890d26
RS
7712 }
7713 }
7714 }
7715 else
7716 {
7717 /* 33222222222211111111110000000000
7718 10987654321098765432109876543210
7684e580 7719 000001x1xx1xxx10001x1xxxxxxxxxxx
c0890d26 7720 uunpklo. */
582e12bf 7721 return 1952;
c0890d26
RS
7722 }
7723 }
7724 else
7725 {
7726 if (((word >> 17) & 0x1) == 0)
7727 {
7728 /* 33222222222211111111110000000000
7729 10987654321098765432109876543210
7684e580 7730 000001x1xx1xxx01001x1xxxxxxxxxxx
c0890d26 7731 sunpkhi. */
582e12bf 7732 return 1888;
c0890d26
RS
7733 }
7734 else
7735 {
7736 /* 33222222222211111111110000000000
7737 10987654321098765432109876543210
7684e580 7738 000001x1xx1xxx11001x1xxxxxxxxxxx
c0890d26 7739 uunpkhi. */
582e12bf 7740 return 1951;
c0890d26
RS
7741 }
7742 }
7743 }
7744 }
7745 else
7746 {
7747 if (((word >> 16) & 0x1) == 0)
7748 {
7749 if (((word >> 19) & 0x1) == 0)
7750 {
7751 if (((word >> 20) & 0x1) == 0)
7752 {
7753 /* 33222222222211111111110000000000
7754 10987654321098765432109876543210
7684e580 7755 000001x1xx100xx0101xxxxxxxxxxxxx
c0890d26 7756 lasta. */
582e12bf 7757 return 1452;
c0890d26
RS
7758 }
7759 else
7760 {
7761 /* 33222222222211111111110000000000
7762 10987654321098765432109876543210
7684e580 7763 000001x1xx110xx0101xxxxxxxxxxxxx
c0890d26 7764 clasta. */
c2c4ff8d 7765 return 1267;
c0890d26
RS
7766 }
7767 }
7768 else
7769 {
7770 /* 33222222222211111111110000000000
7771 10987654321098765432109876543210
7684e580 7772 000001x1xx1x1xx0101xxxxxxxxxxxxx
c0890d26 7773 cpy. */
c2c4ff8d 7774 return 1308;
c0890d26
RS
7775 }
7776 }
7777 else
7778 {
7779 if (((word >> 20) & 0x1) == 0)
7780 {
7781 /* 33222222222211111111110000000000
7782 10987654321098765432109876543210
7684e580 7783 000001x1xx10xxx1101xxxxxxxxxxxxx
c0890d26 7784 lastb. */
582e12bf 7785 return 1454;
c0890d26
RS
7786 }
7787 else
7788 {
7789 /* 33222222222211111111110000000000
7790 10987654321098765432109876543210
7684e580 7791 000001x1xx11xxx1101xxxxxxxxxxxxx
c0890d26 7792 clastb. */
c2c4ff8d 7793 return 1270;
c0890d26
RS
7794 }
7795 }
7796 }
7797 }
7798 }
7799 else
7800 {
7801 if (((word >> 15) & 0x1) == 0)
7802 {
7803 if (((word >> 10) & 0x1) == 0)
7804 {
7805 if (((word >> 11) & 0x1) == 0)
7806 {
7807 if (((word >> 12) & 0x1) == 0)
7808 {
7809 if (((word >> 13) & 0x1) == 0)
7810 {
7811 if (((word >> 20) & 0x1) == 0)
7812 {
7813 /* 33222222222211111111110000000000
7814 10987654321098765432109876543210
7684e580 7815 000001x1xx10xxxx010000xxxxxxxxxx
c0890d26 7816 zip1. */
582e12bf 7817 return 1969;
c0890d26
RS
7818 }
7819 else
7820 {
7821 if (((word >> 16) & 0x1) == 0)
7822 {
7823 if (((word >> 18) & 0x1) == 0)
7824 {
7825 /* 33222222222211111111110000000000
7826 10987654321098765432109876543210
7684e580 7827 000001x1xx11x0x0010000xxxxxxxxxx
c0890d26 7828 punpklo. */
582e12bf 7829 return 1735;
c0890d26
RS
7830 }
7831 else
7832 {
7833 /* 33222222222211111111110000000000
7834 10987654321098765432109876543210
7684e580 7835 000001x1xx11x1x0010000xxxxxxxxxx
c0890d26 7836 rev. */
582e12bf 7837 return 1741;
c0890d26
RS
7838 }
7839 }
7840 else
7841 {
7842 /* 33222222222211111111110000000000
7843 10987654321098765432109876543210
7684e580 7844 000001x1xx11xxx1010000xxxxxxxxxx
c0890d26 7845 punpkhi. */
582e12bf 7846 return 1734;
c0890d26
RS
7847 }
7848 }
7849 }
7850 else
7851 {
7852 /* 33222222222211111111110000000000
7853 10987654321098765432109876543210
7684e580 7854 000001x1xx1xxxxx011000xxxxxxxxxx
c0890d26 7855 zip1. */
582e12bf 7856 return 1970;
c0890d26
RS
7857 }
7858 }
7859 else
7860 {
7861 if (((word >> 13) & 0x1) == 0)
7862 {
7863 /* 33222222222211111111110000000000
7864 10987654321098765432109876543210
7684e580 7865 000001x1xx1xxxxx010100xxxxxxxxxx
c0890d26 7866 trn1. */
582e12bf 7867 return 1894;
c0890d26
RS
7868 }
7869 else
7870 {
7871 /* 33222222222211111111110000000000
7872 10987654321098765432109876543210
7684e580 7873 000001x1xx1xxxxx011100xxxxxxxxxx
c0890d26 7874 trn1. */
582e12bf 7875 return 1895;
c0890d26
RS
7876 }
7877 }
7878 }
7879 else
7880 {
7881 if (((word >> 13) & 0x1) == 0)
7882 {
7883 /* 33222222222211111111110000000000
7884 10987654321098765432109876543210
7684e580 7885 000001x1xx1xxxxx010x10xxxxxxxxxx
c0890d26 7886 uzp1. */
582e12bf 7887 return 1956;
c0890d26
RS
7888 }
7889 else
7890 {
7891 /* 33222222222211111111110000000000
7892 10987654321098765432109876543210
7684e580 7893 000001x1xx1xxxxx011x10xxxxxxxxxx
c0890d26 7894 uzp1. */
582e12bf 7895 return 1957;
c0890d26
RS
7896 }
7897 }
7898 }
7899 else
7900 {
7901 if (((word >> 11) & 0x1) == 0)
7902 {
7903 if (((word >> 12) & 0x1) == 0)
7904 {
7905 if (((word >> 13) & 0x1) == 0)
7906 {
7907 /* 33222222222211111111110000000000
7908 10987654321098765432109876543210
7684e580 7909 000001x1xx1xxxxx010001xxxxxxxxxx
c0890d26 7910 zip2. */
582e12bf 7911 return 1971;
c0890d26
RS
7912 }
7913 else
7914 {
7915 /* 33222222222211111111110000000000
7916 10987654321098765432109876543210
7684e580 7917 000001x1xx1xxxxx011001xxxxxxxxxx
c0890d26 7918 zip2. */
582e12bf 7919 return 1972;
c0890d26
RS
7920 }
7921 }
7922 else
7923 {
7924 if (((word >> 13) & 0x1) == 0)
7925 {
7926 /* 33222222222211111111110000000000
7927 10987654321098765432109876543210
7684e580 7928 000001x1xx1xxxxx010101xxxxxxxxxx
c0890d26 7929 trn2. */
582e12bf 7930 return 1896;
c0890d26
RS
7931 }
7932 else
7933 {
7934 /* 33222222222211111111110000000000
7935 10987654321098765432109876543210
7684e580 7936 000001x1xx1xxxxx011101xxxxxxxxxx
c0890d26 7937 trn2. */
582e12bf 7938 return 1897;
c0890d26
RS
7939 }
7940 }
7941 }
7942 else
7943 {
7944 if (((word >> 13) & 0x1) == 0)
7945 {
7946 /* 33222222222211111111110000000000
7947 10987654321098765432109876543210
7684e580 7948 000001x1xx1xxxxx010x11xxxxxxxxxx
c0890d26 7949 uzp2. */
582e12bf 7950 return 1958;
c0890d26
RS
7951 }
7952 else
7953 {
7954 /* 33222222222211111111110000000000
7955 10987654321098765432109876543210
7684e580 7956 000001x1xx1xxxxx011x11xxxxxxxxxx
c0890d26 7957 uzp2. */
582e12bf 7958 return 1959;
c0890d26
RS
7959 }
7960 }
7961 }
7962 }
7963 else
7964 {
7965 /* 33222222222211111111110000000000
7966 10987654321098765432109876543210
7684e580 7967 000001x1xx1xxxxx11xxxxxxxxxxxxxx
c0890d26 7968 sel. */
582e12bf 7969 return 1760;
c0890d26
RS
7970 }
7971 }
7972 }
7973 }
7974 else
7975 {
7976 if (((word >> 13) & 0x1) == 0)
7977 {
7978 if (((word >> 14) & 0x1) == 0)
7979 {
7980 if (((word >> 15) & 0x1) == 0)
7981 {
7982 if (((word >> 22) & 0x1) == 0)
7983 {
7984 /* 33222222222211111111110000000000
7985 10987654321098765432109876543210
7684e580 7986 100001x1x0xxxxxx000xxxxxxxxxxxxx
c0890d26 7987 ldr. */
582e12bf 7988 return 1664;
c0890d26
RS
7989 }
7990 else
7991 {
7992 /* 33222222222211111111110000000000
7993 10987654321098765432109876543210
7684e580 7994 100001x1x1xxxxxx000xxxxxxxxxxxxx
c0890d26 7995 prfb. */
582e12bf 7996 return 1708;
c0890d26
RS
7997 }
7998 }
7999 else
8000 {
8001 if (((word >> 23) & 0x1) == 0)
8002 {
8003 /* 33222222222211111111110000000000
8004 10987654321098765432109876543210
7684e580 8005 100001x10xxxxxxx100xxxxxxxxxxxxx
c0890d26 8006 ld1rsh. */
582e12bf 8007 return 1509;
c0890d26
RS
8008 }
8009 else
8010 {
8011 /* 33222222222211111111110000000000
8012 10987654321098765432109876543210
7684e580 8013 100001x11xxxxxxx100xxxxxxxxxxxxx
c0890d26 8014 ld1rsb. */
582e12bf 8015 return 1506;
c0890d26
RS
8016 }
8017 }
8018 }
8019 else
8020 {
8021 if (((word >> 15) & 0x1) == 0)
8022 {
8023 if (((word >> 23) & 0x1) == 0)
8024 {
8025 if (((word >> 21) & 0x1) == 0)
8026 {
8027 /* 33222222222211111111110000000000
8028 10987654321098765432109876543210
7684e580 8029 100001x10x0xxxxx010xxxxxxxxxxxxx
c0890d26 8030 ld1w. */
582e12bf 8031 return 1544;
c0890d26
RS
8032 }
8033 else
8034 {
8035 /* 33222222222211111111110000000000
8036 10987654321098765432109876543210
7684e580 8037 100001x10x1xxxxx010xxxxxxxxxxxxx
c0890d26 8038 ld1w. */
582e12bf 8039 return 1545;
c0890d26
RS
8040 }
8041 }
8042 else
8043 {
8044 if (((word >> 22) & 0x1) == 0)
8045 {
8046 /* 33222222222211111111110000000000
8047 10987654321098765432109876543210
7684e580 8048 100001x110xxxxxx010xxxxxxxxxxxxx
c0890d26 8049 ldr. */
582e12bf 8050 return 1665;
c0890d26
RS
8051 }
8052 else
8053 {
8054 /* 33222222222211111111110000000000
8055 10987654321098765432109876543210
7684e580 8056 100001x111xxxxxx010xxxxxxxxxxxxx
c0890d26 8057 prfw. */
582e12bf 8058 return 1729;
c0890d26
RS
8059 }
8060 }
8061 }
8062 else
8063 {
8064 if (((word >> 22) & 0x1) == 0)
8065 {
8066 if (((word >> 21) & 0x1) == 0)
8067 {
8068 if (((word >> 23) & 0x1) == 0)
8069 {
8070 /* 33222222222211111111110000000000
8071 10987654321098765432109876543210
7684e580 8072 100001x1000xxxxx110xxxxxxxxxxxxx
c0890d26 8073 prfw. */
582e12bf 8074 return 1725;
c0890d26
RS
8075 }
8076 else
8077 {
8078 /* 33222222222211111111110000000000
8079 10987654321098765432109876543210
7684e580 8080 100001x1100xxxxx110xxxxxxxxxxxxx
c0890d26 8081 prfd. */
582e12bf 8082 return 1711;
c0890d26
RS
8083 }
8084 }
8085 else
8086 {
8087 /* 33222222222211111111110000000000
8088 10987654321098765432109876543210
7684e580 8089 100001x1x01xxxxx110xxxxxxxxxxxxx
c0890d26 8090 ld1w. */
582e12bf 8091 return 1552;
c0890d26
RS
8092 }
8093 }
8094 else
8095 {
8096 if (((word >> 23) & 0x1) == 0)
8097 {
8098 /* 33222222222211111111110000000000
8099 10987654321098765432109876543210
7684e580 8100 100001x101xxxxxx110xxxxxxxxxxxxx
c0890d26 8101 ld1rw. */
582e12bf 8102 return 1512;
c0890d26
RS
8103 }
8104 else
8105 {
8106 /* 33222222222211111111110000000000
8107 10987654321098765432109876543210
7684e580 8108 100001x111xxxxxx110xxxxxxxxxxxxx
c0890d26 8109 ld1rsb. */
582e12bf 8110 return 1508;
c0890d26
RS
8111 }
8112 }
8113 }
8114 }
8115 }
8116 else
8117 {
8118 if (((word >> 14) & 0x1) == 0)
8119 {
8120 if (((word >> 15) & 0x1) == 0)
8121 {
8122 /* 33222222222211111111110000000000
8123 10987654321098765432109876543210
7684e580 8124 100001x1xxxxxxxx001xxxxxxxxxxxxx
c0890d26 8125 prfh. */
582e12bf 8126 return 1722;
c0890d26
RS
8127 }
8128 else
8129 {
8130 if (((word >> 23) & 0x1) == 0)
8131 {
8132 /* 33222222222211111111110000000000
8133 10987654321098765432109876543210
7684e580 8134 100001x10xxxxxxx101xxxxxxxxxxxxx
c0890d26 8135 ld1rsh. */
582e12bf 8136 return 1510;
c0890d26
RS
8137 }
8138 else
8139 {
8140 /* 33222222222211111111110000000000
8141 10987654321098765432109876543210
7684e580 8142 100001x11xxxxxxx101xxxxxxxxxxxxx
c0890d26 8143 ld1rsb. */
582e12bf 8144 return 1507;
c0890d26
RS
8145 }
8146 }
8147 }
8148 else
8149 {
8150 if (((word >> 15) & 0x1) == 0)
8151 {
8152 if (((word >> 23) & 0x1) == 0)
8153 {
8154 if (((word >> 21) & 0x1) == 0)
8155 {
8156 /* 33222222222211111111110000000000
8157 10987654321098765432109876543210
7684e580 8158 100001x10x0xxxxx011xxxxxxxxxxxxx
c0890d26 8159 ldff1w. */
582e12bf 8160 return 1630;
c0890d26
RS
8161 }
8162 else
8163 {
8164 /* 33222222222211111111110000000000
8165 10987654321098765432109876543210
7684e580 8166 100001x10x1xxxxx011xxxxxxxxxxxxx
c0890d26 8167 ldff1w. */
582e12bf 8168 return 1631;
c0890d26
RS
8169 }
8170 }
8171 else
8172 {
8173 /* 33222222222211111111110000000000
8174 10987654321098765432109876543210
7684e580 8175 100001x11xxxxxxx011xxxxxxxxxxxxx
c0890d26 8176 prfd. */
582e12bf 8177 return 1715;
c0890d26
RS
8178 }
8179 }
8180 else
8181 {
8182 if (((word >> 22) & 0x1) == 0)
8183 {
8184 if (((word >> 21) & 0x1) == 0)
8185 {
8186 if (((word >> 23) & 0x1) == 0)
8187 {
8188 /* 33222222222211111111110000000000
8189 10987654321098765432109876543210
7684e580 8190 100001x1000xxxxx111xxxxxxxxxxxxx
c0890d26 8191 prfw. */
582e12bf 8192 return 1728;
c0890d26
RS
8193 }
8194 else
8195 {
8196 /* 33222222222211111111110000000000
8197 10987654321098765432109876543210
7684e580 8198 100001x1100xxxxx111xxxxxxxxxxxxx
c0890d26 8199 prfd. */
582e12bf 8200 return 1714;
c0890d26
RS
8201 }
8202 }
8203 else
8204 {
8205 /* 33222222222211111111110000000000
8206 10987654321098765432109876543210
7684e580 8207 100001x1x01xxxxx111xxxxxxxxxxxxx
c0890d26 8208 ldff1w. */
582e12bf 8209 return 1638;
c0890d26
RS
8210 }
8211 }
8212 else
8213 {
8214 if (((word >> 23) & 0x1) == 0)
8215 {
8216 /* 33222222222211111111110000000000
8217 10987654321098765432109876543210
7684e580 8218 100001x101xxxxxx111xxxxxxxxxxxxx
c0890d26 8219 ld1rw. */
582e12bf 8220 return 1513;
c0890d26
RS
8221 }
8222 else
8223 {
8224 /* 33222222222211111111110000000000
8225 10987654321098765432109876543210
7684e580 8226 100001x111xxxxxx111xxxxxxxxxxxxx
c0890d26 8227 ld1rd. */
582e12bf 8228 return 1494;
c0890d26
RS
8229 }
8230 }
8231 }
8232 }
8233 }
8234 }
8235 }
8236 else
8237 {
8238 if (((word >> 13) & 0x1) == 0)
8239 {
8240 if (((word >> 14) & 0x1) == 0)
8241 {
8242 if (((word >> 15) & 0x1) == 0)
8243 {
8244 if (((word >> 21) & 0x1) == 0)
8245 {
8246 /* 33222222222211111111110000000000
8247 10987654321098765432109876543210
7684e580 8248 x10001x1xx0xxxxx000xxxxxxxxxxxxx
c0890d26 8249 ld1sw. */
582e12bf 8250 return 1538;
c0890d26
RS
8251 }
8252 else
8253 {
8254 /* 33222222222211111111110000000000
8255 10987654321098765432109876543210
7684e580 8256 x10001x1xx1xxxxx000xxxxxxxxxxxxx
c0890d26 8257 ld1sw. */
582e12bf 8258 return 1539;
c0890d26
RS
8259 }
8260 }
8261 else
8262 {
8263 if (((word >> 21) & 0x1) == 0)
8264 {
8265 /* 33222222222211111111110000000000
8266 10987654321098765432109876543210
7684e580 8267 x10001x1xx0xxxxx100xxxxxxxxxxxxx
c0890d26 8268 ld1sw. */
582e12bf 8269 return 1540;
c0890d26
RS
8270 }
8271 else
8272 {
8273 if (((word >> 22) & 0x1) == 0)
8274 {
8275 /* 33222222222211111111110000000000
8276 10987654321098765432109876543210
7684e580 8277 x10001x1x01xxxxx100xxxxxxxxxxxxx
c0890d26 8278 ld1sw. */
582e12bf 8279 return 1543;
c0890d26
RS
8280 }
8281 else
8282 {
8283 /* 33222222222211111111110000000000
8284 10987654321098765432109876543210
7684e580 8285 x10001x1x11xxxxx100xxxxxxxxxxxxx
c0890d26 8286 ld1sw. */
582e12bf 8287 return 1541;
c0890d26
RS
8288 }
8289 }
8290 }
8291 }
8292 else
8293 {
8294 if (((word >> 15) & 0x1) == 0)
8295 {
8296 if (((word >> 21) & 0x1) == 0)
8297 {
8298 if (((word >> 23) & 0x1) == 0)
8299 {
8300 /* 33222222222211111111110000000000
8301 10987654321098765432109876543210
7684e580 8302 x10001x10x0xxxxx010xxxxxxxxxxxxx
c0890d26 8303 ld1w. */
582e12bf 8304 return 1548;
c0890d26
RS
8305 }
8306 else
8307 {
8308 /* 33222222222211111111110000000000
8309 10987654321098765432109876543210
7684e580 8310 x10001x11x0xxxxx010xxxxxxxxxxxxx
c0890d26 8311 ld1d. */
582e12bf 8312 return 1470;
c0890d26
RS
8313 }
8314 }
8315 else
8316 {
8317 if (((word >> 23) & 0x1) == 0)
8318 {
8319 /* 33222222222211111111110000000000
8320 10987654321098765432109876543210
7684e580 8321 x10001x10x1xxxxx010xxxxxxxxxxxxx
c0890d26 8322 ld1w. */
582e12bf 8323 return 1549;
c0890d26
RS
8324 }
8325 else
8326 {
8327 /* 33222222222211111111110000000000
8328 10987654321098765432109876543210
7684e580 8329 x10001x11x1xxxxx010xxxxxxxxxxxxx
c0890d26 8330 ld1d. */
582e12bf 8331 return 1471;
c0890d26
RS
8332 }
8333 }
8334 }
8335 else
8336 {
8337 if (((word >> 21) & 0x1) == 0)
8338 {
8339 if (((word >> 23) & 0x1) == 0)
8340 {
8341 /* 33222222222211111111110000000000
8342 10987654321098765432109876543210
7684e580 8343 x10001x10x0xxxxx110xxxxxxxxxxxxx
c0890d26 8344 ld1w. */
582e12bf 8345 return 1550;
c0890d26
RS
8346 }
8347 else
8348 {
8349 /* 33222222222211111111110000000000
8350 10987654321098765432109876543210
7684e580 8351 x10001x11x0xxxxx110xxxxxxxxxxxxx
c0890d26 8352 ld1d. */
582e12bf 8353 return 1472;
c0890d26
RS
8354 }
8355 }
8356 else
8357 {
8358 if (((word >> 22) & 0x1) == 0)
8359 {
8360 if (((word >> 23) & 0x1) == 0)
8361 {
8362 /* 33222222222211111111110000000000
8363 10987654321098765432109876543210
7684e580 8364 x10001x1001xxxxx110xxxxxxxxxxxxx
c0890d26 8365 ld1w. */
582e12bf 8366 return 1555;
c0890d26
RS
8367 }
8368 else
8369 {
8370 /* 33222222222211111111110000000000
8371 10987654321098765432109876543210
7684e580 8372 x10001x1101xxxxx110xxxxxxxxxxxxx
c0890d26 8373 ld1d. */
582e12bf 8374 return 1475;
c0890d26
RS
8375 }
8376 }
8377 else
8378 {
8379 if (((word >> 23) & 0x1) == 0)
8380 {
8381 /* 33222222222211111111110000000000
8382 10987654321098765432109876543210
7684e580 8383 x10001x1011xxxxx110xxxxxxxxxxxxx
c0890d26 8384 ld1w. */
582e12bf 8385 return 1551;
c0890d26
RS
8386 }
8387 else
8388 {
8389 /* 33222222222211111111110000000000
8390 10987654321098765432109876543210
7684e580 8391 x10001x1111xxxxx110xxxxxxxxxxxxx
c0890d26 8392 ld1d. */
582e12bf 8393 return 1473;
c0890d26
RS
8394 }
8395 }
8396 }
8397 }
8398 }
8399 }
8400 else
8401 {
8402 if (((word >> 14) & 0x1) == 0)
8403 {
8404 if (((word >> 15) & 0x1) == 0)
8405 {
8406 if (((word >> 21) & 0x1) == 0)
8407 {
8408 /* 33222222222211111111110000000000
8409 10987654321098765432109876543210
7684e580 8410 x10001x1xx0xxxxx001xxxxxxxxxxxxx
c0890d26 8411 ldff1sw. */
582e12bf 8412 return 1625;
c0890d26
RS
8413 }
8414 else
8415 {
8416 /* 33222222222211111111110000000000
8417 10987654321098765432109876543210
7684e580 8418 x10001x1xx1xxxxx001xxxxxxxxxxxxx
c0890d26 8419 ldff1sw. */
582e12bf 8420 return 1626;
c0890d26
RS
8421 }
8422 }
8423 else
8424 {
8425 if (((word >> 21) & 0x1) == 0)
8426 {
8427 /* 33222222222211111111110000000000
8428 10987654321098765432109876543210
7684e580 8429 x10001x1xx0xxxxx101xxxxxxxxxxxxx
c0890d26 8430 ldff1sw. */
582e12bf 8431 return 1627;
c0890d26
RS
8432 }
8433 else
8434 {
8435 if (((word >> 22) & 0x1) == 0)
8436 {
8437 /* 33222222222211111111110000000000
8438 10987654321098765432109876543210
7684e580 8439 x10001x1x01xxxxx101xxxxxxxxxxxxx
c0890d26 8440 ldff1sw. */
582e12bf 8441 return 1629;
c0890d26
RS
8442 }
8443 else
8444 {
8445 /* 33222222222211111111110000000000
8446 10987654321098765432109876543210
7684e580 8447 x10001x1x11xxxxx101xxxxxxxxxxxxx
c0890d26 8448 ldff1sw. */
582e12bf 8449 return 1628;
c0890d26
RS
8450 }
8451 }
8452 }
8453 }
8454 else
8455 {
8456 if (((word >> 15) & 0x1) == 0)
8457 {
8458 if (((word >> 21) & 0x1) == 0)
8459 {
8460 if (((word >> 23) & 0x1) == 0)
8461 {
8462 /* 33222222222211111111110000000000
8463 10987654321098765432109876543210
7684e580 8464 x10001x10x0xxxxx011xxxxxxxxxxxxx
c0890d26 8465 ldff1w. */
582e12bf 8466 return 1634;
c0890d26
RS
8467 }
8468 else
8469 {
8470 /* 33222222222211111111110000000000
8471 10987654321098765432109876543210
7684e580 8472 x10001x11x0xxxxx011xxxxxxxxxxxxx
c0890d26 8473 ldff1d. */
582e12bf 8474 return 1590;
c0890d26
RS
8475 }
8476 }
8477 else
8478 {
8479 if (((word >> 23) & 0x1) == 0)
8480 {
8481 /* 33222222222211111111110000000000
8482 10987654321098765432109876543210
7684e580 8483 x10001x10x1xxxxx011xxxxxxxxxxxxx
c0890d26 8484 ldff1w. */
582e12bf 8485 return 1635;
c0890d26
RS
8486 }
8487 else
8488 {
8489 /* 33222222222211111111110000000000
8490 10987654321098765432109876543210
7684e580 8491 x10001x11x1xxxxx011xxxxxxxxxxxxx
c0890d26 8492 ldff1d. */
582e12bf 8493 return 1591;
c0890d26
RS
8494 }
8495 }
8496 }
8497 else
8498 {
8499 if (((word >> 21) & 0x1) == 0)
8500 {
8501 if (((word >> 22) & 0x1) == 0)
8502 {
8503 if (((word >> 23) & 0x1) == 0)
8504 {
8505 /* 33222222222211111111110000000000
8506 10987654321098765432109876543210
7684e580 8507 x10001x1000xxxxx111xxxxxxxxxxxxx
c0890d26 8508 prfw. */
582e12bf 8509 return 1730;
c0890d26
RS
8510 }
8511 else
8512 {
8513 /* 33222222222211111111110000000000
8514 10987654321098765432109876543210
7684e580 8515 x10001x1100xxxxx111xxxxxxxxxxxxx
c0890d26 8516 prfd. */
582e12bf 8517 return 1716;
c0890d26
RS
8518 }
8519 }
8520 else
8521 {
8522 if (((word >> 23) & 0x1) == 0)
8523 {
8524 /* 33222222222211111111110000000000
8525 10987654321098765432109876543210
7684e580 8526 x10001x1010xxxxx111xxxxxxxxxxxxx
c0890d26 8527 ldff1w. */
582e12bf 8528 return 1636;
c0890d26
RS
8529 }
8530 else
8531 {
8532 /* 33222222222211111111110000000000
8533 10987654321098765432109876543210
7684e580 8534 x10001x1110xxxxx111xxxxxxxxxxxxx
c0890d26 8535 ldff1d. */
582e12bf 8536 return 1592;
c0890d26
RS
8537 }
8538 }
8539 }
8540 else
8541 {
8542 if (((word >> 22) & 0x1) == 0)
8543 {
8544 if (((word >> 23) & 0x1) == 0)
8545 {
8546 /* 33222222222211111111110000000000
8547 10987654321098765432109876543210
7684e580 8548 x10001x1001xxxxx111xxxxxxxxxxxxx
c0890d26 8549 ldff1w. */
582e12bf 8550 return 1639;
c0890d26
RS
8551 }
8552 else
8553 {
8554 /* 33222222222211111111110000000000
8555 10987654321098765432109876543210
7684e580 8556 x10001x1101xxxxx111xxxxxxxxxxxxx
c0890d26 8557 ldff1d. */
582e12bf 8558 return 1594;
c0890d26
RS
8559 }
8560 }
8561 else
8562 {
8563 if (((word >> 23) & 0x1) == 0)
8564 {
8565 /* 33222222222211111111110000000000
8566 10987654321098765432109876543210
7684e580 8567 x10001x1011xxxxx111xxxxxxxxxxxxx
c0890d26 8568 ldff1w. */
582e12bf 8569 return 1637;
c0890d26
RS
8570 }
8571 else
8572 {
8573 /* 33222222222211111111110000000000
8574 10987654321098765432109876543210
7684e580 8575 x10001x1111xxxxx111xxxxxxxxxxxxx
c0890d26 8576 ldff1d. */
582e12bf 8577 return 1593;
c0890d26
RS
8578 }
8579 }
8580 }
8581 }
8582 }
8583 }
8584 }
8585 }
8586 else
8587 {
8588 if (((word >> 15) & 0x1) == 0)
8589 {
8590 if (((word >> 14) & 0x1) == 0)
8591 {
8592 if (((word >> 13) & 0x1) == 0)
8593 {
8594 if (((word >> 30) & 0x1) == 0)
8595 {
582e12bf 8596 if (((word >> 21) & 0x1) == 0)
c0890d26 8597 {
582e12bf 8598 if (((word >> 31) & 0x1) == 0)
c0890d26 8599 {
582e12bf
RS
8600 if (((word >> 4) & 0x1) == 0)
8601 {
8602 /* 33222222222211111111110000000000
8603 10987654321098765432109876543210
7684e580 8604 001001x1xx0xxxxx000xxxxxxxx0xxxx
582e12bf
RS
8605 cmpge. */
8606 return 1278;
8607 }
8608 else
8609 {
8610 /* 33222222222211111111110000000000
8611 10987654321098765432109876543210
7684e580 8612 001001x1xx0xxxxx000xxxxxxxx1xxxx
582e12bf
RS
8613 cmpgt. */
8614 return 1281;
8615 }
c0890d26
RS
8616 }
8617 else
582e12bf
RS
8618 {
8619 if (((word >> 23) & 0x1) == 0)
8620 {
8621 /* 33222222222211111111110000000000
8622 10987654321098765432109876543210
7684e580 8623 101001x10x0xxxxx000xxxxxxxxxxxxx
582e12bf
RS
8624 ld1rqw. */
8625 return 1505;
8626 }
8627 else
8628 {
8629 /* 33222222222211111111110000000000
8630 10987654321098765432109876543210
7684e580 8631 101001x11x0xxxxx000xxxxxxxxxxxxx
582e12bf
RS
8632 ld1rqd. */
8633 return 1501;
8634 }
8635 }
8636 }
8637 else
8638 {
8639 if (((word >> 4) & 0x1) == 0)
c0890d26
RS
8640 {
8641 if (((word >> 11) & 0x1) == 0)
8642 {
8643 if (((word >> 12) & 0x1) == 0)
8644 {
8645 /* 33222222222211111111110000000000
8646 10987654321098765432109876543210
7684e580 8647 x01001x1xx1xxxxx00000xxxxxx0xxxx
c0890d26 8648 whilelt. */
582e12bf 8649 return 1966;
c0890d26
RS
8650 }
8651 else
8652 {
8653 /* 33222222222211111111110000000000
8654 10987654321098765432109876543210
7684e580 8655 x01001x1xx1xxxxx00010xxxxxx0xxxx
c0890d26 8656 whilelt. */
582e12bf 8657 return 1967;
c0890d26
RS
8658 }
8659 }
8660 else
8661 {
8662 if (((word >> 12) & 0x1) == 0)
8663 {
8664 /* 33222222222211111111110000000000
8665 10987654321098765432109876543210
7684e580 8666 x01001x1xx1xxxxx00001xxxxxx0xxxx
c0890d26 8667 whilelo. */
582e12bf 8668 return 1962;
c0890d26
RS
8669 }
8670 else
8671 {
8672 /* 33222222222211111111110000000000
8673 10987654321098765432109876543210
7684e580 8674 x01001x1xx1xxxxx00011xxxxxx0xxxx
c0890d26 8675 whilelo. */
582e12bf 8676 return 1963;
c0890d26
RS
8677 }
8678 }
8679 }
c0890d26
RS
8680 else
8681 {
8682 if (((word >> 11) & 0x1) == 0)
8683 {
8684 if (((word >> 12) & 0x1) == 0)
8685 {
8686 /* 33222222222211111111110000000000
8687 10987654321098765432109876543210
7684e580 8688 x01001x1xx1xxxxx00000xxxxxx1xxxx
c0890d26 8689 whilele. */
582e12bf 8690 return 1960;
c0890d26
RS
8691 }
8692 else
8693 {
8694 /* 33222222222211111111110000000000
8695 10987654321098765432109876543210
7684e580 8696 x01001x1xx1xxxxx00010xxxxxx1xxxx
c0890d26 8697 whilele. */
582e12bf 8698 return 1961;
c0890d26
RS
8699 }
8700 }
8701 else
8702 {
8703 if (((word >> 12) & 0x1) == 0)
8704 {
8705 /* 33222222222211111111110000000000
8706 10987654321098765432109876543210
7684e580 8707 x01001x1xx1xxxxx00001xxxxxx1xxxx
c0890d26 8708 whilels. */
582e12bf 8709 return 1964;
c0890d26
RS
8710 }
8711 else
8712 {
8713 /* 33222222222211111111110000000000
8714 10987654321098765432109876543210
7684e580 8715 x01001x1xx1xxxxx00011xxxxxx1xxxx
c0890d26 8716 whilels. */
582e12bf 8717 return 1965;
c0890d26
RS
8718 }
8719 }
8720 }
8721 }
8722 }
8723 else
8724 {
8725 if (((word >> 31) & 0x1) == 0)
8726 {
8727 if (((word >> 21) & 0x1) == 0)
8728 {
8729 if (((word >> 10) & 0x1) == 0)
8730 {
8731 if (((word >> 11) & 0x1) == 0)
8732 {
8733 /* 33222222222211111111110000000000
8734 10987654321098765432109876543210
7684e580 8735 011001x1xx0xxxxx000x00xxxxxxxxxx
c0890d26 8736 fadd. */
c2c4ff8d 8737 return 1336;
c0890d26
RS
8738 }
8739 else
8740 {
8741 if (((word >> 12) & 0x1) == 0)
8742 {
8743 /* 33222222222211111111110000000000
8744 10987654321098765432109876543210
7684e580 8745 011001x1xx0xxxxx000010xxxxxxxxxx
c0890d26 8746 fmul. */
582e12bf 8747 return 1403;
c0890d26
RS
8748 }
8749 else
8750 {
8751 /* 33222222222211111111110000000000
8752 10987654321098765432109876543210
7684e580 8753 011001x1xx0xxxxx000110xxxxxxxxxx
c0890d26 8754 frecps. */
582e12bf 8755 return 1416;
c0890d26
RS
8756 }
8757 }
8758 }
8759 else
8760 {
8761 if (((word >> 11) & 0x1) == 0)
8762 {
8763 /* 33222222222211111111110000000000
8764 10987654321098765432109876543210
7684e580 8765 011001x1xx0xxxxx000x01xxxxxxxxxx
c0890d26 8766 fsub. */
582e12bf 8767 return 1429;
c0890d26
RS
8768 }
8769 else
8770 {
8771 if (((word >> 12) & 0x1) == 0)
8772 {
8773 /* 33222222222211111111110000000000
8774 10987654321098765432109876543210
7684e580 8775 011001x1xx0xxxxx000011xxxxxxxxxx
c0890d26 8776 ftsmul. */
582e12bf 8777 return 1435;
c0890d26
RS
8778 }
8779 else
8780 {
8781 /* 33222222222211111111110000000000
8782 10987654321098765432109876543210
7684e580 8783 011001x1xx0xxxxx000111xxxxxxxxxx
c0890d26 8784 frsqrts. */
582e12bf 8785 return 1426;
c0890d26
RS
8786 }
8787 }
8788 }
8789 }
8790 else
8791 {
8792 /* 33222222222211111111110000000000
8793 10987654321098765432109876543210
7684e580 8794 011001x1xx1xxxxx000xxxxxxxxxxxxx
c0890d26 8795 fmla. */
582e12bf 8796 return 1394;
c0890d26
RS
8797 }
8798 }
8799 else
8800 {
8801 /* 33222222222211111111110000000000
8802 10987654321098765432109876543210
7684e580 8803 111001x1xxxxxxxx000xxxxxxxxxxxxx
c0890d26 8804 str. */
582e12bf 8805 return 1881;
c0890d26
RS
8806 }
8807 }
8808 }
8809 else
8810 {
8811 if (((word >> 21) & 0x1) == 0)
8812 {
8813 if (((word >> 30) & 0x1) == 0)
8814 {
582e12bf 8815 if (((word >> 31) & 0x1) == 0)
c0890d26 8816 {
582e12bf
RS
8817 if (((word >> 4) & 0x1) == 0)
8818 {
8819 /* 33222222222211111111110000000000
8820 10987654321098765432109876543210
7684e580 8821 001001x1xx0xxxxx001xxxxxxxx0xxxx
582e12bf
RS
8822 cmplt. */
8823 return 1295;
8824 }
8825 else
8826 {
8827 /* 33222222222211111111110000000000
8828 10987654321098765432109876543210
7684e580 8829 001001x1xx0xxxxx001xxxxxxxx1xxxx
582e12bf
RS
8830 cmple. */
8831 return 1289;
8832 }
c0890d26
RS
8833 }
8834 else
8835 {
582e12bf
RS
8836 if (((word >> 23) & 0x1) == 0)
8837 {
8838 /* 33222222222211111111110000000000
8839 10987654321098765432109876543210
7684e580 8840 101001x10x0xxxxx001xxxxxxxxxxxxx
582e12bf
RS
8841 ld1rqw. */
8842 return 1504;
8843 }
8844 else
8845 {
8846 /* 33222222222211111111110000000000
8847 10987654321098765432109876543210
7684e580 8848 101001x11x0xxxxx001xxxxxxxxxxxxx
582e12bf
RS
8849 ld1rqd. */
8850 return 1500;
8851 }
c0890d26
RS
8852 }
8853 }
8854 else
8855 {
8856 if (((word >> 16) & 0x1) == 0)
8857 {
8858 if (((word >> 17) & 0x1) == 0)
8859 {
8860 if (((word >> 18) & 0x1) == 0)
8861 {
8862 if (((word >> 19) & 0x1) == 0)
8863 {
8864 if (((word >> 20) & 0x1) == 0)
8865 {
8866 /* 33222222222211111111110000000000
8867 10987654321098765432109876543210
7684e580 8868 x11001x1xx000000001xxxxxxxxxxxxx
c0890d26 8869 faddv. */
c2c4ff8d 8870 return 1340;
c0890d26
RS
8871 }
8872 else
8873 {
8874 if (((word >> 4) & 0x1) == 0)
8875 {
8876 /* 33222222222211111111110000000000
8877 10987654321098765432109876543210
7684e580 8878 x11001x1xx010000001xxxxxxxx0xxxx
c0890d26 8879 fcmge. */
582e12bf 8880 return 1347;
c0890d26
RS
8881 }
8882 else
8883 {
8884 /* 33222222222211111111110000000000
8885 10987654321098765432109876543210
7684e580 8886 x11001x1xx010000001xxxxxxxx1xxxx
c0890d26 8887 fcmgt. */
582e12bf 8888 return 1349;
c0890d26
RS
8889 }
8890 }
8891 }
8892 else
8893 {
8894 /* 33222222222211111111110000000000
8895 10987654321098765432109876543210
7684e580 8896 x11001x1xx0x1000001xxxxxxxxxxxxx
c0890d26 8897 fadda. */
c2c4ff8d 8898 return 1339;
c0890d26
RS
8899 }
8900 }
8901 else
8902 {
8903 /* 33222222222211111111110000000000
8904 10987654321098765432109876543210
7684e580 8905 x11001x1xx0xx100001xxxxxxxxxxxxx
c0890d26 8906 fmaxnmv. */
582e12bf 8907 return 1386;
c0890d26
RS
8908 }
8909 }
8910 else
8911 {
8912 if (((word >> 18) & 0x1) == 0)
8913 {
8914 /* 33222222222211111111110000000000
8915 10987654321098765432109876543210
7684e580 8916 x11001x1xx0xx010001xxxxxxxxxxxxx
c0890d26 8917 fcmeq. */
582e12bf 8918 return 1345;
c0890d26
RS
8919 }
8920 else
8921 {
8922 if (((word >> 19) & 0x1) == 0)
8923 {
8924 /* 33222222222211111111110000000000
8925 10987654321098765432109876543210
7684e580 8926 x11001x1xx0x0110001xxxxxxxxxxxxx
c0890d26 8927 fmaxv. */
582e12bf 8928 return 1387;
c0890d26
RS
8929 }
8930 else
8931 {
8932 /* 33222222222211111111110000000000
8933 10987654321098765432109876543210
7684e580 8934 x11001x1xx0x1110001xxxxxxxxxxxxx
c0890d26 8935 frecpe. */
582e12bf 8936 return 1415;
c0890d26
RS
8937 }
8938 }
8939 }
8940 }
8941 else
8942 {
8943 if (((word >> 17) & 0x1) == 0)
8944 {
8945 if (((word >> 18) & 0x1) == 0)
8946 {
8947 if (((word >> 4) & 0x1) == 0)
8948 {
8949 /* 33222222222211111111110000000000
8950 10987654321098765432109876543210
7684e580 8951 x11001x1xx0xx001001xxxxxxxx0xxxx
c0890d26 8952 fcmlt. */
582e12bf 8953 return 1352;
c0890d26
RS
8954 }
8955 else
8956 {
8957 /* 33222222222211111111110000000000
8958 10987654321098765432109876543210
7684e580 8959 x11001x1xx0xx001001xxxxxxxx1xxxx
c0890d26 8960 fcmle. */
582e12bf 8961 return 1351;
c0890d26
RS
8962 }
8963 }
8964 else
8965 {
8966 /* 33222222222211111111110000000000
8967 10987654321098765432109876543210
7684e580 8968 x11001x1xx0xx101001xxxxxxxxxxxxx
c0890d26 8969 fminnmv. */
582e12bf 8970 return 1392;
c0890d26
RS
8971 }
8972 }
8973 else
8974 {
8975 if (((word >> 18) & 0x1) == 0)
8976 {
8977 /* 33222222222211111111110000000000
8978 10987654321098765432109876543210
7684e580 8979 x11001x1xx0xx011001xxxxxxxxxxxxx
c0890d26 8980 fcmne. */
582e12bf 8981 return 1353;
c0890d26
RS
8982 }
8983 else
8984 {
8985 if (((word >> 19) & 0x1) == 0)
8986 {
8987 /* 33222222222211111111110000000000
8988 10987654321098765432109876543210
7684e580 8989 x11001x1xx0x0111001xxxxxxxxxxxxx
c0890d26 8990 fminv. */
582e12bf 8991 return 1393;
c0890d26
RS
8992 }
8993 else
8994 {
8995 /* 33222222222211111111110000000000
8996 10987654321098765432109876543210
7684e580 8997 x11001x1xx0x1111001xxxxxxxxxxxxx
c0890d26 8998 frsqrte. */
582e12bf 8999 return 1425;
c0890d26
RS
9000 }
9001 }
9002 }
9003 }
9004 }
9005 }
9006 else
9007 {
9008 if (((word >> 30) & 0x1) == 0)
9009 {
9010 if (((word >> 4) & 0x1) == 0)
9011 {
9012 /* 33222222222211111111110000000000
9013 10987654321098765432109876543210
7684e580 9014 x01001x1xx1xxxxx001xxxxxxxx0xxxx
c0890d26 9015 ctermeq. */
c2c4ff8d 9016 return 1310;
c0890d26
RS
9017 }
9018 else
9019 {
9020 /* 33222222222211111111110000000000
9021 10987654321098765432109876543210
7684e580 9022 x01001x1xx1xxxxx001xxxxxxxx1xxxx
c0890d26 9023 ctermne. */
c2c4ff8d 9024 return 1311;
c0890d26
RS
9025 }
9026 }
9027 else
9028 {
9029 /* 33222222222211111111110000000000
9030 10987654321098765432109876543210
7684e580 9031 x11001x1xx1xxxxx001xxxxxxxxxxxxx
c0890d26 9032 fmls. */
582e12bf 9033 return 1398;
c0890d26
RS
9034 }
9035 }
9036 }
9037 }
9038 else
9039 {
582e12bf 9040 if (((word >> 30) & 0x1) == 0)
c0890d26
RS
9041 {
9042 if (((word >> 21) & 0x1) == 0)
9043 {
9044 if (((word >> 22) & 0x1) == 0)
9045 {
582e12bf 9046 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
9047 {
9048 if (((word >> 31) & 0x1) == 0)
9049 {
9050 if (((word >> 9) & 0x1) == 0)
9051 {
9052 if (((word >> 20) & 0x1) == 0)
9053 {
9054 if (((word >> 4) & 0x1) == 0)
9055 {
9056 /* 33222222222211111111110000000000
9057 10987654321098765432109876543210
7684e580 9058 001001x10000xxxx01xxxx0xxxx0xxxx
c0890d26 9059 and. */
c2c4ff8d 9060 return 1241;
c0890d26
RS
9061 }
9062 else
9063 {
9064 /* 33222222222211111111110000000000
9065 10987654321098765432109876543210
7684e580 9066 001001x10000xxxx01xxxx0xxxx1xxxx
c0890d26 9067 bic. */
c2c4ff8d 9068 return 1253;
c0890d26
RS
9069 }
9070 }
9071 else
9072 {
9073 if (((word >> 19) & 0x1) == 0)
9074 {
9075 /* 33222222222211111111110000000000
9076 10987654321098765432109876543210
7684e580 9077 001001x100010xxx01xxxx0xxxxxxxxx
c0890d26 9078 brka. */
c2c4ff8d 9079 return 1255;
c0890d26
RS
9080 }
9081 else
9082 {
9083 /* 33222222222211111111110000000000
9084 10987654321098765432109876543210
7684e580 9085 001001x100011xxx01xxxx0xxxxxxxxx
c0890d26 9086 brkn. */
c2c4ff8d 9087 return 1259;
c0890d26
RS
9088 }
9089 }
9090 }
9091 else
9092 {
9093 if (((word >> 4) & 0x1) == 0)
9094 {
9095 /* 33222222222211111111110000000000
9096 10987654321098765432109876543210
7684e580 9097 001001x1000xxxxx01xxxx1xxxx0xxxx
582e12bf
RS
9098 eor. */
9099 return 1328;
9100 }
9101 else
9102 {
9103 /* 33222222222211111111110000000000
9104 10987654321098765432109876543210
7684e580 9105 001001x1000xxxxx01xxxx1xxxx1xxxx
582e12bf
RS
9106 sel. */
9107 return 1761;
9108 }
9109 }
9110 }
9111 else
9112 {
9113 if (((word >> 13) & 0x1) == 0)
9114 {
9115 /* 33222222222211111111110000000000
9116 10987654321098765432109876543210
7684e580 9117 101001x1000xxxxx010xxxxxxxxxxxxx
582e12bf
RS
9118 ld1sh. */
9119 return 1527;
9120 }
9121 else
9122 {
9123 /* 33222222222211111111110000000000
9124 10987654321098765432109876543210
7684e580 9125 101001x1000xxxxx011xxxxxxxxxxxxx
582e12bf
RS
9126 ldff1sh. */
9127 return 1616;
9128 }
9129 }
9130 }
9131 else
9132 {
9133 if (((word >> 31) & 0x1) == 0)
9134 {
9135 if (((word >> 9) & 0x1) == 0)
9136 {
9137 if (((word >> 20) & 0x1) == 0)
9138 {
9139 if (((word >> 4) & 0x1) == 0)
9140 {
9141 /* 33222222222211111111110000000000
9142 10987654321098765432109876543210
7684e580 9143 001001x11000xxxx01xxxx0xxxx0xxxx
582e12bf
RS
9144 orr. */
9145 return 1697;
9146 }
9147 else
9148 {
9149 /* 33222222222211111111110000000000
9150 10987654321098765432109876543210
7684e580 9151 001001x11000xxxx01xxxx0xxxx1xxxx
582e12bf
RS
9152 orn. */
9153 return 1692;
9154 }
9155 }
9156 else
9157 {
9158 /* 33222222222211111111110000000000
9159 10987654321098765432109876543210
7684e580 9160 001001x11001xxxx01xxxx0xxxxxxxxx
582e12bf
RS
9161 brkb. */
9162 return 1257;
9163 }
9164 }
9165 else
9166 {
9167 if (((word >> 4) & 0x1) == 0)
9168 {
9169 /* 33222222222211111111110000000000
9170 10987654321098765432109876543210
7684e580 9171 001001x1100xxxxx01xxxx1xxxx0xxxx
582e12bf
RS
9172 nor. */
9173 return 1689;
c0890d26
RS
9174 }
9175 else
9176 {
9177 /* 33222222222211111111110000000000
9178 10987654321098765432109876543210
7684e580 9179 001001x1100xxxxx01xxxx1xxxx1xxxx
582e12bf
RS
9180 nand. */
9181 return 1686;
c0890d26
RS
9182 }
9183 }
9184 }
9185 else
9186 {
9187 if (((word >> 13) & 0x1) == 0)
9188 {
9189 /* 33222222222211111111110000000000
9190 10987654321098765432109876543210
7684e580 9191 101001x1100xxxxx010xxxxxxxxxxxxx
582e12bf
RS
9192 ld1sb. */
9193 return 1515;
c0890d26
RS
9194 }
9195 else
9196 {
9197 /* 33222222222211111111110000000000
9198 10987654321098765432109876543210
7684e580 9199 101001x1100xxxxx011xxxxxxxxxxxxx
582e12bf
RS
9200 ldff1sb. */
9201 return 1607;
c0890d26
RS
9202 }
9203 }
9204 }
c0890d26
RS
9205 }
9206 else
9207 {
582e12bf 9208 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
9209 {
9210 if (((word >> 31) & 0x1) == 0)
9211 {
9212 if (((word >> 4) & 0x1) == 0)
9213 {
9214 if (((word >> 9) & 0x1) == 0)
9215 {
9216 if (((word >> 20) & 0x1) == 0)
9217 {
9218 /* 33222222222211111111110000000000
9219 10987654321098765432109876543210
7684e580 9220 001001x10100xxxx01xxxx0xxxx0xxxx
c0890d26 9221 ands. */
c2c4ff8d 9222 return 1242;
c0890d26
RS
9223 }
9224 else
9225 {
9226 if (((word >> 19) & 0x1) == 0)
9227 {
9228 /* 33222222222211111111110000000000
9229 10987654321098765432109876543210
7684e580 9230 001001x101010xxx01xxxx0xxxx0xxxx
c0890d26 9231 brkas. */
c2c4ff8d 9232 return 1256;
c0890d26
RS
9233 }
9234 else
9235 {
9236 /* 33222222222211111111110000000000
9237 10987654321098765432109876543210
7684e580 9238 001001x101011xxx01xxxx0xxxx0xxxx
c0890d26 9239 brkns. */
c2c4ff8d 9240 return 1260;
c0890d26
RS
9241 }
9242 }
9243 }
9244 else
9245 {
9246 /* 33222222222211111111110000000000
9247 10987654321098765432109876543210
7684e580 9248 001001x1010xxxxx01xxxx1xxxx0xxxx
c0890d26 9249 eors. */
c2c4ff8d 9250 return 1329;
c0890d26
RS
9251 }
9252 }
9253 else
9254 {
9255 /* 33222222222211111111110000000000
9256 10987654321098765432109876543210
7684e580 9257 001001x1010xxxxx01xxxxxxxxx1xxxx
c0890d26 9258 bics. */
c2c4ff8d 9259 return 1254;
c0890d26
RS
9260 }
9261 }
9262 else
9263 {
9264 if (((word >> 13) & 0x1) == 0)
9265 {
9266 /* 33222222222211111111110000000000
9267 10987654321098765432109876543210
7684e580 9268 101001x1010xxxxx010xxxxxxxxxxxxx
c0890d26 9269 ld1w. */
582e12bf 9270 return 1546;
c0890d26
RS
9271 }
9272 else
9273 {
9274 /* 33222222222211111111110000000000
9275 10987654321098765432109876543210
7684e580 9276 101001x1010xxxxx011xxxxxxxxxxxxx
c0890d26 9277 ldff1w. */
582e12bf 9278 return 1632;
c0890d26
RS
9279 }
9280 }
9281 }
9282 else
9283 {
9284 if (((word >> 31) & 0x1) == 0)
9285 {
9286 if (((word >> 4) & 0x1) == 0)
9287 {
9288 if (((word >> 9) & 0x1) == 0)
9289 {
9290 if (((word >> 20) & 0x1) == 0)
9291 {
9292 /* 33222222222211111111110000000000
9293 10987654321098765432109876543210
7684e580 9294 001001x11100xxxx01xxxx0xxxx0xxxx
c0890d26 9295 orrs. */
582e12bf 9296 return 1698;
c0890d26
RS
9297 }
9298 else
9299 {
9300 /* 33222222222211111111110000000000
9301 10987654321098765432109876543210
7684e580 9302 001001x11101xxxx01xxxx0xxxx0xxxx
c0890d26 9303 brkbs. */
c2c4ff8d 9304 return 1258;
c0890d26
RS
9305 }
9306 }
9307 else
9308 {
9309 /* 33222222222211111111110000000000
9310 10987654321098765432109876543210
7684e580 9311 001001x1110xxxxx01xxxx1xxxx0xxxx
c0890d26 9312 nors. */
582e12bf 9313 return 1690;
c0890d26
RS
9314 }
9315 }
9316 else
9317 {
9318 if (((word >> 9) & 0x1) == 0)
9319 {
9320 /* 33222222222211111111110000000000
9321 10987654321098765432109876543210
7684e580 9322 001001x1110xxxxx01xxxx0xxxx1xxxx
c0890d26 9323 orns. */
582e12bf 9324 return 1693;
c0890d26
RS
9325 }
9326 else
9327 {
9328 /* 33222222222211111111110000000000
9329 10987654321098765432109876543210
7684e580 9330 001001x1110xxxxx01xxxx1xxxx1xxxx
c0890d26 9331 nands. */
582e12bf 9332 return 1687;
c0890d26
RS
9333 }
9334 }
9335 }
9336 else
9337 {
9338 if (((word >> 13) & 0x1) == 0)
9339 {
9340 /* 33222222222211111111110000000000
9341 10987654321098765432109876543210
7684e580 9342 101001x1110xxxxx010xxxxxxxxxxxxx
c0890d26 9343 ld1sb. */
582e12bf 9344 return 1517;
c0890d26
RS
9345 }
9346 else
9347 {
9348 /* 33222222222211111111110000000000
9349 10987654321098765432109876543210
7684e580 9350 101001x1110xxxxx011xxxxxxxxxxxxx
c0890d26 9351 ldff1sb. */
582e12bf 9352 return 1609;
c0890d26
RS
9353 }
9354 }
9355 }
9356 }
582e12bf
RS
9357 }
9358 else
9359 {
9360 if (((word >> 13) & 0x1) == 0)
c0890d26 9361 {
582e12bf 9362 if (((word >> 22) & 0x1) == 0)
c0890d26 9363 {
582e12bf
RS
9364 if (((word >> 23) & 0x1) == 0)
9365 {
9366 /* 33222222222211111111110000000000
9367 10987654321098765432109876543210
7684e580 9368 x01001x1001xxxxx010xxxxxxxxxxxxx
582e12bf
RS
9369 ld1sh. */
9370 return 1528;
9371 }
9372 else
c0890d26
RS
9373 {
9374 /* 33222222222211111111110000000000
9375 10987654321098765432109876543210
7684e580 9376 x01001x1101xxxxx010xxxxxxxxxxxxx
c0890d26 9377 ld1sb. */
582e12bf
RS
9378 return 1516;
9379 }
9380 }
9381 else
9382 {
9383 if (((word >> 23) & 0x1) == 0)
9384 {
9385 /* 33222222222211111111110000000000
9386 10987654321098765432109876543210
7684e580 9387 x01001x1011xxxxx010xxxxxxxxxxxxx
582e12bf
RS
9388 ld1w. */
9389 return 1547;
9390 }
9391 else
9392 {
9393 /* 33222222222211111111110000000000
9394 10987654321098765432109876543210
7684e580 9395 x01001x1111xxxxx010xxxxxxxxxxxxx
582e12bf
RS
9396 ld1d. */
9397 return 1469;
9398 }
9399 }
9400 }
9401 else
9402 {
9403 if (((word >> 22) & 0x1) == 0)
9404 {
9405 if (((word >> 23) & 0x1) == 0)
9406 {
9407 /* 33222222222211111111110000000000
9408 10987654321098765432109876543210
7684e580 9409 x01001x1001xxxxx011xxxxxxxxxxxxx
582e12bf
RS
9410 ldff1sh. */
9411 return 1617;
9412 }
9413 else
9414 {
9415 /* 33222222222211111111110000000000
9416 10987654321098765432109876543210
7684e580 9417 x01001x1101xxxxx011xxxxxxxxxxxxx
582e12bf
RS
9418 ldff1sb. */
9419 return 1608;
9420 }
9421 }
9422 else
9423 {
9424 if (((word >> 23) & 0x1) == 0)
9425 {
9426 /* 33222222222211111111110000000000
9427 10987654321098765432109876543210
7684e580 9428 x01001x1011xxxxx011xxxxxxxxxxxxx
582e12bf
RS
9429 ldff1w. */
9430 return 1633;
c0890d26
RS
9431 }
9432 else
9433 {
9434 /* 33222222222211111111110000000000
9435 10987654321098765432109876543210
7684e580 9436 x01001x1111xxxxx011xxxxxxxxxxxxx
582e12bf
RS
9437 ldff1d. */
9438 return 1589;
c0890d26
RS
9439 }
9440 }
582e12bf
RS
9441 }
9442 }
9443 }
9444 else
9445 {
9446 if (((word >> 13) & 0x1) == 0)
9447 {
9448 if (((word >> 31) & 0x1) == 0)
9449 {
9450 if (((word >> 21) & 0x1) == 0)
c0890d26 9451 {
582e12bf 9452 if (((word >> 4) & 0x1) == 0)
c0890d26
RS
9453 {
9454 /* 33222222222211111111110000000000
9455 10987654321098765432109876543210
7684e580 9456 011001x1xx0xxxxx010xxxxxxxx0xxxx
582e12bf
RS
9457 fcmge. */
9458 return 1348;
c0890d26
RS
9459 }
9460 else
9461 {
9462 /* 33222222222211111111110000000000
9463 10987654321098765432109876543210
7684e580 9464 011001x1xx0xxxxx010xxxxxxxx1xxxx
582e12bf
RS
9465 fcmgt. */
9466 return 1350;
c0890d26
RS
9467 }
9468 }
582e12bf
RS
9469 else
9470 {
9471 /* 33222222222211111111110000000000
9472 10987654321098765432109876543210
7684e580 9473 011001x1xx1xxxxx010xxxxxxxxxxxxx
582e12bf
RS
9474 fnmla. */
9475 return 1412;
9476 }
c0890d26 9477 }
582e12bf 9478 else
c0890d26 9479 {
582e12bf
RS
9480 if (((word >> 22) & 0x1) == 0)
9481 {
9482 /* 33222222222211111111110000000000
9483 10987654321098765432109876543210
7684e580 9484 111001x1x0xxxxxx010xxxxxxxxxxxxx
582e12bf
RS
9485 str. */
9486 return 1882;
9487 }
9488 else
c0890d26
RS
9489 {
9490 if (((word >> 21) & 0x1) == 0)
9491 {
582e12bf
RS
9492 /* 33222222222211111111110000000000
9493 10987654321098765432109876543210
7684e580 9494 111001x1x10xxxxx010xxxxxxxxxxxxx
582e12bf
RS
9495 st1w. */
9496 return 1841;
9497 }
9498 else
9499 {
9500 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
9501 {
9502 /* 33222222222211111111110000000000
9503 10987654321098765432109876543210
7684e580 9504 111001x1011xxxxx010xxxxxxxxxxxxx
582e12bf
RS
9505 st1w. */
9506 return 1843;
c0890d26
RS
9507 }
9508 else
9509 {
9510 /* 33222222222211111111110000000000
9511 10987654321098765432109876543210
7684e580 9512 111001x1111xxxxx010xxxxxxxxxxxxx
582e12bf
RS
9513 st1d. */
9514 return 1820;
c0890d26
RS
9515 }
9516 }
c0890d26 9517 }
582e12bf
RS
9518 }
9519 }
9520 else
9521 {
9522 if (((word >> 21) & 0x1) == 0)
9523 {
9524 if (((word >> 31) & 0x1) == 0)
c0890d26 9525 {
582e12bf 9526 if (((word >> 4) & 0x1) == 0)
c0890d26
RS
9527 {
9528 /* 33222222222211111111110000000000
9529 10987654321098765432109876543210
7684e580 9530 011001x1xx0xxxxx011xxxxxxxx0xxxx
582e12bf
RS
9531 fcmeq. */
9532 return 1346;
c0890d26
RS
9533 }
9534 else
9535 {
9536 /* 33222222222211111111110000000000
9537 10987654321098765432109876543210
7684e580 9538 011001x1xx0xxxxx011xxxxxxxx1xxxx
582e12bf
RS
9539 fcmne. */
9540 return 1354;
c0890d26
RS
9541 }
9542 }
582e12bf 9543 else
c0890d26 9544 {
582e12bf 9545 if (((word >> 22) & 0x1) == 0)
c0890d26 9546 {
582e12bf 9547 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
9548 {
9549 /* 33222222222211111111110000000000
9550 10987654321098765432109876543210
7684e580 9551 111001x1000xxxxx011xxxxxxxxxxxxx
582e12bf
RS
9552 stnt1w. */
9553 return 1879;
c0890d26
RS
9554 }
9555 else
9556 {
9557 /* 33222222222211111111110000000000
9558 10987654321098765432109876543210
7684e580 9559 111001x1100xxxxx011xxxxxxxxxxxxx
582e12bf
RS
9560 stnt1d. */
9561 return 1875;
c0890d26
RS
9562 }
9563 }
9564 else
9565 {
582e12bf 9566 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
9567 {
9568 /* 33222222222211111111110000000000
9569 10987654321098765432109876543210
7684e580 9570 111001x1010xxxxx011xxxxxxxxxxxxx
582e12bf
RS
9571 st3w. */
9572 return 1863;
c0890d26
RS
9573 }
9574 else
9575 {
9576 /* 33222222222211111111110000000000
9577 10987654321098765432109876543210
7684e580 9578 111001x1110xxxxx011xxxxxxxxxxxxx
c0890d26 9579 st3d. */
582e12bf 9580 return 1859;
c0890d26
RS
9581 }
9582 }
9583 }
582e12bf
RS
9584 }
9585 else
9586 {
9587 if (((word >> 31) & 0x1) == 0)
9588 {
9589 /* 33222222222211111111110000000000
9590 10987654321098765432109876543210
7684e580 9591 011001x1xx1xxxxx011xxxxxxxxxxxxx
582e12bf
RS
9592 fnmls. */
9593 return 1413;
9594 }
c0890d26
RS
9595 else
9596 {
582e12bf 9597 if (((word >> 22) & 0x1) == 0)
c0890d26 9598 {
582e12bf
RS
9599 if (((word >> 23) & 0x1) == 0)
9600 {
9601 /* 33222222222211111111110000000000
9602 10987654321098765432109876543210
7684e580 9603 111001x1001xxxxx011xxxxxxxxxxxxx
582e12bf
RS
9604 st2w. */
9605 return 1855;
9606 }
9607 else
9608 {
9609 /* 33222222222211111111110000000000
9610 10987654321098765432109876543210
7684e580 9611 111001x1101xxxxx011xxxxxxxxxxxxx
582e12bf
RS
9612 st2d. */
9613 return 1851;
9614 }
c0890d26
RS
9615 }
9616 else
9617 {
582e12bf 9618 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
9619 {
9620 /* 33222222222211111111110000000000
9621 10987654321098765432109876543210
7684e580 9622 111001x1011xxxxx011xxxxxxxxxxxxx
582e12bf
RS
9623 st4w. */
9624 return 1871;
c0890d26
RS
9625 }
9626 else
9627 {
9628 /* 33222222222211111111110000000000
9629 10987654321098765432109876543210
7684e580 9630 111001x1111xxxxx011xxxxxxxxxxxxx
c0890d26 9631 st4d. */
582e12bf 9632 return 1867;
c0890d26
RS
9633 }
9634 }
9635 }
9636 }
9637 }
9638 }
9639 }
9640 }
9641 else
9642 {
9643 if (((word >> 21) & 0x1) == 0)
9644 {
9645 if (((word >> 30) & 0x1) == 0)
9646 {
9647 if (((word >> 14) & 0x1) == 0)
9648 {
9649 if (((word >> 13) & 0x1) == 0)
9650 {
9651 if (((word >> 4) & 0x1) == 0)
9652 {
9653 /* 33222222222211111111110000000000
9654 10987654321098765432109876543210
7684e580 9655 x01001x1xx0xxxxx100xxxxxxxx0xxxx
c0890d26 9656 cmpeq. */
c2c4ff8d 9657 return 1275;
c0890d26
RS
9658 }
9659 else
9660 {
9661 /* 33222222222211111111110000000000
9662 10987654321098765432109876543210
7684e580 9663 x01001x1xx0xxxxx100xxxxxxxx1xxxx
c0890d26 9664 cmpne. */
c2c4ff8d 9665 return 1298;
c0890d26
RS
9666 }
9667 }
9668 else
9669 {
9670 if (((word >> 20) & 0x1) == 0)
9671 {
9672 if (((word >> 22) & 0x1) == 0)
9673 {
9674 if (((word >> 23) & 0x1) == 0)
9675 {
9676 /* 33222222222211111111110000000000
9677 10987654321098765432109876543210
7684e580 9678 x01001x10000xxxx101xxxxxxxxxxxxx
c0890d26 9679 ld1sh. */
582e12bf 9680 return 1534;
c0890d26
RS
9681 }
9682 else
9683 {
9684 /* 33222222222211111111110000000000
9685 10987654321098765432109876543210
7684e580 9686 x01001x11000xxxx101xxxxxxxxxxxxx
c0890d26 9687 ld1sb. */
582e12bf 9688 return 1521;
c0890d26
RS
9689 }
9690 }
9691 else
9692 {
9693 if (((word >> 23) & 0x1) == 0)
9694 {
9695 /* 33222222222211111111110000000000
9696 10987654321098765432109876543210
7684e580 9697 x01001x10100xxxx101xxxxxxxxxxxxx
c0890d26 9698 ld1w. */
582e12bf 9699 return 1553;
c0890d26
RS
9700 }
9701 else
9702 {
9703 /* 33222222222211111111110000000000
9704 10987654321098765432109876543210
7684e580 9705 x01001x11100xxxx101xxxxxxxxxxxxx
c0890d26 9706 ld1sb. */
582e12bf 9707 return 1523;
c0890d26
RS
9708 }
9709 }
9710 }
9711 else
9712 {
9713 if (((word >> 22) & 0x1) == 0)
9714 {
9715 if (((word >> 23) & 0x1) == 0)
9716 {
9717 /* 33222222222211111111110000000000
9718 10987654321098765432109876543210
7684e580 9719 x01001x10001xxxx101xxxxxxxxxxxxx
c0890d26 9720 ldnf1sh. */
582e12bf 9721 return 1651;
c0890d26
RS
9722 }
9723 else
9724 {
9725 /* 33222222222211111111110000000000
9726 10987654321098765432109876543210
7684e580 9727 x01001x11001xxxx101xxxxxxxxxxxxx
c0890d26 9728 ldnf1sb. */
582e12bf 9729 return 1648;
c0890d26
RS
9730 }
9731 }
9732 else
9733 {
9734 if (((word >> 23) & 0x1) == 0)
9735 {
9736 /* 33222222222211111111110000000000
9737 10987654321098765432109876543210
7684e580 9738 x01001x10101xxxx101xxxxxxxxxxxxx
c0890d26 9739 ldnf1w. */
582e12bf 9740 return 1654;
c0890d26
RS
9741 }
9742 else
9743 {
9744 /* 33222222222211111111110000000000
9745 10987654321098765432109876543210
7684e580 9746 x01001x11101xxxx101xxxxxxxxxxxxx
c0890d26 9747 ldnf1sb. */
582e12bf 9748 return 1650;
c0890d26
RS
9749 }
9750 }
9751 }
9752 }
9753 }
9754 else
9755 {
9756 if (((word >> 31) & 0x1) == 0)
9757 {
9758 if (((word >> 4) & 0x1) == 0)
9759 {
9760 if (((word >> 20) & 0x1) == 0)
9761 {
9762 if (((word >> 22) & 0x1) == 0)
9763 {
9764 /* 33222222222211111111110000000000
9765 10987654321098765432109876543210
7684e580 9766 001001x1x000xxxx11xxxxxxxxx0xxxx
c0890d26 9767 brkpa. */
c2c4ff8d 9768 return 1261;
c0890d26
RS
9769 }
9770 else
9771 {
9772 /* 33222222222211111111110000000000
9773 10987654321098765432109876543210
7684e580 9774 001001x1x100xxxx11xxxxxxxxx0xxxx
c0890d26 9775 brkpas. */
c2c4ff8d 9776 return 1262;
c0890d26
RS
9777 }
9778 }
9779 else
9780 {
9781 if (((word >> 16) & 0x1) == 0)
9782 {
9783 if (((word >> 19) & 0x1) == 0)
9784 {
9785 /* 33222222222211111111110000000000
9786 10987654321098765432109876543210
7684e580 9787 001001x1xx010xx011xxxxxxxxx0xxxx
c0890d26 9788 ptest. */
582e12bf 9789 return 1731;
c0890d26
RS
9790 }
9791 else
9792 {
9793 if (((word >> 10) & 0x1) == 0)
9794 {
9795 if (((word >> 12) & 0x1) == 0)
9796 {
9797 if (((word >> 13) & 0x1) == 0)
9798 {
9799 /* 33222222222211111111110000000000
9800 10987654321098765432109876543210
7684e580 9801 001001x1xx011xx01100x0xxxxx0xxxx
c0890d26 9802 pfirst. */
582e12bf 9803 return 1701;
c0890d26
RS
9804 }
9805 else
9806 {
9807 /* 33222222222211111111110000000000
9808 10987654321098765432109876543210
7684e580 9809 001001x1xx011xx01110x0xxxxx0xxxx
c0890d26 9810 ptrue. */
582e12bf 9811 return 1732;
c0890d26
RS
9812 }
9813 }
9814 else
9815 {
9816 if (((word >> 22) & 0x1) == 0)
9817 {
9818 /* 33222222222211111111110000000000
9819 10987654321098765432109876543210
7684e580 9820 001001x1x0011xx011x1x0xxxxx0xxxx
c0890d26 9821 rdffr. */
582e12bf 9822 return 1738;
c0890d26
RS
9823 }
9824 else
9825 {
9826 /* 33222222222211111111110000000000
9827 10987654321098765432109876543210
7684e580 9828 001001x1x1011xx011x1x0xxxxx0xxxx
c0890d26 9829 rdffrs. */
582e12bf 9830 return 1739;
c0890d26
RS
9831 }
9832 }
9833 }
9834 else
9835 {
9836 /* 33222222222211111111110000000000
9837 10987654321098765432109876543210
7684e580 9838 001001x1xx011xx011xxx1xxxxx0xxxx
c0890d26 9839 pfalse. */
582e12bf 9840 return 1700;
c0890d26
RS
9841 }
9842 }
9843 }
9844 else
9845 {
9846 if (((word >> 10) & 0x1) == 0)
9847 {
9848 if (((word >> 12) & 0x1) == 0)
9849 {
9850 /* 33222222222211111111110000000000
9851 10987654321098765432109876543210
7684e580 9852 001001x1xx01xxx111x0x0xxxxx0xxxx
c0890d26 9853 ptrues. */
582e12bf 9854 return 1733;
c0890d26
RS
9855 }
9856 else
9857 {
9858 /* 33222222222211111111110000000000
9859 10987654321098765432109876543210
7684e580 9860 001001x1xx01xxx111x1x0xxxxx0xxxx
c0890d26 9861 rdffr. */
582e12bf 9862 return 1737;
c0890d26
RS
9863 }
9864 }
9865 else
9866 {
9867 /* 33222222222211111111110000000000
9868 10987654321098765432109876543210
7684e580 9869 001001x1xx01xxx111xxx1xxxxx0xxxx
c0890d26 9870 pnext. */
582e12bf 9871 return 1702;
c0890d26
RS
9872 }
9873 }
9874 }
9875 }
9876 else
9877 {
9878 if (((word >> 22) & 0x1) == 0)
9879 {
9880 /* 33222222222211111111110000000000
9881 10987654321098765432109876543210
7684e580 9882 001001x1x00xxxxx11xxxxxxxxx1xxxx
c0890d26 9883 brkpb. */
c2c4ff8d 9884 return 1263;
c0890d26
RS
9885 }
9886 else
9887 {
9888 /* 33222222222211111111110000000000
9889 10987654321098765432109876543210
7684e580 9890 001001x1x10xxxxx11xxxxxxxxx1xxxx
c0890d26 9891 brkpbs. */
c2c4ff8d 9892 return 1264;
c0890d26
RS
9893 }
9894 }
9895 }
9896 else
9897 {
9898 if (((word >> 13) & 0x1) == 0)
9899 {
9900 if (((word >> 22) & 0x1) == 0)
9901 {
9902 if (((word >> 23) & 0x1) == 0)
9903 {
9904 /* 33222222222211111111110000000000
9905 10987654321098765432109876543210
7684e580 9906 101001x1000xxxxx110xxxxxxxxxxxxx
c0890d26 9907 ldnt1w. */
582e12bf 9908 return 1662;
c0890d26
RS
9909 }
9910 else
9911 {
9912 /* 33222222222211111111110000000000
9913 10987654321098765432109876543210
7684e580 9914 101001x1100xxxxx110xxxxxxxxxxxxx
c0890d26 9915 ldnt1d. */
582e12bf 9916 return 1658;
c0890d26
RS
9917 }
9918 }
9919 else
9920 {
9921 if (((word >> 23) & 0x1) == 0)
9922 {
9923 /* 33222222222211111111110000000000
9924 10987654321098765432109876543210
7684e580 9925 101001x1010xxxxx110xxxxxxxxxxxxx
c0890d26 9926 ld3w. */
582e12bf 9927 return 1570;
c0890d26
RS
9928 }
9929 else
9930 {
9931 /* 33222222222211111111110000000000
9932 10987654321098765432109876543210
7684e580 9933 101001x1110xxxxx110xxxxxxxxxxxxx
c0890d26 9934 ld3d. */
582e12bf 9935 return 1566;
c0890d26
RS
9936 }
9937 }
9938 }
9939 else
9940 {
9941 if (((word >> 22) & 0x1) == 0)
582e12bf
RS
9942 {
9943 if (((word >> 23) & 0x1) == 0)
9944 {
9945 /* 33222222222211111111110000000000
9946 10987654321098765432109876543210
7684e580 9947 101001x1000xxxxx111xxxxxxxxxxxxx
582e12bf
RS
9948 ldnt1w. */
9949 return 1663;
9950 }
9951 else
9952 {
9953 /* 33222222222211111111110000000000
9954 10987654321098765432109876543210
7684e580 9955 101001x1100xxxxx111xxxxxxxxxxxxx
582e12bf
RS
9956 ldnt1d. */
9957 return 1659;
9958 }
9959 }
9960 else
9961 {
9962 if (((word >> 23) & 0x1) == 0)
9963 {
9964 /* 33222222222211111111110000000000
9965 10987654321098765432109876543210
7684e580 9966 101001x1010xxxxx111xxxxxxxxxxxxx
582e12bf
RS
9967 ld3w. */
9968 return 1571;
c0890d26
RS
9969 }
9970 else
582e12bf
RS
9971 {
9972 /* 33222222222211111111110000000000
9973 10987654321098765432109876543210
7684e580 9974 101001x1110xxxxx111xxxxxxxxxxxxx
582e12bf
RS
9975 ld3d. */
9976 return 1567;
9977 }
9978 }
9979 }
9980 }
9981 }
9982 }
9983 else
9984 {
9985 if (((word >> 13) & 0x1) == 0)
9986 {
9987 if (((word >> 31) & 0x1) == 0)
9988 {
9989 if (((word >> 14) & 0x1) == 0)
9990 {
9991 if (((word >> 19) & 0x1) == 0)
9992 {
9993 if (((word >> 20) & 0x1) == 0)
c0890d26
RS
9994 {
9995 if (((word >> 16) & 0x1) == 0)
9996 {
9997 if (((word >> 17) & 0x1) == 0)
9998 {
9999 if (((word >> 18) & 0x1) == 0)
10000 {
582e12bf
RS
10001 /* 33222222222211111111110000000000
10002 10987654321098765432109876543210
7684e580 10003 011001x1xx000000100xxxxxxxxxxxxx
582e12bf
RS
10004 fadd. */
10005 return 1337;
c0890d26
RS
10006 }
10007 else
10008 {
582e12bf
RS
10009 /* 33222222222211111111110000000000
10010 10987654321098765432109876543210
7684e580 10011 011001x1xx000100100xxxxxxxxxxxxx
582e12bf
RS
10012 fmaxnm. */
10013 return 1384;
c0890d26
RS
10014 }
10015 }
10016 else
10017 {
10018 if (((word >> 18) & 0x1) == 0)
10019 {
582e12bf
RS
10020 /* 33222222222211111111110000000000
10021 10987654321098765432109876543210
7684e580 10022 011001x1xx000010100xxxxxxxxxxxxx
582e12bf
RS
10023 fmul. */
10024 return 1404;
c0890d26
RS
10025 }
10026 else
10027 {
10028 /* 33222222222211111111110000000000
10029 10987654321098765432109876543210
7684e580 10030 011001x1xx000110100xxxxxxxxxxxxx
c0890d26 10031 fmax. */
582e12bf 10032 return 1382;
c0890d26
RS
10033 }
10034 }
10035 }
10036 else
10037 {
10038 if (((word >> 17) & 0x1) == 0)
10039 {
10040 if (((word >> 18) & 0x1) == 0)
10041 {
582e12bf
RS
10042 /* 33222222222211111111110000000000
10043 10987654321098765432109876543210
7684e580 10044 011001x1xx000001100xxxxxxxxxxxxx
582e12bf
RS
10045 fsub. */
10046 return 1430;
c0890d26
RS
10047 }
10048 else
10049 {
582e12bf
RS
10050 /* 33222222222211111111110000000000
10051 10987654321098765432109876543210
7684e580 10052 011001x1xx000101100xxxxxxxxxxxxx
582e12bf
RS
10053 fminnm. */
10054 return 1390;
c0890d26
RS
10055 }
10056 }
10057 else
10058 {
10059 if (((word >> 18) & 0x1) == 0)
10060 {
10061 /* 33222222222211111111110000000000
10062 10987654321098765432109876543210
7684e580 10063 011001x1xx000011100xxxxxxxxxxxxx
c0890d26 10064 fsubr. */
582e12bf 10065 return 1432;
c0890d26
RS
10066 }
10067 else
10068 {
10069 /* 33222222222211111111110000000000
10070 10987654321098765432109876543210
7684e580 10071 011001x1xx000111100xxxxxxxxxxxxx
c0890d26 10072 fmin. */
582e12bf 10073 return 1388;
c0890d26
RS
10074 }
10075 }
10076 }
10077 }
582e12bf
RS
10078 else
10079 {
10080 /* 33222222222211111111110000000000
10081 10987654321098765432109876543210
7684e580 10082 011001x1xx010xxx100xxxxxxxxxxxxx
582e12bf
RS
10083 ftmad. */
10084 return 1434;
10085 }
c0890d26
RS
10086 }
10087 else
10088 {
582e12bf 10089 if (((word >> 16) & 0x1) == 0)
c0890d26 10090 {
582e12bf
RS
10091 if (((word >> 17) & 0x1) == 0)
10092 {
10093 if (((word >> 18) & 0x1) == 0)
10094 {
10095 if (((word >> 20) & 0x1) == 0)
10096 {
10097 /* 33222222222211111111110000000000
10098 10987654321098765432109876543210
7684e580 10099 011001x1xx001000100xxxxxxxxxxxxx
582e12bf
RS
10100 fabd. */
10101 return 1332;
10102 }
10103 else
10104 {
10105 /* 33222222222211111111110000000000
10106 10987654321098765432109876543210
7684e580 10107 011001x1xx011000100xxxxxxxxxxxxx
582e12bf
RS
10108 fadd. */
10109 return 1338;
10110 }
10111 }
10112 else
10113 {
10114 if (((word >> 20) & 0x1) == 0)
10115 {
10116 /* 33222222222211111111110000000000
10117 10987654321098765432109876543210
7684e580 10118 011001x1xx001100100xxxxxxxxxxxxx
582e12bf
RS
10119 fdivr. */
10120 return 1378;
10121 }
10122 else
10123 {
10124 /* 33222222222211111111110000000000
10125 10987654321098765432109876543210
7684e580 10126 011001x1xx011100100xxxxxxxxxxxxx
582e12bf
RS
10127 fmaxnm. */
10128 return 1385;
10129 }
10130 }
10131 }
10132 else
10133 {
10134 if (((word >> 18) & 0x1) == 0)
10135 {
10136 if (((word >> 20) & 0x1) == 0)
10137 {
10138 /* 33222222222211111111110000000000
10139 10987654321098765432109876543210
7684e580 10140 011001x1xx001010100xxxxxxxxxxxxx
582e12bf
RS
10141 fmulx. */
10142 return 1409;
10143 }
10144 else
10145 {
10146 /* 33222222222211111111110000000000
10147 10987654321098765432109876543210
7684e580 10148 011001x1xx011010100xxxxxxxxxxxxx
582e12bf
RS
10149 fmul. */
10150 return 1405;
10151 }
10152 }
10153 else
10154 {
10155 /* 33222222222211111111110000000000
10156 10987654321098765432109876543210
7684e580 10157 011001x1xx0x1110100xxxxxxxxxxxxx
582e12bf
RS
10158 fmax. */
10159 return 1383;
10160 }
10161 }
c0890d26
RS
10162 }
10163 else
10164 {
582e12bf
RS
10165 if (((word >> 17) & 0x1) == 0)
10166 {
10167 if (((word >> 18) & 0x1) == 0)
10168 {
10169 if (((word >> 20) & 0x1) == 0)
10170 {
10171 /* 33222222222211111111110000000000
10172 10987654321098765432109876543210
7684e580 10173 011001x1xx001001100xxxxxxxxxxxxx
582e12bf
RS
10174 fscale. */
10175 return 1427;
10176 }
10177 else
10178 {
10179 /* 33222222222211111111110000000000
10180 10987654321098765432109876543210
7684e580 10181 011001x1xx011001100xxxxxxxxxxxxx
582e12bf
RS
10182 fsub. */
10183 return 1431;
10184 }
10185 }
10186 else
10187 {
10188 if (((word >> 20) & 0x1) == 0)
10189 {
10190 /* 33222222222211111111110000000000
10191 10987654321098765432109876543210
7684e580 10192 011001x1xx001101100xxxxxxxxxxxxx
582e12bf
RS
10193 fdiv. */
10194 return 1377;
10195 }
10196 else
10197 {
10198 /* 33222222222211111111110000000000
10199 10987654321098765432109876543210
7684e580 10200 011001x1xx011101100xxxxxxxxxxxxx
582e12bf
RS
10201 fminnm. */
10202 return 1391;
10203 }
10204 }
10205 }
10206 else
10207 {
10208 if (((word >> 18) & 0x1) == 0)
10209 {
10210 /* 33222222222211111111110000000000
10211 10987654321098765432109876543210
7684e580 10212 011001x1xx0x1011100xxxxxxxxxxxxx
582e12bf
RS
10213 fsubr. */
10214 return 1433;
10215 }
10216 else
10217 {
10218 /* 33222222222211111111110000000000
10219 10987654321098765432109876543210
7684e580 10220 011001x1xx0x1111100xxxxxxxxxxxxx
582e12bf
RS
10221 fmin. */
10222 return 1389;
10223 }
10224 }
c0890d26
RS
10225 }
10226 }
10227 }
10228 else
10229 {
582e12bf
RS
10230 if (((word >> 4) & 0x1) == 0)
10231 {
10232 /* 33222222222211111111110000000000
10233 10987654321098765432109876543210
7684e580 10234 011001x1xx0xxxxx110xxxxxxxx0xxxx
582e12bf
RS
10235 fcmuo. */
10236 return 1355;
10237 }
10238 else
10239 {
10240 /* 33222222222211111111110000000000
10241 10987654321098765432109876543210
7684e580 10242 011001x1xx0xxxxx110xxxxxxxx1xxxx
582e12bf
RS
10243 facge. */
10244 return 1334;
10245 }
c0890d26
RS
10246 }
10247 }
582e12bf 10248 else
c0890d26 10249 {
582e12bf 10250 if (((word >> 22) & 0x1) == 0)
c0890d26 10251 {
582e12bf 10252 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
10253 {
10254 /* 33222222222211111111110000000000
10255 10987654321098765432109876543210
7684e580 10256 111001x1000xxxxx1x0xxxxxxxxxxxxx
c0890d26 10257 st1w. */
582e12bf 10258 return 1837;
c0890d26
RS
10259 }
10260 else
10261 {
10262 /* 33222222222211111111110000000000
10263 10987654321098765432109876543210
7684e580 10264 111001x1100xxxxx1x0xxxxxxxxxxxxx
582e12bf
RS
10265 st1d. */
10266 return 1816;
c0890d26
RS
10267 }
10268 }
10269 else
10270 {
582e12bf
RS
10271 /* 33222222222211111111110000000000
10272 10987654321098765432109876543210
7684e580 10273 111001x1x10xxxxx1x0xxxxxxxxxxxxx
582e12bf
RS
10274 st1w. */
10275 return 1842;
10276 }
10277 }
10278 }
10279 else
10280 {
10281 if (((word >> 14) & 0x1) == 0)
10282 {
10283 if (((word >> 31) & 0x1) == 0)
10284 {
10285 if (((word >> 16) & 0x1) == 0)
c0890d26 10286 {
582e12bf 10287 if (((word >> 17) & 0x1) == 0)
c0890d26 10288 {
582e12bf 10289 if (((word >> 18) & 0x1) == 0)
c0890d26 10290 {
582e12bf 10291 if (((word >> 19) & 0x1) == 0)
c0890d26 10292 {
582e12bf 10293 if (((word >> 20) & 0x1) == 0)
c0890d26 10294 {
582e12bf
RS
10295 /* 33222222222211111111110000000000
10296 10987654321098765432109876543210
7684e580 10297 011001x1xx000000101xxxxxxxxxxxxx
582e12bf
RS
10298 frintn. */
10299 return 1421;
10300 }
10301 else
10302 {
10303 /* 33222222222211111111110000000000
10304 10987654321098765432109876543210
7684e580 10305 011001x1xx010000101xxxxxxxxxxxxx
582e12bf
RS
10306 scvtf. */
10307 return 1751;
10308 }
10309 }
10310 else
10311 {
10312 if (((word >> 20) & 0x1) == 0)
10313 {
10314 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
10315 {
10316 /* 33222222222211111111110000000000
10317 10987654321098765432109876543210
7684e580 10318 011001x1x0001000101xxxxxxxxxxxxx
582e12bf
RS
10319 fcvt. */
10320 return 1357;
c0890d26
RS
10321 }
10322 else
10323 {
10324 /* 33222222222211111111110000000000
10325 10987654321098765432109876543210
7684e580 10326 011001x1x1001000101xxxxxxxxxxxxx
582e12bf
RS
10327 fcvt. */
10328 return 1359;
c0890d26
RS
10329 }
10330 }
10331 else
10332 {
582e12bf
RS
10333 /* 33222222222211111111110000000000
10334 10987654321098765432109876543210
7684e580 10335 011001x1xx011000101xxxxxxxxxxxxx
582e12bf
RS
10336 fcvtzs. */
10337 return 1367;
c0890d26
RS
10338 }
10339 }
582e12bf
RS
10340 }
10341 else
10342 {
10343 if (((word >> 19) & 0x1) == 0)
c0890d26 10344 {
582e12bf 10345 if (((word >> 20) & 0x1) == 0)
c0890d26 10346 {
582e12bf
RS
10347 /* 33222222222211111111110000000000
10348 10987654321098765432109876543210
7684e580 10349 011001x1xx000100101xxxxxxxxxxxxx
582e12bf
RS
10350 frinta. */
10351 return 1418;
10352 }
10353 else
10354 {
10355 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
10356 {
10357 /* 33222222222211111111110000000000
10358 10987654321098765432109876543210
7684e580 10359 011001x1x0010100101xxxxxxxxxxxxx
582e12bf
RS
10360 scvtf. */
10361 return 1750;
c0890d26
RS
10362 }
10363 else
10364 {
582e12bf 10365 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
10366 {
10367 /* 33222222222211111111110000000000
10368 10987654321098765432109876543210
7684e580 10369 011001x101010100101xxxxxxxxxxxxx
c0890d26 10370 scvtf. */
582e12bf 10371 return 1749;
c0890d26
RS
10372 }
10373 else
10374 {
10375 /* 33222222222211111111110000000000
10376 10987654321098765432109876543210
7684e580 10377 011001x111010100101xxxxxxxxxxxxx
c0890d26 10378 scvtf. */
582e12bf 10379 return 1753;
c0890d26
RS
10380 }
10381 }
10382 }
582e12bf
RS
10383 }
10384 else
10385 {
10386 if (((word >> 20) & 0x1) == 0)
10387 {
10388 /* 33222222222211111111110000000000
10389 10987654321098765432109876543210
7684e580 10390 011001x1xx001100101xxxxxxxxxxxxx
582e12bf
RS
10391 frecpx. */
10392 return 1417;
10393 }
c0890d26
RS
10394 else
10395 {
582e12bf 10396 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
10397 {
10398 /* 33222222222211111111110000000000
10399 10987654321098765432109876543210
7684e580 10400 011001x1x0011100101xxxxxxxxxxxxx
582e12bf
RS
10401 fcvtzs. */
10402 return 1366;
c0890d26
RS
10403 }
10404 else
10405 {
582e12bf 10406 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
10407 {
10408 /* 33222222222211111111110000000000
10409 10987654321098765432109876543210
7684e580 10410 011001x101011100101xxxxxxxxxxxxx
c0890d26 10411 fcvtzs. */
582e12bf 10412 return 1364;
c0890d26
RS
10413 }
10414 else
10415 {
10416 /* 33222222222211111111110000000000
10417 10987654321098765432109876543210
7684e580 10418 011001x111011100101xxxxxxxxxxxxx
c0890d26 10419 fcvtzs. */
582e12bf 10420 return 1368;
c0890d26
RS
10421 }
10422 }
10423 }
10424 }
10425 }
582e12bf
RS
10426 }
10427 else
10428 {
10429 if (((word >> 18) & 0x1) == 0)
c0890d26 10430 {
582e12bf 10431 if (((word >> 19) & 0x1) == 0)
c0890d26 10432 {
582e12bf 10433 if (((word >> 20) & 0x1) == 0)
c0890d26
RS
10434 {
10435 /* 33222222222211111111110000000000
10436 10987654321098765432109876543210
7684e580 10437 011001x1xx000010101xxxxxxxxxxxxx
c0890d26 10438 frintm. */
582e12bf 10439 return 1420;
c0890d26
RS
10440 }
10441 else
10442 {
10443 /* 33222222222211111111110000000000
10444 10987654321098765432109876543210
7684e580 10445 011001x1xx010010101xxxxxxxxxxxxx
582e12bf
RS
10446 scvtf. */
10447 return 1748;
c0890d26
RS
10448 }
10449 }
10450 else
10451 {
582e12bf 10452 if (((word >> 20) & 0x1) == 0)
c0890d26 10453 {
582e12bf
RS
10454 /* 33222222222211111111110000000000
10455 10987654321098765432109876543210
7684e580 10456 011001x1xx001010101xxxxxxxxxxxxx
582e12bf
RS
10457 fcvt. */
10458 return 1361;
10459 }
10460 else
10461 {
10462 /* 33222222222211111111110000000000
10463 10987654321098765432109876543210
7684e580 10464 011001x1xx011010101xxxxxxxxxxxxx
582e12bf
RS
10465 fcvtzs. */
10466 return 1363;
10467 }
10468 }
10469 }
10470 else
10471 {
10472 if (((word >> 19) & 0x1) == 0)
10473 {
10474 if (((word >> 20) & 0x1) == 0)
10475 {
10476 /* 33222222222211111111110000000000
10477 10987654321098765432109876543210
7684e580 10478 011001x1xx000110101xxxxxxxxxxxxx
582e12bf
RS
10479 frintx. */
10480 return 1423;
10481 }
10482 else
10483 {
10484 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
10485 {
10486 /* 33222222222211111111110000000000
10487 10987654321098765432109876543210
7684e580 10488 011001x10x010110101xxxxxxxxxxxxx
582e12bf
RS
10489 scvtf. */
10490 return 1752;
c0890d26
RS
10491 }
10492 else
10493 {
10494 /* 33222222222211111111110000000000
10495 10987654321098765432109876543210
7684e580 10496 011001x11x010110101xxxxxxxxxxxxx
c0890d26 10497 scvtf. */
582e12bf 10498 return 1754;
c0890d26
RS
10499 }
10500 }
582e12bf
RS
10501 }
10502 else
10503 {
10504 if (((word >> 23) & 0x1) == 0)
10505 {
10506 /* 33222222222211111111110000000000
10507 10987654321098765432109876543210
7684e580 10508 011001x10x0x1110101xxxxxxxxxxxxx
582e12bf
RS
10509 fcvtzs. */
10510 return 1365;
10511 }
c0890d26
RS
10512 else
10513 {
10514 /* 33222222222211111111110000000000
10515 10987654321098765432109876543210
7684e580 10516 011001x11x0x1110101xxxxxxxxxxxxx
c0890d26 10517 fcvtzs. */
582e12bf 10518 return 1369;
c0890d26
RS
10519 }
10520 }
10521 }
10522 }
582e12bf
RS
10523 }
10524 else
10525 {
10526 if (((word >> 17) & 0x1) == 0)
c0890d26 10527 {
582e12bf 10528 if (((word >> 18) & 0x1) == 0)
c0890d26 10529 {
582e12bf 10530 if (((word >> 19) & 0x1) == 0)
c0890d26 10531 {
582e12bf 10532 if (((word >> 20) & 0x1) == 0)
c0890d26 10533 {
582e12bf
RS
10534 /* 33222222222211111111110000000000
10535 10987654321098765432109876543210
7684e580 10536 011001x1xx000001101xxxxxxxxxxxxx
582e12bf
RS
10537 frintp. */
10538 return 1422;
10539 }
10540 else
10541 {
10542 /* 33222222222211111111110000000000
10543 10987654321098765432109876543210
7684e580 10544 011001x1xx010001101xxxxxxxxxxxxx
582e12bf
RS
10545 ucvtf. */
10546 return 1903;
10547 }
10548 }
10549 else
10550 {
10551 if (((word >> 20) & 0x1) == 0)
10552 {
10553 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
10554 {
10555 /* 33222222222211111111110000000000
10556 10987654321098765432109876543210
7684e580 10557 011001x1x0001001101xxxxxxxxxxxxx
582e12bf
RS
10558 fcvt. */
10559 return 1358;
c0890d26
RS
10560 }
10561 else
10562 {
10563 /* 33222222222211111111110000000000
10564 10987654321098765432109876543210
7684e580 10565 011001x1x1001001101xxxxxxxxxxxxx
582e12bf
RS
10566 fcvt. */
10567 return 1360;
c0890d26
RS
10568 }
10569 }
10570 else
10571 {
582e12bf
RS
10572 /* 33222222222211111111110000000000
10573 10987654321098765432109876543210
7684e580 10574 011001x1xx011001101xxxxxxxxxxxxx
582e12bf
RS
10575 fcvtzu. */
10576 return 1374;
c0890d26
RS
10577 }
10578 }
582e12bf
RS
10579 }
10580 else
10581 {
10582 if (((word >> 19) & 0x1) == 0)
c0890d26 10583 {
582e12bf 10584 if (((word >> 22) & 0x1) == 0)
c0890d26 10585 {
582e12bf
RS
10586 /* 33222222222211111111110000000000
10587 10987654321098765432109876543210
7684e580 10588 011001x1x00x0101101xxxxxxxxxxxxx
582e12bf
RS
10589 ucvtf. */
10590 return 1902;
10591 }
10592 else
10593 {
10594 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
10595 {
10596 /* 33222222222211111111110000000000
10597 10987654321098765432109876543210
7684e580 10598 011001x1010x0101101xxxxxxxxxxxxx
c0890d26 10599 ucvtf. */
582e12bf 10600 return 1901;
c0890d26
RS
10601 }
10602 else
10603 {
10604 /* 33222222222211111111110000000000
10605 10987654321098765432109876543210
7684e580 10606 011001x1110x0101101xxxxxxxxxxxxx
c0890d26 10607 ucvtf. */
582e12bf 10608 return 1905;
c0890d26
RS
10609 }
10610 }
582e12bf
RS
10611 }
10612 else
10613 {
10614 if (((word >> 20) & 0x1) == 0)
10615 {
10616 /* 33222222222211111111110000000000
10617 10987654321098765432109876543210
7684e580 10618 011001x1xx001101101xxxxxxxxxxxxx
582e12bf
RS
10619 fsqrt. */
10620 return 1428;
10621 }
c0890d26
RS
10622 else
10623 {
582e12bf 10624 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
10625 {
10626 /* 33222222222211111111110000000000
10627 10987654321098765432109876543210
7684e580 10628 011001x1x0011101101xxxxxxxxxxxxx
582e12bf
RS
10629 fcvtzu. */
10630 return 1373;
c0890d26
RS
10631 }
10632 else
10633 {
582e12bf 10634 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
10635 {
10636 /* 33222222222211111111110000000000
10637 10987654321098765432109876543210
7684e580 10638 011001x101011101101xxxxxxxxxxxxx
c0890d26 10639 fcvtzu. */
582e12bf 10640 return 1371;
c0890d26
RS
10641 }
10642 else
10643 {
10644 /* 33222222222211111111110000000000
10645 10987654321098765432109876543210
7684e580 10646 011001x111011101101xxxxxxxxxxxxx
c0890d26 10647 fcvtzu. */
582e12bf 10648 return 1375;
c0890d26
RS
10649 }
10650 }
10651 }
10652 }
10653 }
582e12bf
RS
10654 }
10655 else
10656 {
10657 if (((word >> 18) & 0x1) == 0)
c0890d26 10658 {
582e12bf 10659 if (((word >> 19) & 0x1) == 0)
c0890d26 10660 {
582e12bf 10661 if (((word >> 20) & 0x1) == 0)
c0890d26
RS
10662 {
10663 /* 33222222222211111111110000000000
10664 10987654321098765432109876543210
7684e580 10665 011001x1xx000011101xxxxxxxxxxxxx
c0890d26 10666 frintz. */
582e12bf 10667 return 1424;
c0890d26
RS
10668 }
10669 else
10670 {
10671 /* 33222222222211111111110000000000
10672 10987654321098765432109876543210
7684e580 10673 011001x1xx010011101xxxxxxxxxxxxx
582e12bf
RS
10674 ucvtf. */
10675 return 1900;
c0890d26
RS
10676 }
10677 }
10678 else
10679 {
582e12bf 10680 if (((word >> 20) & 0x1) == 0)
c0890d26 10681 {
582e12bf
RS
10682 /* 33222222222211111111110000000000
10683 10987654321098765432109876543210
7684e580 10684 011001x1xx001011101xxxxxxxxxxxxx
582e12bf
RS
10685 fcvt. */
10686 return 1362;
10687 }
10688 else
10689 {
10690 /* 33222222222211111111110000000000
10691 10987654321098765432109876543210
7684e580 10692 011001x1xx011011101xxxxxxxxxxxxx
582e12bf
RS
10693 fcvtzu. */
10694 return 1370;
10695 }
10696 }
10697 }
10698 else
10699 {
10700 if (((word >> 19) & 0x1) == 0)
10701 {
10702 if (((word >> 20) & 0x1) == 0)
10703 {
10704 /* 33222222222211111111110000000000
10705 10987654321098765432109876543210
7684e580 10706 011001x1xx000111101xxxxxxxxxxxxx
582e12bf
RS
10707 frinti. */
10708 return 1419;
10709 }
10710 else
10711 {
10712 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
10713 {
10714 /* 33222222222211111111110000000000
10715 10987654321098765432109876543210
7684e580 10716 011001x10x010111101xxxxxxxxxxxxx
582e12bf
RS
10717 ucvtf. */
10718 return 1904;
c0890d26
RS
10719 }
10720 else
10721 {
10722 /* 33222222222211111111110000000000
10723 10987654321098765432109876543210
7684e580 10724 011001x11x010111101xxxxxxxxxxxxx
c0890d26 10725 ucvtf. */
582e12bf 10726 return 1906;
c0890d26
RS
10727 }
10728 }
582e12bf
RS
10729 }
10730 else
10731 {
10732 if (((word >> 23) & 0x1) == 0)
10733 {
10734 /* 33222222222211111111110000000000
10735 10987654321098765432109876543210
7684e580 10736 011001x10x0x1111101xxxxxxxxxxxxx
582e12bf
RS
10737 fcvtzu. */
10738 return 1372;
10739 }
c0890d26
RS
10740 else
10741 {
10742 /* 33222222222211111111110000000000
10743 10987654321098765432109876543210
7684e580 10744 011001x11x0x1111101xxxxxxxxxxxxx
c0890d26 10745 fcvtzu. */
582e12bf 10746 return 1376;
c0890d26
RS
10747 }
10748 }
10749 }
10750 }
10751 }
582e12bf
RS
10752 }
10753 else
10754 {
10755 if (((word >> 22) & 0x1) == 0)
c0890d26 10756 {
582e12bf 10757 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
10758 {
10759 /* 33222222222211111111110000000000
10760 10987654321098765432109876543210
7684e580 10761 111001x1000xxxxx101xxxxxxxxxxxxx
582e12bf
RS
10762 st1w. */
10763 return 1838;
c0890d26
RS
10764 }
10765 else
10766 {
10767 /* 33222222222211111111110000000000
10768 10987654321098765432109876543210
7684e580 10769 111001x1100xxxxx101xxxxxxxxxxxxx
c0890d26 10770 st1d. */
582e12bf 10771 return 1817;
c0890d26
RS
10772 }
10773 }
c0890d26
RS
10774 else
10775 {
582e12bf 10776 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
10777 {
10778 /* 33222222222211111111110000000000
10779 10987654321098765432109876543210
7684e580 10780 111001x1010xxxxx101xxxxxxxxxxxxx
582e12bf
RS
10781 st1w. */
10782 return 1845;
c0890d26
RS
10783 }
10784 else
10785 {
10786 /* 33222222222211111111110000000000
10787 10987654321098765432109876543210
7684e580 10788 111001x1110xxxxx101xxxxxxxxxxxxx
582e12bf
RS
10789 st1d. */
10790 return 1821;
c0890d26
RS
10791 }
10792 }
10793 }
582e12bf
RS
10794 }
10795 else
10796 {
10797 if (((word >> 31) & 0x1) == 0)
10798 {
10799 /* 33222222222211111111110000000000
10800 10987654321098765432109876543210
7684e580 10801 011001x1xx0xxxxx111xxxxxxxxxxxxx
582e12bf
RS
10802 facgt. */
10803 return 1335;
10804 }
c0890d26
RS
10805 else
10806 {
582e12bf 10807 if (((word >> 20) & 0x1) == 0)
c0890d26
RS
10808 {
10809 /* 33222222222211111111110000000000
10810 10987654321098765432109876543210
7684e580 10811 111001x1xx00xxxx111xxxxxxxxxxxxx
582e12bf
RS
10812 st1w. */
10813 return 1846;
c0890d26
RS
10814 }
10815 else
10816 {
10817 if (((word >> 22) & 0x1) == 0)
10818 {
582e12bf
RS
10819 if (((word >> 23) & 0x1) == 0)
10820 {
10821 /* 33222222222211111111110000000000
10822 10987654321098765432109876543210
7684e580 10823 111001x10001xxxx111xxxxxxxxxxxxx
582e12bf
RS
10824 stnt1w. */
10825 return 1880;
10826 }
10827 else
10828 {
10829 /* 33222222222211111111110000000000
10830 10987654321098765432109876543210
7684e580 10831 111001x11001xxxx111xxxxxxxxxxxxx
582e12bf
RS
10832 stnt1d. */
10833 return 1876;
10834 }
c0890d26
RS
10835 }
10836 else
10837 {
582e12bf
RS
10838 if (((word >> 23) & 0x1) == 0)
10839 {
10840 /* 33222222222211111111110000000000
10841 10987654321098765432109876543210
7684e580 10842 111001x10101xxxx111xxxxxxxxxxxxx
582e12bf
RS
10843 st3w. */
10844 return 1864;
10845 }
10846 else
10847 {
10848 /* 33222222222211111111110000000000
10849 10987654321098765432109876543210
7684e580 10850 111001x11101xxxx111xxxxxxxxxxxxx
582e12bf
RS
10851 st3d. */
10852 return 1860;
10853 }
c0890d26
RS
10854 }
10855 }
10856 }
10857 }
10858 }
10859 }
10860 }
10861 else
10862 {
10863 if (((word >> 30) & 0x1) == 0)
10864 {
10865 if (((word >> 14) & 0x1) == 0)
10866 {
10867 if (((word >> 20) & 0x1) == 0)
10868 {
10869 if (((word >> 31) & 0x1) == 0)
10870 {
10871 if (((word >> 16) & 0x1) == 0)
10872 {
10873 if (((word >> 17) & 0x1) == 0)
10874 {
10875 if (((word >> 18) & 0x1) == 0)
10876 {
10877 if (((word >> 19) & 0x1) == 0)
10878 {
10879 /* 33222222222211111111110000000000
10880 10987654321098765432109876543210
7684e580 10881 001001x1xx10000010xxxxxxxxxxxxxx
c0890d26 10882 cntp. */
c2c4ff8d 10883 return 1304;
c0890d26
RS
10884 }
10885 else
10886 {
10887 if (((word >> 10) & 0x1) == 0)
10888 {
10889 if (((word >> 11) & 0x1) == 0)
10890 {
10891 if (((word >> 12) & 0x1) == 0)
10892 {
10893 /* 33222222222211111111110000000000
10894 10987654321098765432109876543210
7684e580 10895 001001x1xx10100010x000xxxxxxxxxx
c0890d26 10896 sqincp. */
582e12bf 10897 return 1795;
c0890d26
RS
10898 }
10899 else
10900 {
10901 /* 33222222222211111111110000000000
10902 10987654321098765432109876543210
7684e580 10903 001001x1xx10100010x100xxxxxxxxxx
c0890d26 10904 wrffr. */
582e12bf 10905 return 1968;
c0890d26
RS
10906 }
10907 }
10908 else
10909 {
10910 /* 33222222222211111111110000000000
10911 10987654321098765432109876543210
7684e580 10912 001001x1xx10100010xx10xxxxxxxxxx
c0890d26 10913 sqincp. */
582e12bf 10914 return 1797;
c0890d26
RS
10915 }
10916 }
10917 else
10918 {
10919 /* 33222222222211111111110000000000
10920 10987654321098765432109876543210
7684e580 10921 001001x1xx10100010xxx1xxxxxxxxxx
c0890d26 10922 sqincp. */
582e12bf 10923 return 1796;
c0890d26
RS
10924 }
10925 }
10926 }
10927 else
10928 {
10929 if (((word >> 11) & 0x1) == 0)
10930 {
10931 if (((word >> 12) & 0x1) == 0)
10932 {
10933 /* 33222222222211111111110000000000
10934 10987654321098765432109876543210
7684e580 10935 001001x1xx10x10010x00xxxxxxxxxxx
c0890d26 10936 incp. */
582e12bf 10937 return 1442;
c0890d26
RS
10938 }
10939 else
10940 {
10941 /* 33222222222211111111110000000000
10942 10987654321098765432109876543210
7684e580 10943 001001x1xx10x10010x10xxxxxxxxxxx
c0890d26 10944 setffr. */
582e12bf 10945 return 1762;
c0890d26
RS
10946 }
10947 }
10948 else
10949 {
10950 /* 33222222222211111111110000000000
10951 10987654321098765432109876543210
7684e580 10952 001001x1xx10x10010xx1xxxxxxxxxxx
c0890d26 10953 incp. */
582e12bf 10954 return 1443;
c0890d26
RS
10955 }
10956 }
10957 }
10958 else
10959 {
10960 if (((word >> 10) & 0x1) == 0)
10961 {
10962 if (((word >> 11) & 0x1) == 0)
10963 {
10964 /* 33222222222211111111110000000000
10965 10987654321098765432109876543210
7684e580 10966 001001x1xx10xx1010xx00xxxxxxxxxx
c0890d26 10967 sqdecp. */
582e12bf 10968 return 1781;
c0890d26
RS
10969 }
10970 else
10971 {
10972 /* 33222222222211111111110000000000
10973 10987654321098765432109876543210
7684e580 10974 001001x1xx10xx1010xx10xxxxxxxxxx
c0890d26 10975 sqdecp. */
582e12bf 10976 return 1783;
c0890d26
RS
10977 }
10978 }
10979 else
10980 {
10981 /* 33222222222211111111110000000000
10982 10987654321098765432109876543210
7684e580 10983 001001x1xx10xx1010xxx1xxxxxxxxxx
c0890d26 10984 sqdecp. */
582e12bf 10985 return 1782;
c0890d26
RS
10986 }
10987 }
10988 }
10989 else
10990 {
10991 if (((word >> 10) & 0x1) == 0)
10992 {
10993 if (((word >> 11) & 0x1) == 0)
10994 {
10995 if (((word >> 17) & 0x1) == 0)
10996 {
10997 if (((word >> 18) & 0x1) == 0)
10998 {
10999 /* 33222222222211111111110000000000
11000 10987654321098765432109876543210
7684e580 11001 001001x1xx10x00110xx00xxxxxxxxxx
c0890d26 11002 uqincp. */
582e12bf 11003 return 1943;
c0890d26
RS
11004 }
11005 else
11006 {
11007 /* 33222222222211111111110000000000
11008 10987654321098765432109876543210
7684e580 11009 001001x1xx10x10110xx00xxxxxxxxxx
c0890d26 11010 decp. */
c2c4ff8d 11011 return 1317;
c0890d26
RS
11012 }
11013 }
11014 else
11015 {
11016 /* 33222222222211111111110000000000
11017 10987654321098765432109876543210
7684e580 11018 001001x1xx10xx1110xx00xxxxxxxxxx
c0890d26 11019 uqdecp. */
582e12bf 11020 return 1929;
c0890d26
RS
11021 }
11022 }
11023 else
11024 {
11025 if (((word >> 17) & 0x1) == 0)
11026 {
11027 if (((word >> 18) & 0x1) == 0)
11028 {
11029 /* 33222222222211111111110000000000
11030 10987654321098765432109876543210
7684e580 11031 001001x1xx10x00110xx10xxxxxxxxxx
c0890d26 11032 uqincp. */
582e12bf 11033 return 1944;
c0890d26
RS
11034 }
11035 else
11036 {
11037 /* 33222222222211111111110000000000
11038 10987654321098765432109876543210
7684e580 11039 001001x1xx10x10110xx10xxxxxxxxxx
c0890d26 11040 decp. */
c2c4ff8d 11041 return 1318;
c0890d26
RS
11042 }
11043 }
11044 else
11045 {
11046 /* 33222222222211111111110000000000
11047 10987654321098765432109876543210
7684e580 11048 001001x1xx10xx1110xx10xxxxxxxxxx
c0890d26 11049 uqdecp. */
582e12bf 11050 return 1930;
c0890d26
RS
11051 }
11052 }
11053 }
11054 else
11055 {
11056 if (((word >> 17) & 0x1) == 0)
11057 {
11058 /* 33222222222211111111110000000000
11059 10987654321098765432109876543210
7684e580 11060 001001x1xx10xx0110xxx1xxxxxxxxxx
c0890d26 11061 uqincp. */
582e12bf 11062 return 1945;
c0890d26
RS
11063 }
11064 else
11065 {
11066 /* 33222222222211111111110000000000
11067 10987654321098765432109876543210
7684e580 11068 001001x1xx10xx1110xxx1xxxxxxxxxx
c0890d26 11069 uqdecp. */
582e12bf 11070 return 1931;
c0890d26
RS
11071 }
11072 }
11073 }
11074 }
11075 else
11076 {
11077 if (((word >> 22) & 0x1) == 0)
11078 {
11079 if (((word >> 23) & 0x1) == 0)
11080 {
11081 /* 33222222222211111111110000000000
11082 10987654321098765432109876543210
7684e580 11083 101001x10010xxxx10xxxxxxxxxxxxxx
c0890d26 11084 ld1sh. */
582e12bf 11085 return 1535;
c0890d26
RS
11086 }
11087 else
11088 {
11089 /* 33222222222211111111110000000000
11090 10987654321098765432109876543210
7684e580 11091 101001x11010xxxx10xxxxxxxxxxxxxx
c0890d26 11092 ld1sb. */
582e12bf 11093 return 1522;
c0890d26
RS
11094 }
11095 }
11096 else
11097 {
11098 if (((word >> 23) & 0x1) == 0)
11099 {
11100 /* 33222222222211111111110000000000
11101 10987654321098765432109876543210
7684e580 11102 101001x10110xxxx10xxxxxxxxxxxxxx
c0890d26 11103 ld1w. */
582e12bf 11104 return 1554;
c0890d26
RS
11105 }
11106 else
11107 {
11108 /* 33222222222211111111110000000000
11109 10987654321098765432109876543210
7684e580 11110 101001x11110xxxx10xxxxxxxxxxxxxx
c0890d26 11111 ld1d. */
582e12bf 11112 return 1474;
c0890d26
RS
11113 }
11114 }
11115 }
11116 }
11117 else
11118 {
11119 if (((word >> 22) & 0x1) == 0)
11120 {
11121 if (((word >> 23) & 0x1) == 0)
11122 {
11123 /* 33222222222211111111110000000000
11124 10987654321098765432109876543210
7684e580 11125 x01001x10011xxxx10xxxxxxxxxxxxxx
c0890d26 11126 ldnf1sh. */
582e12bf 11127 return 1652;
c0890d26
RS
11128 }
11129 else
11130 {
11131 /* 33222222222211111111110000000000
11132 10987654321098765432109876543210
7684e580 11133 x01001x11011xxxx10xxxxxxxxxxxxxx
c0890d26 11134 ldnf1sb. */
582e12bf 11135 return 1649;
c0890d26
RS
11136 }
11137 }
11138 else
11139 {
11140 if (((word >> 23) & 0x1) == 0)
11141 {
11142 /* 33222222222211111111110000000000
11143 10987654321098765432109876543210
7684e580 11144 x01001x10111xxxx10xxxxxxxxxxxxxx
c0890d26 11145 ldnf1w. */
582e12bf 11146 return 1655;
c0890d26
RS
11147 }
11148 else
11149 {
11150 /* 33222222222211111111110000000000
11151 10987654321098765432109876543210
7684e580 11152 x01001x11111xxxx10xxxxxxxxxxxxxx
c0890d26 11153 ldnf1d. */
582e12bf 11154 return 1644;
c0890d26
RS
11155 }
11156 }
11157 }
11158 }
11159 else
11160 {
11161 if (((word >> 31) & 0x1) == 0)
11162 {
11163 if (((word >> 16) & 0x1) == 0)
11164 {
11165 if (((word >> 17) & 0x1) == 0)
11166 {
11167 if (((word >> 18) & 0x1) == 0)
11168 {
11169 if (((word >> 19) & 0x1) == 0)
11170 {
11171 if (((word >> 20) & 0x1) == 0)
11172 {
11173 /* 33222222222211111111110000000000
11174 10987654321098765432109876543210
7684e580 11175 001001x1xx10000011xxxxxxxxxxxxxx
c0890d26 11176 add. */
c2c4ff8d 11177 return 1231;
c0890d26
RS
11178 }
11179 else
11180 {
11181 /* 33222222222211111111110000000000
11182 10987654321098765432109876543210
7684e580 11183 001001x1xx11000011xxxxxxxxxxxxxx
c0890d26 11184 mul. */
582e12bf 11185 return 1684;
c0890d26
RS
11186 }
11187 }
11188 else
11189 {
11190 if (((word >> 20) & 0x1) == 0)
11191 {
11192 /* 33222222222211111111110000000000
11193 10987654321098765432109876543210
7684e580 11194 001001x1xx10100011xxxxxxxxxxxxxx
c0890d26 11195 smax. */
582e12bf 11196 return 1763;
c0890d26
RS
11197 }
11198 else
11199 {
11200 /* 33222222222211111111110000000000
11201 10987654321098765432109876543210
7684e580 11202 001001x1xx11100011xxxxxxxxxxxxxx
c0890d26 11203 dup. */
c2c4ff8d 11204 return 1323;
c0890d26
RS
11205 }
11206 }
11207 }
11208 else
11209 {
11210 /* 33222222222211111111110000000000
11211 10987654321098765432109876543210
7684e580 11212 001001x1xx1xx10011xxxxxxxxxxxxxx
c0890d26 11213 sqadd. */
582e12bf 11214 return 1772;
c0890d26
RS
11215 }
11216 }
11217 else
11218 {
11219 if (((word >> 18) & 0x1) == 0)
11220 {
11221 /* 33222222222211111111110000000000
11222 10987654321098765432109876543210
7684e580 11223 001001x1xx1xx01011xxxxxxxxxxxxxx
c0890d26 11224 smin. */
582e12bf 11225 return 1766;
c0890d26
RS
11226 }
11227 else
11228 {
11229 /* 33222222222211111111110000000000
11230 10987654321098765432109876543210
7684e580 11231 001001x1xx1xx11011xxxxxxxxxxxxxx
c0890d26 11232 sqsub. */
582e12bf 11233 return 1802;
c0890d26
RS
11234 }
11235 }
11236 }
11237 else
11238 {
11239 if (((word >> 17) & 0x1) == 0)
11240 {
11241 if (((word >> 18) & 0x1) == 0)
11242 {
11243 if (((word >> 19) & 0x1) == 0)
11244 {
11245 /* 33222222222211111111110000000000
11246 10987654321098765432109876543210
7684e580 11247 001001x1xx1x000111xxxxxxxxxxxxxx
c0890d26 11248 sub. */
582e12bf 11249 return 1884;
c0890d26
RS
11250 }
11251 else
11252 {
11253 if (((word >> 20) & 0x1) == 0)
11254 {
11255 /* 33222222222211111111110000000000
11256 10987654321098765432109876543210
7684e580 11257 001001x1xx10100111xxxxxxxxxxxxxx
c0890d26 11258 umax. */
582e12bf 11259 return 1912;
c0890d26
RS
11260 }
11261 else
11262 {
11263 /* 33222222222211111111110000000000
11264 10987654321098765432109876543210
7684e580 11265 001001x1xx11100111xxxxxxxxxxxxxx
c0890d26 11266 fdup. */
582e12bf 11267 return 1379;
c0890d26
RS
11268 }
11269 }
11270 }
11271 else
11272 {
11273 /* 33222222222211111111110000000000
11274 10987654321098765432109876543210
7684e580 11275 001001x1xx1xx10111xxxxxxxxxxxxxx
c0890d26 11276 uqadd. */
582e12bf 11277 return 1920;
c0890d26
RS
11278 }
11279 }
11280 else
11281 {
11282 if (((word >> 18) & 0x1) == 0)
11283 {
11284 if (((word >> 19) & 0x1) == 0)
11285 {
11286 /* 33222222222211111111110000000000
11287 10987654321098765432109876543210
7684e580 11288 001001x1xx1x001111xxxxxxxxxxxxxx
c0890d26 11289 subr. */
582e12bf 11290 return 1886;
c0890d26
RS
11291 }
11292 else
11293 {
11294 /* 33222222222211111111110000000000
11295 10987654321098765432109876543210
7684e580 11296 001001x1xx1x101111xxxxxxxxxxxxxx
c0890d26 11297 umin. */
582e12bf 11298 return 1915;
c0890d26
RS
11299 }
11300 }
11301 else
11302 {
11303 /* 33222222222211111111110000000000
11304 10987654321098765432109876543210
7684e580 11305 001001x1xx1xx11111xxxxxxxxxxxxxx
c0890d26 11306 uqsub. */
582e12bf 11307 return 1950;
c0890d26
RS
11308 }
11309 }
11310 }
11311 }
11312 else
11313 {
11314 if (((word >> 13) & 0x1) == 0)
11315 {
11316 if (((word >> 22) & 0x1) == 0)
11317 {
11318 if (((word >> 23) & 0x1) == 0)
11319 {
11320 /* 33222222222211111111110000000000
11321 10987654321098765432109876543210
7684e580 11322 101001x1001xxxxx110xxxxxxxxxxxxx
c0890d26 11323 ld2w. */
582e12bf 11324 return 1562;
c0890d26
RS
11325 }
11326 else
11327 {
11328 /* 33222222222211111111110000000000
11329 10987654321098765432109876543210
7684e580 11330 101001x1101xxxxx110xxxxxxxxxxxxx
c0890d26 11331 ld2d. */
582e12bf 11332 return 1558;
c0890d26
RS
11333 }
11334 }
11335 else
11336 {
11337 if (((word >> 23) & 0x1) == 0)
11338 {
11339 /* 33222222222211111111110000000000
11340 10987654321098765432109876543210
7684e580 11341 101001x1011xxxxx110xxxxxxxxxxxxx
c0890d26 11342 ld4w. */
582e12bf 11343 return 1578;
c0890d26
RS
11344 }
11345 else
11346 {
11347 /* 33222222222211111111110000000000
11348 10987654321098765432109876543210
7684e580 11349 101001x1111xxxxx110xxxxxxxxxxxxx
c0890d26 11350 ld4d. */
582e12bf 11351 return 1574;
c0890d26
RS
11352 }
11353 }
11354 }
11355 else
11356 {
11357 if (((word >> 22) & 0x1) == 0)
11358 {
11359 if (((word >> 23) & 0x1) == 0)
11360 {
11361 /* 33222222222211111111110000000000
11362 10987654321098765432109876543210
7684e580 11363 101001x1001xxxxx111xxxxxxxxxxxxx
c0890d26 11364 ld2w. */
582e12bf 11365 return 1563;
c0890d26
RS
11366 }
11367 else
11368 {
11369 /* 33222222222211111111110000000000
11370 10987654321098765432109876543210
7684e580 11371 101001x1101xxxxx111xxxxxxxxxxxxx
c0890d26 11372 ld2d. */
582e12bf 11373 return 1559;
c0890d26
RS
11374 }
11375 }
11376 else
11377 {
11378 if (((word >> 23) & 0x1) == 0)
11379 {
11380 /* 33222222222211111111110000000000
11381 10987654321098765432109876543210
7684e580 11382 101001x1011xxxxx111xxxxxxxxxxxxx
c0890d26 11383 ld4w. */
582e12bf 11384 return 1579;
c0890d26
RS
11385 }
11386 else
11387 {
11388 /* 33222222222211111111110000000000
11389 10987654321098765432109876543210
7684e580 11390 101001x1111xxxxx111xxxxxxxxxxxxx
c0890d26 11391 ld4d. */
582e12bf 11392 return 1575;
c0890d26
RS
11393 }
11394 }
11395 }
11396 }
11397 }
11398 }
11399 else
11400 {
11401 if (((word >> 13) & 0x1) == 0)
11402 {
582e12bf 11403 if (((word >> 31) & 0x1) == 0)
c0890d26 11404 {
582e12bf 11405 if (((word >> 14) & 0x1) == 0)
c0890d26
RS
11406 {
11407 /* 33222222222211111111110000000000
11408 10987654321098765432109876543210
7684e580 11409 011001x1xx1xxxxx100xxxxxxxxxxxxx
582e12bf
RS
11410 fmad. */
11411 return 1381;
c0890d26
RS
11412 }
11413 else
11414 {
11415 /* 33222222222211111111110000000000
11416 10987654321098765432109876543210
7684e580 11417 011001x1xx1xxxxx110xxxxxxxxxxxxx
582e12bf
RS
11418 fnmad. */
11419 return 1411;
c0890d26
RS
11420 }
11421 }
11422 else
11423 {
582e12bf 11424 if (((word >> 22) & 0x1) == 0)
c0890d26 11425 {
582e12bf 11426 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
11427 {
11428 /* 33222222222211111111110000000000
11429 10987654321098765432109876543210
7684e580 11430 111001x1001xxxxx1x0xxxxxxxxxxxxx
582e12bf
RS
11431 st1w. */
11432 return 1839;
c0890d26
RS
11433 }
11434 else
11435 {
11436 /* 33222222222211111111110000000000
11437 10987654321098765432109876543210
7684e580 11438 111001x1101xxxxx1x0xxxxxxxxxxxxx
582e12bf
RS
11439 st1d. */
11440 return 1818;
c0890d26
RS
11441 }
11442 }
11443 else
11444 {
11445 /* 33222222222211111111110000000000
11446 10987654321098765432109876543210
7684e580 11447 111001x1x11xxxxx1x0xxxxxxxxxxxxx
582e12bf
RS
11448 st1w. */
11449 return 1844;
c0890d26
RS
11450 }
11451 }
11452 }
11453 else
11454 {
11455 if (((word >> 14) & 0x1) == 0)
11456 {
582e12bf 11457 if (((word >> 31) & 0x1) == 0)
c0890d26 11458 {
582e12bf
RS
11459 /* 33222222222211111111110000000000
11460 10987654321098765432109876543210
7684e580 11461 011001x1xx1xxxxx101xxxxxxxxxxxxx
582e12bf
RS
11462 fmsb. */
11463 return 1402;
c0890d26
RS
11464 }
11465 else
11466 {
582e12bf 11467 if (((word >> 22) & 0x1) == 0)
c0890d26 11468 {
582e12bf
RS
11469 if (((word >> 23) & 0x1) == 0)
11470 {
11471 /* 33222222222211111111110000000000
11472 10987654321098765432109876543210
7684e580 11473 111001x1001xxxxx101xxxxxxxxxxxxx
582e12bf
RS
11474 st1w. */
11475 return 1840;
11476 }
11477 else
11478 {
11479 /* 33222222222211111111110000000000
11480 10987654321098765432109876543210
7684e580 11481 111001x1101xxxxx101xxxxxxxxxxxxx
582e12bf
RS
11482 st1d. */
11483 return 1819;
11484 }
c0890d26
RS
11485 }
11486 else
11487 {
11488 /* 33222222222211111111110000000000
11489 10987654321098765432109876543210
7684e580 11490 111001x1x11xxxxx101xxxxxxxxxxxxx
582e12bf
RS
11491 st1w. */
11492 return 1847;
c0890d26
RS
11493 }
11494 }
11495 }
11496 else
11497 {
582e12bf
RS
11498 if (((word >> 31) & 0x1) == 0)
11499 {
11500 /* 33222222222211111111110000000000
11501 10987654321098765432109876543210
7684e580 11502 011001x1xx1xxxxx111xxxxxxxxxxxxx
582e12bf
RS
11503 fnmsb. */
11504 return 1414;
11505 }
11506 else
c0890d26
RS
11507 {
11508 if (((word >> 20) & 0x1) == 0)
11509 {
582e12bf 11510 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
11511 {
11512 /* 33222222222211111111110000000000
11513 10987654321098765432109876543210
7684e580 11514 111001x10x10xxxx111xxxxxxxxxxxxx
582e12bf
RS
11515 st1w. */
11516 return 1848;
c0890d26
RS
11517 }
11518 else
11519 {
11520 /* 33222222222211111111110000000000
11521 10987654321098765432109876543210
7684e580 11522 111001x11x10xxxx111xxxxxxxxxxxxx
582e12bf
RS
11523 st1d. */
11524 return 1822;
c0890d26
RS
11525 }
11526 }
c0890d26
RS
11527 else
11528 {
582e12bf 11529 if (((word >> 22) & 0x1) == 0)
c0890d26 11530 {
582e12bf
RS
11531 if (((word >> 23) & 0x1) == 0)
11532 {
11533 /* 33222222222211111111110000000000
11534 10987654321098765432109876543210
7684e580 11535 111001x10011xxxx111xxxxxxxxxxxxx
582e12bf
RS
11536 st2w. */
11537 return 1856;
11538 }
11539 else
11540 {
11541 /* 33222222222211111111110000000000
11542 10987654321098765432109876543210
7684e580 11543 111001x11011xxxx111xxxxxxxxxxxxx
582e12bf
RS
11544 st2d. */
11545 return 1852;
11546 }
c0890d26
RS
11547 }
11548 else
11549 {
582e12bf 11550 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
11551 {
11552 /* 33222222222211111111110000000000
11553 10987654321098765432109876543210
7684e580 11554 111001x10111xxxx111xxxxxxxxxxxxx
582e12bf
RS
11555 st4w. */
11556 return 1872;
c0890d26
RS
11557 }
11558 else
11559 {
11560 /* 33222222222211111111110000000000
11561 10987654321098765432109876543210
7684e580 11562 111001x11111xxxx111xxxxxxxxxxxxx
c0890d26 11563 st4d. */
582e12bf 11564 return 1868;
c0890d26
RS
11565 }
11566 }
11567 }
11568 }
11569 }
11570 }
11571 }
11572 }
11573 }
11574 }
11575 }
11576 }
11577 else
11578 {
11579 if (((word >> 29) & 0x1) == 0)
a06ea964 11580 {
c0890d26 11581 if (((word >> 30) & 0x1) == 0)
a06ea964 11582 {
c0890d26
RS
11583 if (((word >> 31) & 0x1) == 0)
11584 {
11585 /* 33222222222211111111110000000000
11586 10987654321098765432109876543210
7684e580 11587 000101xxxxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 11588 b. */
c2c4ff8d 11589 return 630;
c0890d26
RS
11590 }
11591 else
11592 {
11593 /* 33222222222211111111110000000000
11594 10987654321098765432109876543210
7684e580 11595 100101xxxxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 11596 bl. */
c2c4ff8d 11597 return 631;
c0890d26 11598 }
a06ea964
NC
11599 }
11600 else
11601 {
c0890d26 11602 if (((word >> 24) & 0x1) == 0)
a06ea964 11603 {
74f5402d 11604 if (((word >> 4) & 0x1) == 0)
a06ea964 11605 {
74f5402d 11606 if (((word >> 25) & 0x1) == 0)
c0890d26 11607 {
74f5402d
SN
11608 if (((word >> 31) & 0x1) == 0)
11609 {
11610 /* 33222222222211111111110000000000
11611 10987654321098765432109876543210
7684e580 11612 01010100xxxxxxxxxxxxxxxxxxx0xxxx
74f5402d 11613 b.c. */
c2c4ff8d 11614 return 651;
74f5402d
SN
11615 }
11616 else
a06ea964 11617 {
74f5402d 11618 if (((word >> 0) & 0x1) == 0)
a06ea964 11619 {
74f5402d 11620 if (((word >> 1) & 0x1) == 0)
c0890d26 11621 {
74f5402d
SN
11622 if (((word >> 21) & 0x1) == 0)
11623 {
11624 /* 33222222222211111111110000000000
11625 10987654321098765432109876543210
7684e580 11626 11010100xx0xxxxxxxxxxxxxxxx0xx00
74f5402d 11627 hlt. */
c2c4ff8d 11628 return 742;
74f5402d
SN
11629 }
11630 else
11631 {
11632 /* 33222222222211111111110000000000
11633 10987654321098765432109876543210
7684e580 11634 11010100xx1xxxxxxxxxxxxxxxx0xx00
74f5402d 11635 brk. */
c2c4ff8d 11636 return 741;
74f5402d 11637 }
c0890d26
RS
11638 }
11639 else
11640 {
74f5402d
SN
11641 if (((word >> 21) & 0x1) == 0)
11642 {
11643 /* 33222222222211111111110000000000
11644 10987654321098765432109876543210
7684e580 11645 11010100xx0xxxxxxxxxxxxxxxx0xx10
74f5402d 11646 hvc. */
c2c4ff8d 11647 return 739;
74f5402d
SN
11648 }
11649 else
11650 {
11651 /* 33222222222211111111110000000000
11652 10987654321098765432109876543210
7684e580 11653 11010100xx1xxxxxxxxxxxxxxxx0xx10
74f5402d 11654 dcps2. */
c2c4ff8d 11655 return 744;
74f5402d 11656 }
c0890d26 11657 }
a06ea964
NC
11658 }
11659 else
11660 {
74f5402d 11661 if (((word >> 1) & 0x1) == 0)
c0890d26 11662 {
74f5402d
SN
11663 if (((word >> 21) & 0x1) == 0)
11664 {
11665 /* 33222222222211111111110000000000
11666 10987654321098765432109876543210
7684e580 11667 11010100xx0xxxxxxxxxxxxxxxx0xx01
74f5402d 11668 svc. */
c2c4ff8d 11669 return 738;
74f5402d
SN
11670 }
11671 else
11672 {
11673 /* 33222222222211111111110000000000
11674 10987654321098765432109876543210
7684e580 11675 11010100xx1xxxxxxxxxxxxxxxx0xx01
74f5402d 11676 dcps1. */
c2c4ff8d 11677 return 743;
74f5402d 11678 }
c0890d26
RS
11679 }
11680 else
11681 {
74f5402d
SN
11682 if (((word >> 21) & 0x1) == 0)
11683 {
11684 /* 33222222222211111111110000000000
11685 10987654321098765432109876543210
7684e580 11686 11010100xx0xxxxxxxxxxxxxxxx0xx11
74f5402d 11687 smc. */
c2c4ff8d 11688 return 740;
74f5402d
SN
11689 }
11690 else
11691 {
11692 /* 33222222222211111111110000000000
11693 10987654321098765432109876543210
7684e580 11694 11010100xx1xxxxxxxxxxxxxxxx0xx11
74f5402d 11695 dcps3. */
c2c4ff8d 11696 return 745;
74f5402d 11697 }
c0890d26 11698 }
a06ea964
NC
11699 }
11700 }
74f5402d
SN
11701 }
11702 else
11703 {
11704 if (((word >> 21) & 0x1) == 0)
a06ea964 11705 {
74f5402d 11706 if (((word >> 22) & 0x1) == 0)
a06ea964 11707 {
74f5402d 11708 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
11709 {
11710 /* 33222222222211111111110000000000
11711 10987654321098765432109876543210
7684e580 11712 x1010110000xxxxxxxxxxxxxxxx0xxxx
74f5402d 11713 br. */
c2c4ff8d 11714 return 632;
c0890d26
RS
11715 }
11716 else
11717 {
11718 /* 33222222222211111111110000000000
11719 10987654321098765432109876543210
7684e580 11720 x1010110100xxxxxxxxxxxxxxxx0xxxx
74f5402d 11721 eret. */
c2c4ff8d 11722 return 635;
c0890d26 11723 }
a06ea964
NC
11724 }
11725 else
11726 {
74f5402d
SN
11727 /* 33222222222211111111110000000000
11728 10987654321098765432109876543210
7684e580 11729 x1010110x10xxxxxxxxxxxxxxxx0xxxx
74f5402d 11730 ret. */
c2c4ff8d 11731 return 634;
74f5402d
SN
11732 }
11733 }
11734 else
11735 {
11736 if (((word >> 23) & 0x1) == 0)
11737 {
11738 /* 33222222222211111111110000000000
11739 10987654321098765432109876543210
7684e580 11740 x10101100x1xxxxxxxxxxxxxxxx0xxxx
74f5402d 11741 blr. */
c2c4ff8d 11742 return 633;
74f5402d
SN
11743 }
11744 else
11745 {
11746 /* 33222222222211111111110000000000
11747 10987654321098765432109876543210
7684e580 11748 x10101101x1xxxxxxxxxxxxxxxx0xxxx
74f5402d 11749 drps. */
c2c4ff8d 11750 return 636;
74f5402d
SN
11751 }
11752 }
11753 }
11754 }
11755 else
11756 {
11757 if (((word >> 10) & 0x1) == 0)
11758 {
11759 if (((word >> 21) & 0x1) == 0)
11760 {
11761 if (((word >> 22) & 0x1) == 0)
11762 {
11763 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
11764 {
11765 /* 33222222222211111111110000000000
11766 10987654321098765432109876543210
7684e580 11767 x10101x0000xxxxxxxxxx0xxxxx1xxxx
74f5402d 11768 braaz. */
c2c4ff8d 11769 return 641;
c0890d26
RS
11770 }
11771 else
11772 {
11773 /* 33222222222211111111110000000000
11774 10987654321098765432109876543210
7684e580 11775 x10101x0100xxxxxxxxxx0xxxxx1xxxx
74f5402d 11776 eretaa. */
c2c4ff8d 11777 return 647;
c0890d26 11778 }
a06ea964 11779 }
74f5402d
SN
11780 else
11781 {
11782 /* 33222222222211111111110000000000
11783 10987654321098765432109876543210
7684e580 11784 x10101x0x10xxxxxxxxxx0xxxxx1xxxx
74f5402d 11785 retaa. */
c2c4ff8d 11786 return 645;
74f5402d
SN
11787 }
11788 }
11789 else
11790 {
11791 /* 33222222222211111111110000000000
11792 10987654321098765432109876543210
7684e580 11793 x10101x0xx1xxxxxxxxxx0xxxxx1xxxx
74f5402d 11794 blraaz. */
c2c4ff8d 11795 return 643;
a06ea964
NC
11796 }
11797 }
74f5402d 11798 else
a06ea964 11799 {
74f5402d 11800 if (((word >> 21) & 0x1) == 0)
a06ea964 11801 {
74f5402d 11802 if (((word >> 22) & 0x1) == 0)
a06ea964 11803 {
74f5402d
SN
11804 if (((word >> 23) & 0x1) == 0)
11805 {
11806 /* 33222222222211111111110000000000
11807 10987654321098765432109876543210
7684e580 11808 x10101x0000xxxxxxxxxx1xxxxx1xxxx
74f5402d 11809 brabz. */
c2c4ff8d 11810 return 642;
74f5402d
SN
11811 }
11812 else
11813 {
11814 /* 33222222222211111111110000000000
11815 10987654321098765432109876543210
7684e580 11816 x10101x0100xxxxxxxxxx1xxxxx1xxxx
74f5402d 11817 eretab. */
c2c4ff8d 11818 return 648;
74f5402d 11819 }
a06ea964
NC
11820 }
11821 else
11822 {
11823 /* 33222222222211111111110000000000
11824 10987654321098765432109876543210
7684e580 11825 x10101x0x10xxxxxxxxxx1xxxxx1xxxx
74f5402d 11826 retab. */
c2c4ff8d 11827 return 646;
a06ea964
NC
11828 }
11829 }
11830 else
11831 {
c0890d26
RS
11832 /* 33222222222211111111110000000000
11833 10987654321098765432109876543210
7684e580 11834 x10101x0xx1xxxxxxxxxx1xxxxx1xxxx
74f5402d 11835 blrabz. */
c2c4ff8d 11836 return 644;
a06ea964
NC
11837 }
11838 }
74f5402d
SN
11839 }
11840 }
11841 else
11842 {
11843 if (((word >> 21) & 0x1) == 0)
11844 {
11845 if (((word >> 25) & 0x1) == 0)
11846 {
11847 /* 33222222222211111111110000000000
11848 10987654321098765432109876543210
7684e580 11849 x1010101xx0xxxxxxxxxxxxxxxxxxxxx
74f5402d 11850 msr. */
c2c4ff8d 11851 return 1157;
74f5402d 11852 }
c0890d26 11853 else
a06ea964 11854 {
74f5402d 11855 if (((word >> 10) & 0x1) == 0)
a06ea964
NC
11856 {
11857 /* 33222222222211111111110000000000
11858 10987654321098765432109876543210
7684e580 11859 x1010111xx0xxxxxxxxxx0xxxxxxxxxx
74f5402d 11860 braa. */
c2c4ff8d 11861 return 637;
a06ea964
NC
11862 }
11863 else
11864 {
11865 /* 33222222222211111111110000000000
11866 10987654321098765432109876543210
7684e580 11867 x1010111xx0xxxxxxxxxx1xxxxxxxxxx
74f5402d 11868 brab. */
c2c4ff8d 11869 return 638;
a06ea964
NC
11870 }
11871 }
c0890d26 11872 }
a06ea964
NC
11873 else
11874 {
74f5402d
SN
11875 if (((word >> 25) & 0x1) == 0)
11876 {
11877 /* 33222222222211111111110000000000
11878 10987654321098765432109876543210
7684e580 11879 x1010101xx1xxxxxxxxxxxxxxxxxxxxx
74f5402d 11880 sysl. */
c2c4ff8d 11881 return 1182;
74f5402d
SN
11882 }
11883 else
11884 {
11885 if (((word >> 10) & 0x1) == 0)
11886 {
11887 /* 33222222222211111111110000000000
11888 10987654321098765432109876543210
7684e580 11889 x1010111xx1xxxxxxxxxx0xxxxxxxxxx
74f5402d 11890 blraa. */
c2c4ff8d 11891 return 639;
74f5402d
SN
11892 }
11893 else
11894 {
11895 /* 33222222222211111111110000000000
11896 10987654321098765432109876543210
7684e580 11897 x1010111xx1xxxxxxxxxx1xxxxxxxxxx
74f5402d 11898 blrab. */
c2c4ff8d 11899 return 640;
74f5402d
SN
11900 }
11901 }
a06ea964
NC
11902 }
11903 }
11904 }
c0890d26
RS
11905 }
11906 else
11907 {
11908 if (((word >> 24) & 0x1) == 0)
a06ea964 11909 {
c0890d26 11910 if (((word >> 25) & 0x1) == 0)
a06ea964 11911 {
df7b4545
JW
11912 /* 33222222222211111111110000000000
11913 10987654321098765432109876543210
7684e580 11914 xx110100xxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 11915 cbz. */
c2c4ff8d 11916 return 649;
a06ea964
NC
11917 }
11918 else
11919 {
df7b4545
JW
11920 /* 33222222222211111111110000000000
11921 10987654321098765432109876543210
7684e580 11922 xx110110xxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 11923 tbz. */
c2c4ff8d 11924 return 1192;
a06ea964
NC
11925 }
11926 }
a06ea964
NC
11927 else
11928 {
c0890d26
RS
11929 if (((word >> 25) & 0x1) == 0)
11930 {
11931 /* 33222222222211111111110000000000
11932 10987654321098765432109876543210
7684e580 11933 xx110101xxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 11934 cbnz. */
c2c4ff8d 11935 return 650;
c0890d26
RS
11936 }
11937 else
11938 {
11939 /* 33222222222211111111110000000000
11940 10987654321098765432109876543210
7684e580 11941 xx110111xxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 11942 tbnz. */
c2c4ff8d 11943 return 1193;
c0890d26 11944 }
a06ea964
NC
11945 }
11946 }
11947 }
11948 }
11949 else
11950 {
11951 if (((word >> 25) & 0x1) == 0)
11952 {
11953 if (((word >> 28) & 0x1) == 0)
11954 {
11955 if (((word >> 22) & 0x1) == 0)
11956 {
11957 if (((word >> 23) & 0x1) == 0)
11958 {
11959 if (((word >> 24) & 0x1) == 0)
11960 {
11961 if (((word >> 29) & 0x1) == 0)
11962 {
11963 /* 33222222222211111111110000000000
11964 10987654321098765432109876543210
7684e580 11965 xx00110000xxxxxxxxxxxxxxxxxxxxxx
a06ea964 11966 st4. */
c2c4ff8d 11967 return 434;
a06ea964
NC
11968 }
11969 else
11970 {
11971 /* 33222222222211111111110000000000
11972 10987654321098765432109876543210
7684e580 11973 xx10110000xxxxxxxxxxxxxxxxxxxxxx
a06ea964 11974 stnp. */
c2c4ff8d 11975 return 943;
a06ea964
NC
11976 }
11977 }
11978 else
11979 {
11980 if (((word >> 29) & 0x1) == 0)
11981 {
11982 if (((word >> 13) & 0x1) == 0)
11983 {
11984 if (((word >> 21) & 0x1) == 0)
11985 {
11986 /* 33222222222211111111110000000000
11987 10987654321098765432109876543210
7684e580 11988 xx001101000xxxxxxx0xxxxxxxxxxxxx
a06ea964 11989 st1. */
c2c4ff8d 11990 return 450;
a06ea964
NC
11991 }
11992 else
11993 {
11994 /* 33222222222211111111110000000000
11995 10987654321098765432109876543210
7684e580 11996 xx001101001xxxxxxx0xxxxxxxxxxxxx
a06ea964 11997 st2. */
c2c4ff8d 11998 return 452;
a06ea964
NC
11999 }
12000 }
12001 else
12002 {
12003 if (((word >> 21) & 0x1) == 0)
12004 {
12005 /* 33222222222211111111110000000000
12006 10987654321098765432109876543210
7684e580 12007 xx001101000xxxxxxx1xxxxxxxxxxxxx
a06ea964 12008 st3. */
c2c4ff8d 12009 return 451;
a06ea964
NC
12010 }
12011 else
12012 {
12013 /* 33222222222211111111110000000000
12014 10987654321098765432109876543210
7684e580 12015 xx001101001xxxxxxx1xxxxxxxxxxxxx
a06ea964 12016 st4. */
c2c4ff8d 12017 return 453;
a06ea964
NC
12018 }
12019 }
12020 }
12021 else
12022 {
12023 /* 33222222222211111111110000000000
12024 10987654321098765432109876543210
7684e580 12025 xx10110100xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12026 stp. */
c2c4ff8d 12027 return 947;
a06ea964
NC
12028 }
12029 }
12030 }
12031 else
12032 {
12033 if (((word >> 29) & 0x1) == 0)
12034 {
12035 if (((word >> 21) & 0x1) == 0)
12036 {
12037 if (((word >> 24) & 0x1) == 0)
12038 {
12039 /* 33222222222211111111110000000000
12040 10987654321098765432109876543210
7684e580 12041 xx001100100xxxxxxxxxxxxxxxxxxxxx
a06ea964 12042 st4. */
c2c4ff8d 12043 return 442;
a06ea964
NC
12044 }
12045 else
12046 {
12047 if (((word >> 13) & 0x1) == 0)
12048 {
12049 /* 33222222222211111111110000000000
12050 10987654321098765432109876543210
7684e580 12051 xx001101100xxxxxxx0xxxxxxxxxxxxx
a06ea964 12052 st1. */
c2c4ff8d 12053 return 462;
a06ea964
NC
12054 }
12055 else
12056 {
12057 /* 33222222222211111111110000000000
12058 10987654321098765432109876543210
7684e580 12059 xx001101100xxxxxxx1xxxxxxxxxxxxx
a06ea964 12060 st3. */
c2c4ff8d 12061 return 463;
a06ea964
NC
12062 }
12063 }
12064 }
12065 else
12066 {
12067 if (((word >> 13) & 0x1) == 0)
12068 {
12069 /* 33222222222211111111110000000000
12070 10987654321098765432109876543210
7684e580 12071 xx00110x101xxxxxxx0xxxxxxxxxxxxx
a06ea964 12072 st2. */
c2c4ff8d 12073 return 464;
a06ea964
NC
12074 }
12075 else
12076 {
12077 /* 33222222222211111111110000000000
12078 10987654321098765432109876543210
7684e580 12079 xx00110x101xxxxxxx1xxxxxxxxxxxxx
a06ea964 12080 st4. */
c2c4ff8d 12081 return 465;
a06ea964
NC
12082 }
12083 }
12084 }
12085 else
12086 {
12087 /* 33222222222211111111110000000000
12088 10987654321098765432109876543210
7684e580 12089 xx10110x10xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12090 stp. */
c2c4ff8d 12091 return 952;
a06ea964
NC
12092 }
12093 }
12094 }
12095 else
12096 {
12097 if (((word >> 23) & 0x1) == 0)
12098 {
12099 if (((word >> 24) & 0x1) == 0)
12100 {
12101 if (((word >> 29) & 0x1) == 0)
12102 {
12103 /* 33222222222211111111110000000000
12104 10987654321098765432109876543210
7684e580 12105 xx00110001xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12106 ld4. */
c2c4ff8d 12107 return 438;
a06ea964
NC
12108 }
12109 else
12110 {
12111 /* 33222222222211111111110000000000
12112 10987654321098765432109876543210
7684e580 12113 xx10110001xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12114 ldnp. */
c2c4ff8d 12115 return 944;
a06ea964
NC
12116 }
12117 }
12118 else
12119 {
12120 if (((word >> 29) & 0x1) == 0)
12121 {
12122 if (((word >> 13) & 0x1) == 0)
12123 {
12124 if (((word >> 21) & 0x1) == 0)
12125 {
12126 /* 33222222222211111111110000000000
12127 10987654321098765432109876543210
7684e580 12128 xx001101010xxxxxxx0xxxxxxxxxxxxx
a06ea964 12129 ld1. */
c2c4ff8d 12130 return 454;
a06ea964
NC
12131 }
12132 else
12133 {
12134 /* 33222222222211111111110000000000
12135 10987654321098765432109876543210
7684e580 12136 xx001101011xxxxxxx0xxxxxxxxxxxxx
a06ea964 12137 ld2. */
c2c4ff8d 12138 return 458;
a06ea964
NC
12139 }
12140 }
12141 else
12142 {
12143 if (((word >> 21) & 0x1) == 0)
12144 {
12145 /* 33222222222211111111110000000000
12146 10987654321098765432109876543210
7684e580 12147 xx001101010xxxxxxx1xxxxxxxxxxxxx
a06ea964 12148 ld3. */
c2c4ff8d 12149 return 455;
a06ea964
NC
12150 }
12151 else
12152 {
12153 /* 33222222222211111111110000000000
12154 10987654321098765432109876543210
7684e580 12155 xx001101011xxxxxxx1xxxxxxxxxxxxx
a06ea964 12156 ld4. */
c2c4ff8d 12157 return 459;
a06ea964
NC
12158 }
12159 }
12160 }
12161 else
12162 {
12163 /* 33222222222211111111110000000000
12164 10987654321098765432109876543210
7684e580 12165 xx10110101xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12166 ldp. */
c2c4ff8d 12167 return 948;
a06ea964
NC
12168 }
12169 }
12170 }
12171 else
12172 {
12173 if (((word >> 29) & 0x1) == 0)
12174 {
12175 if (((word >> 21) & 0x1) == 0)
12176 {
12177 if (((word >> 24) & 0x1) == 0)
12178 {
12179 /* 33222222222211111111110000000000
12180 10987654321098765432109876543210
7684e580 12181 xx001100110xxxxxxxxxxxxxxxxxxxxx
a06ea964 12182 ld4. */
c2c4ff8d 12183 return 446;
a06ea964
NC
12184 }
12185 else
12186 {
12187 if (((word >> 13) & 0x1) == 0)
12188 {
12189 /* 33222222222211111111110000000000
12190 10987654321098765432109876543210
7684e580 12191 xx001101110xxxxxxx0xxxxxxxxxxxxx
a06ea964 12192 ld1. */
c2c4ff8d 12193 return 466;
a06ea964
NC
12194 }
12195 else
12196 {
12197 /* 33222222222211111111110000000000
12198 10987654321098765432109876543210
7684e580 12199 xx001101110xxxxxxx1xxxxxxxxxxxxx
a06ea964 12200 ld3. */
c2c4ff8d 12201 return 467;
a06ea964
NC
12202 }
12203 }
12204 }
12205 else
12206 {
12207 if (((word >> 13) & 0x1) == 0)
12208 {
12209 /* 33222222222211111111110000000000
12210 10987654321098765432109876543210
7684e580 12211 xx00110x111xxxxxxx0xxxxxxxxxxxxx
a06ea964 12212 ld2. */
c2c4ff8d 12213 return 470;
a06ea964
NC
12214 }
12215 else
12216 {
12217 /* 33222222222211111111110000000000
12218 10987654321098765432109876543210
7684e580 12219 xx00110x111xxxxxxx1xxxxxxxxxxxxx
a06ea964 12220 ld4. */
c2c4ff8d 12221 return 471;
a06ea964
NC
12222 }
12223 }
12224 }
12225 else
12226 {
12227 /* 33222222222211111111110000000000
12228 10987654321098765432109876543210
7684e580 12229 xx10110x11xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12230 ldp. */
c2c4ff8d 12231 return 953;
a06ea964
NC
12232 }
12233 }
12234 }
12235 }
12236 else
12237 {
12238 if (((word >> 24) & 0x1) == 0)
12239 {
12240 if (((word >> 29) & 0x1) == 0)
12241 {
12242 /* 33222222222211111111110000000000
12243 10987654321098765432109876543210
7684e580 12244 xx011100xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 12245 ldr. */
c2c4ff8d 12246 return 956;
a06ea964
NC
12247 }
12248 else
12249 {
12250 if (((word >> 10) & 0x1) == 0)
12251 {
12252 if (((word >> 11) & 0x1) == 0)
12253 {
12254 if (((word >> 22) & 0x1) == 0)
12255 {
12256 /* 33222222222211111111110000000000
12257 10987654321098765432109876543210
7684e580 12258 xx111100x0xxxxxxxxxx00xxxxxxxxxx
a06ea964 12259 stur. */
c2c4ff8d 12260 return 899;
a06ea964
NC
12261 }
12262 else
12263 {
12264 /* 33222222222211111111110000000000
12265 10987654321098765432109876543210
7684e580 12266 xx111100x1xxxxxxxxxx00xxxxxxxxxx
a06ea964 12267 ldur. */
c2c4ff8d 12268 return 900;
a06ea964
NC
12269 }
12270 }
12271 else
12272 {
12273 if (((word >> 22) & 0x1) == 0)
12274 {
12275 /* 33222222222211111111110000000000
12276 10987654321098765432109876543210
7684e580 12277 xx111100x0xxxxxxxxxx10xxxxxxxxxx
a06ea964 12278 str. */
c2c4ff8d 12279 return 878;
a06ea964
NC
12280 }
12281 else
12282 {
12283 /* 33222222222211111111110000000000
12284 10987654321098765432109876543210
7684e580 12285 xx111100x1xxxxxxxxxx10xxxxxxxxxx
a06ea964 12286 ldr. */
c2c4ff8d 12287 return 879;
a06ea964
NC
12288 }
12289 }
12290 }
12291 else
12292 {
12293 if (((word >> 22) & 0x1) == 0)
12294 {
12295 /* 33222222222211111111110000000000
12296 10987654321098765432109876543210
7684e580 12297 xx111100x0xxxxxxxxxxx1xxxxxxxxxx
a06ea964 12298 str. */
c2c4ff8d 12299 return 855;
a06ea964
NC
12300 }
12301 else
12302 {
12303 /* 33222222222211111111110000000000
12304 10987654321098765432109876543210
7684e580 12305 xx111100x1xxxxxxxxxxx1xxxxxxxxxx
a06ea964 12306 ldr. */
c2c4ff8d 12307 return 856;
a06ea964
NC
12308 }
12309 }
12310 }
12311 }
12312 else
12313 {
12314 if (((word >> 22) & 0x1) == 0)
12315 {
12316 /* 33222222222211111111110000000000
12317 10987654321098765432109876543210
7684e580 12318 xxx11101x0xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12319 str. */
c2c4ff8d 12320 return 866;
a06ea964
NC
12321 }
12322 else
12323 {
12324 /* 33222222222211111111110000000000
12325 10987654321098765432109876543210
7684e580 12326 xxx11101x1xxxxxxxxxxxxxxxxxxxxxx
a06ea964 12327 ldr. */
c2c4ff8d 12328 return 867;
a06ea964
NC
12329 }
12330 }
12331 }
12332 }
12333 else
12334 {
12335 if (((word >> 24) & 0x1) == 0)
12336 {
12337 if (((word >> 21) & 0x1) == 0)
12338 {
12339 if (((word >> 28) & 0x1) == 0)
12340 {
12341 if (((word >> 10) & 0x1) == 0)
12342 {
12343 if (((word >> 29) & 0x1) == 0)
12344 {
12345 if (((word >> 11) & 0x1) == 0)
12346 {
12347 if (((word >> 12) & 0x1) == 0)
12348 {
12349 /* 33222222222211111111110000000000
12350 10987654321098765432109876543210
7684e580 12351 xx001110xx0xxxxxxxx000xxxxxxxxxx
a06ea964 12352 tbl. */
c2c4ff8d 12353 return 414;
a06ea964
NC
12354 }
12355 else
12356 {
12357 /* 33222222222211111111110000000000
12358 10987654321098765432109876543210
7684e580 12359 xx001110xx0xxxxxxxx100xxxxxxxxxx
a06ea964 12360 tbx. */
c2c4ff8d 12361 return 415;
a06ea964
NC
12362 }
12363 }
12364 else
12365 {
12366 if (((word >> 12) & 0x1) == 0)
12367 {
12368 if (((word >> 14) & 0x1) == 0)
12369 {
12370 /* 33222222222211111111110000000000
12371 10987654321098765432109876543210
7684e580 12372 xx001110xx0xxxxxx0x010xxxxxxxxxx
a06ea964 12373 trn1. */
c2c4ff8d 12374 return 257;
a06ea964
NC
12375 }
12376 else
12377 {
12378 /* 33222222222211111111110000000000
12379 10987654321098765432109876543210
7684e580 12380 xx001110xx0xxxxxx1x010xxxxxxxxxx
a06ea964 12381 trn2. */
c2c4ff8d 12382 return 260;
a06ea964
NC
12383 }
12384 }
12385 else
12386 {
12387 if (((word >> 13) & 0x1) == 0)
12388 {
12389 if (((word >> 14) & 0x1) == 0)
12390 {
12391 /* 33222222222211111111110000000000
12392 10987654321098765432109876543210
7684e580 12393 xx001110xx0xxxxxx00110xxxxxxxxxx
a06ea964 12394 uzp1. */
c2c4ff8d 12395 return 256;
a06ea964
NC
12396 }
12397 else
12398 {
12399 /* 33222222222211111111110000000000
12400 10987654321098765432109876543210
7684e580 12401 xx001110xx0xxxxxx10110xxxxxxxxxx
a06ea964 12402 uzp2. */
c2c4ff8d 12403 return 259;
a06ea964
NC
12404 }
12405 }
12406 else
12407 {
12408 if (((word >> 14) & 0x1) == 0)
12409 {
12410 /* 33222222222211111111110000000000
12411 10987654321098765432109876543210
7684e580 12412 xx001110xx0xxxxxx01110xxxxxxxxxx
a06ea964 12413 zip1. */
c2c4ff8d 12414 return 258;
a06ea964
NC
12415 }
12416 else
12417 {
12418 /* 33222222222211111111110000000000
12419 10987654321098765432109876543210
7684e580 12420 xx001110xx0xxxxxx11110xxxxxxxxxx
a06ea964 12421 zip2. */
c2c4ff8d 12422 return 261;
a06ea964
NC
12423 }
12424 }
12425 }
12426 }
12427 }
12428 else
12429 {
12430 /* 33222222222211111111110000000000
12431 10987654321098765432109876543210
7684e580 12432 xx101110xx0xxxxxxxxxx0xxxxxxxxxx
a06ea964 12433 ext. */
c2c4ff8d 12434 return 130;
a06ea964
NC
12435 }
12436 }
12437 else
12438 {
9e1f0fa7 12439 if (((word >> 15) & 0x1) == 0)
a06ea964 12440 {
51d543ed 12441 if (((word >> 22) & 0x1) == 0)
a06ea964 12442 {
51d543ed
MW
12443 if (((word >> 29) & 0x1) == 0)
12444 {
12445 if (((word >> 11) & 0x1) == 0)
12446 {
12447 /* 33222222222211111111110000000000
12448 10987654321098765432109876543210
7684e580 12449 xx001110x00xxxxx0xxx01xxxxxxxxxx
51d543ed 12450 dup. */
c2c4ff8d 12451 return 147;
51d543ed
MW
12452 }
12453 else
12454 {
12455 if (((word >> 12) & 0x1) == 0)
12456 {
12457 if (((word >> 13) & 0x1) == 0)
12458 {
12459 /* 33222222222211111111110000000000
12460 10987654321098765432109876543210
7684e580 12461 xx001110x00xxxxx0x0011xxxxxxxxxx
51d543ed 12462 dup. */
c2c4ff8d 12463 return 148;
51d543ed
MW
12464 }
12465 else
12466 {
12467 /* 33222222222211111111110000000000
12468 10987654321098765432109876543210
7684e580 12469 xx001110x00xxxxx0x1011xxxxxxxxxx
51d543ed 12470 smov. */
c2c4ff8d 12471 return 149;
51d543ed
MW
12472 }
12473 }
12474 else
12475 {
12476 if (((word >> 13) & 0x1) == 0)
12477 {
12478 /* 33222222222211111111110000000000
12479 10987654321098765432109876543210
7684e580 12480 xx001110x00xxxxx0x0111xxxxxxxxxx
51d543ed 12481 ins. */
c2c4ff8d 12482 return 152;
51d543ed
MW
12483 }
12484 else
12485 {
12486 /* 33222222222211111111110000000000
12487 10987654321098765432109876543210
7684e580 12488 xx001110x00xxxxx0x1111xxxxxxxxxx
51d543ed 12489 umov. */
c2c4ff8d 12490 return 150;
51d543ed
MW
12491 }
12492 }
12493 }
12494 }
12495 else
a06ea964 12496 {
9e1f0fa7
MW
12497 /* 33222222222211111111110000000000
12498 10987654321098765432109876543210
7684e580 12499 xx101110x00xxxxx0xxxx1xxxxxxxxxx
51d543ed 12500 ins. */
c2c4ff8d 12501 return 154;
51d543ed
MW
12502 }
12503 }
12504 else
12505 {
12506 if (((word >> 11) & 0x1) == 0)
12507 {
12508 if (((word >> 12) & 0x1) == 0)
12509 {
12510 if (((word >> 13) & 0x1) == 0)
12511 {
12512 if (((word >> 23) & 0x1) == 0)
12513 {
12514 if (((word >> 29) & 0x1) == 0)
12515 {
12516 /* 33222222222211111111110000000000
12517 10987654321098765432109876543210
7684e580 12518 xx001110010xxxxx0x0001xxxxxxxxxx
51d543ed 12519 fmaxnm. */
c2c4ff8d 12520 return 286;
51d543ed
MW
12521 }
12522 else
12523 {
12524 /* 33222222222211111111110000000000
12525 10987654321098765432109876543210
7684e580 12526 xx101110010xxxxx0x0001xxxxxxxxxx
51d543ed 12527 fmaxnmp. */
c2c4ff8d 12528 return 337;
51d543ed
MW
12529 }
12530 }
12531 else
12532 {
12533 if (((word >> 29) & 0x1) == 0)
12534 {
12535 /* 33222222222211111111110000000000
12536 10987654321098765432109876543210
7684e580 12537 xx001110110xxxxx0x0001xxxxxxxxxx
51d543ed 12538 fminnm. */
c2c4ff8d 12539 return 302;
51d543ed
MW
12540 }
12541 else
12542 {
12543 /* 33222222222211111111110000000000
12544 10987654321098765432109876543210
7684e580 12545 xx101110110xxxxx0x0001xxxxxxxxxx
51d543ed 12546 fminnmp. */
c2c4ff8d 12547 return 353;
51d543ed
MW
12548 }
12549 }
12550 }
12551 else
12552 {
12553 if (((word >> 23) & 0x1) == 0)
12554 {
12555 if (((word >> 29) & 0x1) == 0)
12556 {
12557 /* 33222222222211111111110000000000
12558 10987654321098765432109876543210
7684e580 12559 xx001110010xxxxx0x1001xxxxxxxxxx
51d543ed 12560 fcmeq. */
c2c4ff8d 12561 return 294;
51d543ed
MW
12562 }
12563 else
12564 {
12565 /* 33222222222211111111110000000000
12566 10987654321098765432109876543210
7684e580 12567 xx101110010xxxxx0x1001xxxxxxxxxx
51d543ed 12568 fcmge. */
c2c4ff8d 12569 return 343;
51d543ed
MW
12570 }
12571 }
12572 else
12573 {
12574 /* 33222222222211111111110000000000
12575 10987654321098765432109876543210
7684e580 12576 xxx01110110xxxxx0x1001xxxxxxxxxx
51d543ed 12577 fcmgt. */
c2c4ff8d 12578 return 357;
51d543ed
MW
12579 }
12580 }
12581 }
12582 else
12583 {
12584 if (((word >> 13) & 0x1) == 0)
12585 {
12586 if (((word >> 23) & 0x1) == 0)
12587 {
12588 if (((word >> 29) & 0x1) == 0)
12589 {
12590 /* 33222222222211111111110000000000
12591 10987654321098765432109876543210
7684e580 12592 xx001110010xxxxx0x0101xxxxxxxxxx
51d543ed 12593 fadd. */
c2c4ff8d 12594 return 290;
51d543ed
MW
12595 }
12596 else
12597 {
12598 /* 33222222222211111111110000000000
12599 10987654321098765432109876543210
7684e580 12600 xx101110010xxxxx0x0101xxxxxxxxxx
51d543ed 12601 faddp. */
c2c4ff8d 12602 return 339;
51d543ed
MW
12603 }
12604 }
12605 else
12606 {
12607 if (((word >> 29) & 0x1) == 0)
12608 {
12609 /* 33222222222211111111110000000000
12610 10987654321098765432109876543210
7684e580 12611 xx001110110xxxxx0x0101xxxxxxxxxx
51d543ed 12612 fsub. */
c2c4ff8d 12613 return 306;
51d543ed
MW
12614 }
12615 else
12616 {
12617 /* 33222222222211111111110000000000
12618 10987654321098765432109876543210
7684e580 12619 xx101110110xxxxx0x0101xxxxxxxxxx
51d543ed 12620 fabd. */
c2c4ff8d 12621 return 355;
51d543ed
MW
12622 }
12623 }
12624 }
12625 else
12626 {
12627 if (((word >> 23) & 0x1) == 0)
12628 {
12629 if (((word >> 29) & 0x1) == 0)
12630 {
12631 /* 33222222222211111111110000000000
12632 10987654321098765432109876543210
7684e580 12633 xx001110010xxxxx0x1101xxxxxxxxxx
51d543ed 12634 fmax. */
c2c4ff8d 12635 return 296;
51d543ed
MW
12636 }
12637 else
12638 {
12639 /* 33222222222211111111110000000000
12640 10987654321098765432109876543210
7684e580 12641 xx101110010xxxxx0x1101xxxxxxxxxx
51d543ed 12642 fmaxp. */
c2c4ff8d 12643 return 347;
51d543ed
MW
12644 }
12645 }
12646 else
12647 {
12648 if (((word >> 29) & 0x1) == 0)
12649 {
12650 /* 33222222222211111111110000000000
12651 10987654321098765432109876543210
7684e580 12652 xx001110110xxxxx0x1101xxxxxxxxxx
51d543ed 12653 fmin. */
c2c4ff8d 12654 return 308;
51d543ed
MW
12655 }
12656 else
12657 {
12658 /* 33222222222211111111110000000000
12659 10987654321098765432109876543210
7684e580 12660 xx101110110xxxxx0x1101xxxxxxxxxx
51d543ed 12661 fminp. */
c2c4ff8d 12662 return 361;
51d543ed
MW
12663 }
12664 }
12665 }
12666 }
a06ea964
NC
12667 }
12668 else
12669 {
9e1f0fa7 12670 if (((word >> 12) & 0x1) == 0)
a06ea964 12671 {
9e1f0fa7
MW
12672 if (((word >> 13) & 0x1) == 0)
12673 {
51d543ed
MW
12674 if (((word >> 23) & 0x1) == 0)
12675 {
12676 /* 33222222222211111111110000000000
12677 10987654321098765432109876543210
7684e580 12678 xxx01110010xxxxx0x0011xxxxxxxxxx
51d543ed 12679 fmla. */
c2c4ff8d 12680 return 288;
51d543ed
MW
12681 }
12682 else
12683 {
12684 /* 33222222222211111111110000000000
12685 10987654321098765432109876543210
7684e580 12686 xxx01110110xxxxx0x0011xxxxxxxxxx
51d543ed 12687 fmls. */
c2c4ff8d 12688 return 304;
51d543ed 12689 }
9e1f0fa7
MW
12690 }
12691 else
12692 {
51d543ed
MW
12693 if (((word >> 23) & 0x1) == 0)
12694 {
12695 /* 33222222222211111111110000000000
12696 10987654321098765432109876543210
7684e580 12697 xxx01110010xxxxx0x1011xxxxxxxxxx
51d543ed 12698 facge. */
c2c4ff8d 12699 return 345;
51d543ed
MW
12700 }
12701 else
12702 {
12703 /* 33222222222211111111110000000000
12704 10987654321098765432109876543210
7684e580 12705 xxx01110110xxxxx0x1011xxxxxxxxxx
51d543ed 12706 facgt. */
c2c4ff8d 12707 return 359;
51d543ed 12708 }
9e1f0fa7 12709 }
a06ea964
NC
12710 }
12711 else
12712 {
9e1f0fa7
MW
12713 if (((word >> 13) & 0x1) == 0)
12714 {
51d543ed
MW
12715 if (((word >> 29) & 0x1) == 0)
12716 {
12717 /* 33222222222211111111110000000000
12718 10987654321098765432109876543210
7684e580 12719 xx001110x10xxxxx0x0111xxxxxxxxxx
51d543ed 12720 fmulx. */
c2c4ff8d 12721 return 292;
51d543ed
MW
12722 }
12723 else
12724 {
12725 /* 33222222222211111111110000000000
12726 10987654321098765432109876543210
7684e580 12727 xx101110x10xxxxx0x0111xxxxxxxxxx
51d543ed 12728 fmul. */
c2c4ff8d 12729 return 341;
51d543ed 12730 }
9e1f0fa7
MW
12731 }
12732 else
12733 {
51d543ed
MW
12734 if (((word >> 23) & 0x1) == 0)
12735 {
12736 if (((word >> 29) & 0x1) == 0)
12737 {
12738 /* 33222222222211111111110000000000
12739 10987654321098765432109876543210
7684e580 12740 xx001110010xxxxx0x1111xxxxxxxxxx
51d543ed 12741 frecps. */
c2c4ff8d 12742 return 298;
51d543ed
MW
12743 }
12744 else
12745 {
12746 /* 33222222222211111111110000000000
12747 10987654321098765432109876543210
7684e580 12748 xx101110010xxxxx0x1111xxxxxxxxxx
51d543ed 12749 fdiv. */
c2c4ff8d 12750 return 349;
51d543ed
MW
12751 }
12752 }
12753 else
12754 {
12755 /* 33222222222211111111110000000000
12756 10987654321098765432109876543210
7684e580 12757 xxx01110110xxxxx0x1111xxxxxxxxxx
51d543ed 12758 frsqrts. */
c2c4ff8d 12759 return 310;
51d543ed 12760 }
9e1f0fa7 12761 }
a06ea964
NC
12762 }
12763 }
12764 }
a06ea964
NC
12765 }
12766 else
12767 {
c2c4ff8d 12768 if (((word >> 13) & 0x1) == 0)
a06ea964 12769 {
c2c4ff8d
SN
12770 if (((word >> 14) & 0x1) == 0)
12771 {
12772 if (((word >> 11) & 0x1) == 0)
12773 {
65a55fbb
TC
12774 if (((word >> 12) & 0x1) == 0)
12775 {
12776 /* 33222222222211111111110000000000
12777 10987654321098765432109876543210
7684e580 12778 xxx01110xx0xxxxx100001xxxxxxxxxx
65a55fbb
TC
12779 sqrdmlah. */
12780 return 364;
12781 }
12782 else
12783 {
12784 if (((word >> 29) & 0x1) == 0)
12785 {
12786 /* 33222222222211111111110000000000
12787 10987654321098765432109876543210
7684e580 12788 xx001110xx0xxxxx100101xxxxxxxxxx
65a55fbb
TC
12789 sdot. */
12790 return 1987;
12791 }
12792 else
12793 {
12794 /* 33222222222211111111110000000000
12795 10987654321098765432109876543210
7684e580 12796 xx101110xx0xxxxx100101xxxxxxxxxx
65a55fbb
TC
12797 udot. */
12798 return 1986;
12799 }
12800 }
c2c4ff8d
SN
12801 }
12802 else
12803 {
12804 /* 33222222222211111111110000000000
12805 10987654321098765432109876543210
7684e580 12806 xxx01110xx0xxxxx100x11xxxxxxxxxx
c2c4ff8d
SN
12807 sqrdmlsh. */
12808 return 365;
12809 }
12810 }
12811 else
12812 {
12813 /* 33222222222211111111110000000000
12814 10987654321098765432109876543210
7684e580 12815 xxx01110xx0xxxxx110xx1xxxxxxxxxx
c2c4ff8d
SN
12816 fcmla. */
12817 return 366;
12818 }
a06ea964
NC
12819 }
12820 else
12821 {
12822 /* 33222222222211111111110000000000
12823 10987654321098765432109876543210
7684e580 12824 xxx01110xx0xxxxx1x1xx1xxxxxxxxxx
c2c4ff8d
SN
12825 fcadd. */
12826 return 367;
a06ea964
NC
12827 }
12828 }
12829 }
9e1f0fa7
MW
12830 }
12831 else
12832 {
12833 if (((word >> 29) & 0x1) == 0)
a06ea964 12834 {
9e1f0fa7 12835 if (((word >> 30) & 0x1) == 0)
a06ea964 12836 {
9e1f0fa7 12837 if (((word >> 16) & 0x1) == 0)
a06ea964 12838 {
9e1f0fa7 12839 if (((word >> 17) & 0x1) == 0)
a06ea964 12840 {
9e1f0fa7
MW
12841 /* 33222222222211111111110000000000
12842 10987654321098765432109876543210
7684e580 12843 x0011110xx0xxx00xxxxxxxxxxxxxxxx
9e1f0fa7 12844 fcvtzs. */
c2c4ff8d 12845 return 752;
a06ea964
NC
12846 }
12847 else
12848 {
9e1f0fa7
MW
12849 /* 33222222222211111111110000000000
12850 10987654321098765432109876543210
7684e580 12851 x0011110xx0xxx10xxxxxxxxxxxxxxxx
9e1f0fa7 12852 scvtf. */
c2c4ff8d 12853 return 748;
9e1f0fa7
MW
12854 }
12855 }
12856 else
12857 {
12858 if (((word >> 17) & 0x1) == 0)
12859 {
12860 /* 33222222222211111111110000000000
12861 10987654321098765432109876543210
7684e580 12862 x0011110xx0xxx01xxxxxxxxxxxxxxxx
9e1f0fa7 12863 fcvtzu. */
c2c4ff8d 12864 return 754;
9e1f0fa7
MW
12865 }
12866 else
12867 {
12868 /* 33222222222211111111110000000000
12869 10987654321098765432109876543210
7684e580 12870 x0011110xx0xxx11xxxxxxxxxxxxxxxx
9e1f0fa7 12871 ucvtf. */
c2c4ff8d 12872 return 750;
9e1f0fa7
MW
12873 }
12874 }
12875 }
12876 else
12877 {
12878 if (((word >> 10) & 0x1) == 0)
12879 {
12880 if (((word >> 12) & 0x1) == 0)
12881 {
12882 if (((word >> 13) & 0x1) == 0)
a06ea964 12883 {
9e1f0fa7
MW
12884 if (((word >> 14) & 0x1) == 0)
12885 {
12886 /* 33222222222211111111110000000000
12887 10987654321098765432109876543210
7684e580 12888 x1011110xx0xxxxxx000x0xxxxxxxxxx
9e1f0fa7 12889 sha1c. */
c2c4ff8d 12890 return 672;
9e1f0fa7
MW
12891 }
12892 else
12893 {
12894 /* 33222222222211111111110000000000
12895 10987654321098765432109876543210
7684e580 12896 x1011110xx0xxxxxx100x0xxxxxxxxxx
9e1f0fa7 12897 sha256h. */
c2c4ff8d 12898 return 676;
9e1f0fa7 12899 }
a06ea964
NC
12900 }
12901 else
12902 {
9e1f0fa7
MW
12903 if (((word >> 14) & 0x1) == 0)
12904 {
12905 /* 33222222222211111111110000000000
12906 10987654321098765432109876543210
7684e580 12907 x1011110xx0xxxxxx010x0xxxxxxxxxx
9e1f0fa7 12908 sha1m. */
c2c4ff8d 12909 return 674;
9e1f0fa7
MW
12910 }
12911 else
12912 {
12913 /* 33222222222211111111110000000000
12914 10987654321098765432109876543210
7684e580 12915 x1011110xx0xxxxxx110x0xxxxxxxxxx
9e1f0fa7 12916 sha256su1. */
c2c4ff8d 12917 return 678;
9e1f0fa7 12918 }
a06ea964
NC
12919 }
12920 }
9e1f0fa7 12921 else
a06ea964 12922 {
9e1f0fa7 12923 if (((word >> 13) & 0x1) == 0)
a06ea964 12924 {
9e1f0fa7
MW
12925 if (((word >> 14) & 0x1) == 0)
12926 {
12927 /* 33222222222211111111110000000000
12928 10987654321098765432109876543210
7684e580 12929 x1011110xx0xxxxxx001x0xxxxxxxxxx
9e1f0fa7 12930 sha1p. */
c2c4ff8d 12931 return 673;
9e1f0fa7
MW
12932 }
12933 else
12934 {
12935 /* 33222222222211111111110000000000
12936 10987654321098765432109876543210
7684e580 12937 x1011110xx0xxxxxx101x0xxxxxxxxxx
9e1f0fa7 12938 sha256h2. */
c2c4ff8d 12939 return 677;
9e1f0fa7 12940 }
a06ea964
NC
12941 }
12942 else
12943 {
12944 /* 33222222222211111111110000000000
12945 10987654321098765432109876543210
7684e580 12946 x1011110xx0xxxxxxx11x0xxxxxxxxxx
9e1f0fa7 12947 sha1su0. */
c2c4ff8d 12948 return 675;
a06ea964
NC
12949 }
12950 }
9e1f0fa7
MW
12951 }
12952 else
12953 {
6b4680fb
MW
12954 if (((word >> 11) & 0x1) == 0)
12955 {
12956 if (((word >> 13) & 0x1) == 0)
12957 {
12958 /* 33222222222211111111110000000000
12959 10987654321098765432109876543210
7684e580 12960 x1011110xx0xxxxxxx0x01xxxxxxxxxx
6b4680fb 12961 dup. */
c2c4ff8d 12962 return 529;
6b4680fb
MW
12963 }
12964 else
12965 {
12966 /* 33222222222211111111110000000000
12967 10987654321098765432109876543210
7684e580 12968 x1011110xx0xxxxxxx1x01xxxxxxxxxx
6b4680fb 12969 fcmeq. */
c2c4ff8d 12970 return 550;
6b4680fb
MW
12971 }
12972 }
12973 else
12974 {
12975 if (((word >> 13) & 0x1) == 0)
12976 {
12977 /* 33222222222211111111110000000000
12978 10987654321098765432109876543210
7684e580 12979 x1011110xx0xxxxxxx0x11xxxxxxxxxx
6b4680fb 12980 fmulx. */
c2c4ff8d 12981 return 548;
6b4680fb
MW
12982 }
12983 else
12984 {
12985 if (((word >> 23) & 0x1) == 0)
12986 {
12987 /* 33222222222211111111110000000000
12988 10987654321098765432109876543210
7684e580 12989 x10111100x0xxxxxxx1x11xxxxxxxxxx
6b4680fb 12990 frecps. */
c2c4ff8d 12991 return 552;
6b4680fb
MW
12992 }
12993 else
12994 {
12995 /* 33222222222211111111110000000000
12996 10987654321098765432109876543210
7684e580 12997 x10111101x0xxxxxxx1x11xxxxxxxxxx
6b4680fb 12998 frsqrts. */
c2c4ff8d 12999 return 554;
6b4680fb
MW
13000 }
13001 }
13002 }
a06ea964
NC
13003 }
13004 }
9e1f0fa7
MW
13005 }
13006 else
13007 {
13008 if (((word >> 11) & 0x1) == 0)
13009 {
6b4680fb
MW
13010 if (((word >> 12) & 0x1) == 0)
13011 {
13012 if (((word >> 13) & 0x1) == 0)
13013 {
13014 /* 33222222222211111111110000000000
13015 10987654321098765432109876543210
7684e580 13016 xx111110xx0xxxxxxx000xxxxxxxxxxx
6b4680fb 13017 sqrdmlah. */
c2c4ff8d 13018 return 582;
6b4680fb
MW
13019 }
13020 else
13021 {
13022 if (((word >> 23) & 0x1) == 0)
13023 {
13024 /* 33222222222211111111110000000000
13025 10987654321098765432109876543210
7684e580 13026 xx1111100x0xxxxxxx100xxxxxxxxxxx
6b4680fb 13027 fcmge. */
c2c4ff8d 13028 return 567;
6b4680fb
MW
13029 }
13030 else
13031 {
13032 /* 33222222222211111111110000000000
13033 10987654321098765432109876543210
7684e580 13034 xx1111101x0xxxxxxx100xxxxxxxxxxx
6b4680fb 13035 fcmgt. */
c2c4ff8d 13036 return 573;
6b4680fb
MW
13037 }
13038 }
13039 }
13040 else
13041 {
13042 /* 33222222222211111111110000000000
13043 10987654321098765432109876543210
7684e580 13044 xx111110xx0xxxxxxxx10xxxxxxxxxxx
6b4680fb 13045 fabd. */
c2c4ff8d 13046 return 571;
6b4680fb 13047 }
9e1f0fa7 13048 }
a06ea964
NC
13049 else
13050 {
6b4680fb
MW
13051 if (((word >> 13) & 0x1) == 0)
13052 {
13053 /* 33222222222211111111110000000000
13054 10987654321098765432109876543210
7684e580 13055 xx111110xx0xxxxxxx0x1xxxxxxxxxxx
6b4680fb 13056 sqrdmlsh. */
c2c4ff8d 13057 return 583;
6b4680fb
MW
13058 }
13059 else
13060 {
13061 if (((word >> 23) & 0x1) == 0)
13062 {
13063 /* 33222222222211111111110000000000
13064 10987654321098765432109876543210
7684e580 13065 xx1111100x0xxxxxxx1x1xxxxxxxxxxx
6b4680fb 13066 facge. */
c2c4ff8d 13067 return 569;
6b4680fb
MW
13068 }
13069 else
13070 {
13071 /* 33222222222211111111110000000000
13072 10987654321098765432109876543210
7684e580 13073 xx1111101x0xxxxxxx1x1xxxxxxxxxxx
6b4680fb 13074 facgt. */
c2c4ff8d 13075 return 575;
6b4680fb
MW
13076 }
13077 }
a06ea964
NC
13078 }
13079 }
13080 }
13081 }
13082 else
13083 {
13084 if (((word >> 10) & 0x1) == 0)
13085 {
13086 if (((word >> 11) & 0x1) == 0)
13087 {
13088 if (((word >> 12) & 0x1) == 0)
13089 {
13090 if (((word >> 13) & 0x1) == 0)
13091 {
13092 if (((word >> 14) & 0x1) == 0)
13093 {
13094 if (((word >> 15) & 0x1) == 0)
13095 {
13096 if (((word >> 28) & 0x1) == 0)
13097 {
13098 if (((word >> 29) & 0x1) == 0)
13099 {
13100 if (((word >> 30) & 0x1) == 0)
13101 {
13102 /* 33222222222211111111110000000000
13103 10987654321098765432109876543210
7684e580 13104 x0001110xx1xxxxx000000xxxxxxxxxx
a06ea964 13105 saddl. */
bb515fea 13106 return 42;
a06ea964
NC
13107 }
13108 else
13109 {
13110 /* 33222222222211111111110000000000
13111 10987654321098765432109876543210
7684e580 13112 x1001110xx1xxxxx000000xxxxxxxxxx
a06ea964 13113 saddl2. */
bb515fea 13114 return 43;
a06ea964
NC
13115 }
13116 }
13117 else
13118 {
13119 if (((word >> 30) & 0x1) == 0)
13120 {
13121 /* 33222222222211111111110000000000
13122 10987654321098765432109876543210
7684e580 13123 x0101110xx1xxxxx000000xxxxxxxxxx
a06ea964 13124 uaddl. */
bb515fea 13125 return 74;
a06ea964
NC
13126 }
13127 else
13128 {
13129 /* 33222222222211111111110000000000
13130 10987654321098765432109876543210
7684e580 13131 x1101110xx1xxxxx000000xxxxxxxxxx
a06ea964 13132 uaddl2. */
bb515fea 13133 return 75;
a06ea964
NC
13134 }
13135 }
13136 }
13137 else
13138 {
13139 if (((word >> 16) & 0x1) == 0)
13140 {
13141 if (((word >> 17) & 0x1) == 0)
13142 {
13143 if (((word >> 18) & 0x1) == 0)
13144 {
13145 if (((word >> 19) & 0x1) == 0)
13146 {
13147 if (((word >> 20) & 0x1) == 0)
13148 {
13149 /* 33222222222211111111110000000000
13150 10987654321098765432109876543210
7684e580 13151 xxx11110xx100000000000xxxxxxxxxx
a06ea964 13152 fcvtns. */
c2c4ff8d 13153 return 756;
a06ea964
NC
13154 }
13155 else
13156 {
13157 /* 33222222222211111111110000000000
13158 10987654321098765432109876543210
7684e580 13159 xxx11110xx110000000000xxxxxxxxxx
a06ea964 13160 fcvtms. */
c2c4ff8d 13161 return 776;
a06ea964
NC
13162 }
13163 }
13164 else
13165 {
13166 if (((word >> 20) & 0x1) == 0)
13167 {
13168 /* 33222222222211111111110000000000
13169 10987654321098765432109876543210
7684e580 13170 xxx11110xx101000000000xxxxxxxxxx
a06ea964 13171 fcvtps. */
c2c4ff8d 13172 return 772;
a06ea964
NC
13173 }
13174 else
13175 {
13176 /* 33222222222211111111110000000000
13177 10987654321098765432109876543210
7684e580 13178 xxx11110xx111000000000xxxxxxxxxx
a06ea964 13179 fcvtzs. */
c2c4ff8d 13180 return 780;
a06ea964
NC
13181 }
13182 }
13183 }
13184 else
13185 {
13186 /* 33222222222211111111110000000000
13187 10987654321098765432109876543210
7684e580 13188 xxx11110xx1xx100000000xxxxxxxxxx
a06ea964 13189 fcvtas. */
c2c4ff8d 13190 return 764;
a06ea964
NC
13191 }
13192 }
13193 else
13194 {
13195 if (((word >> 18) & 0x1) == 0)
13196 {
13197 /* 33222222222211111111110000000000
13198 10987654321098765432109876543210
7684e580 13199 xxx11110xx1xx010000000xxxxxxxxxx
a06ea964 13200 scvtf. */
c2c4ff8d 13201 return 760;
a06ea964
NC
13202 }
13203 else
13204 {
13205 if (((word >> 19) & 0x1) == 0)
13206 {
13207 /* 33222222222211111111110000000000
13208 10987654321098765432109876543210
7684e580 13209 xxx11110xx1x0110000000xxxxxxxxxx
a06ea964 13210 fmov. */
c2c4ff8d 13211 return 768;
a06ea964
NC
13212 }
13213 else
13214 {
ccfc90a3
SN
13215 if (((word >> 20) & 0x1) == 0)
13216 {
13217 /* 33222222222211111111110000000000
13218 10987654321098765432109876543210
7684e580 13219 xxx11110xx101110000000xxxxxxxxxx
ccfc90a3 13220 fmov. */
c2c4ff8d 13221 return 784;
ccfc90a3
SN
13222 }
13223 else
13224 {
13225 /* 33222222222211111111110000000000
13226 10987654321098765432109876543210
7684e580 13227 xxx11110xx111110000000xxxxxxxxxx
ccfc90a3 13228 fjcvtzs. */
c2c4ff8d 13229 return 786;
ccfc90a3 13230 }
a06ea964
NC
13231 }
13232 }
13233 }
13234 }
13235 else
13236 {
13237 if (((word >> 17) & 0x1) == 0)
13238 {
13239 if (((word >> 18) & 0x1) == 0)
13240 {
13241 if (((word >> 19) & 0x1) == 0)
13242 {
13243 if (((word >> 20) & 0x1) == 0)
13244 {
13245 /* 33222222222211111111110000000000
13246 10987654321098765432109876543210
7684e580 13247 xxx11110xx100001000000xxxxxxxxxx
a06ea964 13248 fcvtnu. */
c2c4ff8d 13249 return 758;
a06ea964
NC
13250 }
13251 else
13252 {
13253 /* 33222222222211111111110000000000
13254 10987654321098765432109876543210
7684e580 13255 xxx11110xx110001000000xxxxxxxxxx
a06ea964 13256 fcvtmu. */
c2c4ff8d 13257 return 778;
a06ea964
NC
13258 }
13259 }
13260 else
13261 {
13262 if (((word >> 20) & 0x1) == 0)
13263 {
13264 /* 33222222222211111111110000000000
13265 10987654321098765432109876543210
7684e580 13266 xxx11110xx101001000000xxxxxxxxxx
a06ea964 13267 fcvtpu. */
c2c4ff8d 13268 return 774;
a06ea964
NC
13269 }
13270 else
13271 {
13272 /* 33222222222211111111110000000000
13273 10987654321098765432109876543210
7684e580 13274 xxx11110xx111001000000xxxxxxxxxx
a06ea964 13275 fcvtzu. */
c2c4ff8d 13276 return 782;
a06ea964
NC
13277 }
13278 }
13279 }
13280 else
13281 {
13282 /* 33222222222211111111110000000000
13283 10987654321098765432109876543210
7684e580 13284 xxx11110xx1xx101000000xxxxxxxxxx
a06ea964 13285 fcvtau. */
c2c4ff8d 13286 return 766;
a06ea964
NC
13287 }
13288 }
13289 else
13290 {
13291 if (((word >> 18) & 0x1) == 0)
13292 {
13293 /* 33222222222211111111110000000000
13294 10987654321098765432109876543210
7684e580 13295 xxx11110xx1xx011000000xxxxxxxxxx
a06ea964 13296 ucvtf. */
c2c4ff8d 13297 return 762;
a06ea964
NC
13298 }
13299 else
13300 {
13301 if (((word >> 19) & 0x1) == 0)
13302 {
13303 /* 33222222222211111111110000000000
13304 10987654321098765432109876543210
7684e580 13305 xxx11110xx1x0111000000xxxxxxxxxx
a06ea964 13306 fmov. */
c2c4ff8d 13307 return 770;
a06ea964
NC
13308 }
13309 else
13310 {
13311 /* 33222222222211111111110000000000
13312 10987654321098765432109876543210
7684e580 13313 xxx11110xx1x1111000000xxxxxxxxxx
a06ea964 13314 fmov. */
c2c4ff8d 13315 return 785;
a06ea964
NC
13316 }
13317 }
13318 }
13319 }
13320 }
13321 }
13322 else
13323 {
13324 if (((word >> 29) & 0x1) == 0)
13325 {
13326 if (((word >> 30) & 0x1) == 0)
13327 {
13328 /* 33222222222211111111110000000000
13329 10987654321098765432109876543210
7684e580 13330 x00x1110xx1xxxxx100000xxxxxxxxxx
a06ea964 13331 smlal. */
bb515fea 13332 return 58;
a06ea964
NC
13333 }
13334 else
13335 {
13336 /* 33222222222211111111110000000000
13337 10987654321098765432109876543210
7684e580 13338 x10x1110xx1xxxxx100000xxxxxxxxxx
a06ea964 13339 smlal2. */
bb515fea 13340 return 59;
a06ea964
NC
13341 }
13342 }
13343 else
13344 {
13345 if (((word >> 30) & 0x1) == 0)
13346 {
13347 /* 33222222222211111111110000000000
13348 10987654321098765432109876543210
7684e580 13349 x01x1110xx1xxxxx100000xxxxxxxxxx
a06ea964 13350 umlal. */
bb515fea 13351 return 90;
a06ea964
NC
13352 }
13353 else
13354 {
13355 /* 33222222222211111111110000000000
13356 10987654321098765432109876543210
7684e580 13357 x11x1110xx1xxxxx100000xxxxxxxxxx
a06ea964 13358 umlal2. */
bb515fea 13359 return 91;
a06ea964
NC
13360 }
13361 }
13362 }
13363 }
13364 else
13365 {
13366 if (((word >> 28) & 0x1) == 0)
13367 {
13368 if (((word >> 15) & 0x1) == 0)
13369 {
13370 if (((word >> 29) & 0x1) == 0)
13371 {
13372 if (((word >> 30) & 0x1) == 0)
13373 {
13374 /* 33222222222211111111110000000000
13375 10987654321098765432109876543210
7684e580 13376 x0001110xx1xxxxx010000xxxxxxxxxx
a06ea964 13377 addhn. */
bb515fea 13378 return 50;
a06ea964
NC
13379 }
13380 else
13381 {
13382 /* 33222222222211111111110000000000
13383 10987654321098765432109876543210
7684e580 13384 x1001110xx1xxxxx010000xxxxxxxxxx
a06ea964 13385 addhn2. */
bb515fea 13386 return 51;
a06ea964
NC
13387 }
13388 }
13389 else
13390 {
13391 if (((word >> 30) & 0x1) == 0)
13392 {
13393 /* 33222222222211111111110000000000
13394 10987654321098765432109876543210
7684e580 13395 x0101110xx1xxxxx010000xxxxxxxxxx
a06ea964 13396 raddhn. */
bb515fea 13397 return 82;
a06ea964
NC
13398 }
13399 else
13400 {
13401 /* 33222222222211111111110000000000
13402 10987654321098765432109876543210
7684e580 13403 x1101110xx1xxxxx010000xxxxxxxxxx
a06ea964 13404 raddhn2. */
bb515fea 13405 return 83;
a06ea964
NC
13406 }
13407 }
13408 }
13409 else
13410 {
13411 if (((word >> 29) & 0x1) == 0)
13412 {
13413 if (((word >> 30) & 0x1) == 0)
13414 {
13415 /* 33222222222211111111110000000000
13416 10987654321098765432109876543210
7684e580 13417 x0001110xx1xxxxx110000xxxxxxxxxx
a06ea964 13418 smull. */
bb515fea 13419 return 66;
a06ea964
NC
13420 }
13421 else
13422 {
13423 /* 33222222222211111111110000000000
13424 10987654321098765432109876543210
7684e580 13425 x1001110xx1xxxxx110000xxxxxxxxxx
a06ea964 13426 smull2. */
bb515fea 13427 return 67;
a06ea964
NC
13428 }
13429 }
13430 else
13431 {
13432 if (((word >> 30) & 0x1) == 0)
13433 {
13434 /* 33222222222211111111110000000000
13435 10987654321098765432109876543210
7684e580 13436 x0101110xx1xxxxx110000xxxxxxxxxx
a06ea964 13437 umull. */
bb515fea 13438 return 94;
a06ea964
NC
13439 }
13440 else
13441 {
13442 /* 33222222222211111111110000000000
13443 10987654321098765432109876543210
7684e580 13444 x1101110xx1xxxxx110000xxxxxxxxxx
a06ea964 13445 umull2. */
bb515fea 13446 return 95;
a06ea964
NC
13447 }
13448 }
13449 }
13450 }
13451 else
13452 {
13453 if (((word >> 17) & 0x1) == 0)
13454 {
13455 if (((word >> 15) & 0x1) == 0)
13456 {
13457 if (((word >> 16) & 0x1) == 0)
13458 {
13459 if (((word >> 18) & 0x1) == 0)
13460 {
13461 /* 33222222222211111111110000000000
13462 10987654321098765432109876543210
7684e580 13463 xxx11110xx1xx000010000xxxxxxxxxx
a06ea964 13464 fmov. */
c2c4ff8d 13465 return 799;
a06ea964
NC
13466 }
13467 else
13468 {
13469 /* 33222222222211111111110000000000
13470 10987654321098765432109876543210
7684e580 13471 xxx11110xx1xx100010000xxxxxxxxxx
a06ea964 13472 frintn. */
c2c4ff8d 13473 return 808;
a06ea964
NC
13474 }
13475 }
13476 else
13477 {
13478 if (((word >> 18) & 0x1) == 0)
13479 {
13480 /* 33222222222211111111110000000000
13481 10987654321098765432109876543210
7684e580 13482 xxx11110xx1xx001010000xxxxxxxxxx
a06ea964 13483 fneg. */
c2c4ff8d 13484 return 803;
a06ea964
NC
13485 }
13486 else
13487 {
13488 /* 33222222222211111111110000000000
13489 10987654321098765432109876543210
7684e580 13490 xxx11110xx1xx101010000xxxxxxxxxx
a06ea964 13491 frintm. */
c2c4ff8d 13492 return 812;
a06ea964
NC
13493 }
13494 }
13495 }
13496 else
13497 {
13498 if (((word >> 16) & 0x1) == 0)
13499 {
13500 if (((word >> 18) & 0x1) == 0)
13501 {
13502 /* 33222222222211111111110000000000
13503 10987654321098765432109876543210
7684e580 13504 xxx11110xx1xx000110000xxxxxxxxxx
a06ea964 13505 fabs. */
c2c4ff8d 13506 return 801;
a06ea964
NC
13507 }
13508 else
13509 {
13510 /* 33222222222211111111110000000000
13511 10987654321098765432109876543210
7684e580 13512 xxx11110xx1xx100110000xxxxxxxxxx
a06ea964 13513 frintp. */
c2c4ff8d 13514 return 810;
a06ea964
NC
13515 }
13516 }
13517 else
13518 {
13519 if (((word >> 18) & 0x1) == 0)
13520 {
13521 /* 33222222222211111111110000000000
13522 10987654321098765432109876543210
7684e580 13523 xxx11110xx1xx001110000xxxxxxxxxx
a06ea964 13524 fsqrt. */
c2c4ff8d 13525 return 805;
a06ea964
NC
13526 }
13527 else
13528 {
13529 /* 33222222222211111111110000000000
13530 10987654321098765432109876543210
7684e580 13531 xxx11110xx1xx101110000xxxxxxxxxx
a06ea964 13532 frintz. */
c2c4ff8d 13533 return 814;
a06ea964
NC
13534 }
13535 }
13536 }
13537 }
13538 else
13539 {
13540 if (((word >> 18) & 0x1) == 0)
13541 {
13542 /* 33222222222211111111110000000000
13543 10987654321098765432109876543210
7684e580 13544 xxx11110xx1xx01xx10000xxxxxxxxxx
a06ea964 13545 fcvt. */
c2c4ff8d 13546 return 807;
a06ea964
NC
13547 }
13548 else
13549 {
13550 if (((word >> 15) & 0x1) == 0)
13551 {
13552 if (((word >> 16) & 0x1) == 0)
13553 {
13554 /* 33222222222211111111110000000000
13555 10987654321098765432109876543210
7684e580 13556 xxx11110xx1xx110010000xxxxxxxxxx
a06ea964 13557 frinta. */
c2c4ff8d 13558 return 816;
a06ea964
NC
13559 }
13560 else
13561 {
13562 /* 33222222222211111111110000000000
13563 10987654321098765432109876543210
7684e580 13564 xxx11110xx1xx111010000xxxxxxxxxx
a06ea964 13565 frintx. */
c2c4ff8d 13566 return 818;
a06ea964
NC
13567 }
13568 }
13569 else
13570 {
13571 /* 33222222222211111111110000000000
13572 10987654321098765432109876543210
7684e580 13573 xxx11110xx1xx11x110000xxxxxxxxxx
a06ea964 13574 frinti. */
c2c4ff8d 13575 return 820;
a06ea964
NC
13576 }
13577 }
13578 }
13579 }
13580 }
13581 }
13582 else
13583 {
13584 if (((word >> 14) & 0x1) == 0)
13585 {
13586 if (((word >> 15) & 0x1) == 0)
13587 {
13588 if (((word >> 28) & 0x1) == 0)
13589 {
13590 if (((word >> 29) & 0x1) == 0)
13591 {
13592 if (((word >> 30) & 0x1) == 0)
13593 {
13594 /* 33222222222211111111110000000000
13595 10987654321098765432109876543210
7684e580 13596 x0001110xx1xxxxx001000xxxxxxxxxx
a06ea964 13597 ssubl. */
bb515fea 13598 return 46;
a06ea964
NC
13599 }
13600 else
13601 {
13602 /* 33222222222211111111110000000000
13603 10987654321098765432109876543210
7684e580 13604 x1001110xx1xxxxx001000xxxxxxxxxx
a06ea964 13605 ssubl2. */
bb515fea 13606 return 47;
a06ea964
NC
13607 }
13608 }
13609 else
13610 {
13611 if (((word >> 30) & 0x1) == 0)
13612 {
13613 /* 33222222222211111111110000000000
13614 10987654321098765432109876543210
7684e580 13615 x0101110xx1xxxxx001000xxxxxxxxxx
a06ea964 13616 usubl. */
bb515fea 13617 return 78;
a06ea964
NC
13618 }
13619 else
13620 {
13621 /* 33222222222211111111110000000000
13622 10987654321098765432109876543210
7684e580 13623 x1101110xx1xxxxx001000xxxxxxxxxx
a06ea964 13624 usubl2. */
bb515fea 13625 return 79;
a06ea964
NC
13626 }
13627 }
13628 }
13629 else
13630 {
13631 if (((word >> 3) & 0x1) == 0)
13632 {
13633 if (((word >> 4) & 0x1) == 0)
13634 {
13635 /* 33222222222211111111110000000000
13636 10987654321098765432109876543210
7684e580 13637 xxx11110xx1xxxxx001000xxxxx00xxx
a06ea964 13638 fcmp. */
c2c4ff8d 13639 return 791;
a06ea964
NC
13640 }
13641 else
13642 {
13643 /* 33222222222211111111110000000000
13644 10987654321098765432109876543210
7684e580 13645 xxx11110xx1xxxxx001000xxxxx10xxx
a06ea964 13646 fcmpe. */
c2c4ff8d 13647 return 793;
a06ea964
NC
13648 }
13649 }
13650 else
13651 {
13652 if (((word >> 4) & 0x1) == 0)
13653 {
13654 /* 33222222222211111111110000000000
13655 10987654321098765432109876543210
7684e580 13656 xxx11110xx1xxxxx001000xxxxx01xxx
a06ea964 13657 fcmp. */
c2c4ff8d 13658 return 795;
a06ea964
NC
13659 }
13660 else
13661 {
13662 /* 33222222222211111111110000000000
13663 10987654321098765432109876543210
7684e580 13664 xxx11110xx1xxxxx001000xxxxx11xxx
a06ea964 13665 fcmpe. */
c2c4ff8d 13666 return 797;
a06ea964
NC
13667 }
13668 }
13669 }
13670 }
13671 else
13672 {
13673 if (((word >> 29) & 0x1) == 0)
13674 {
13675 if (((word >> 30) & 0x1) == 0)
13676 {
13677 /* 33222222222211111111110000000000
13678 10987654321098765432109876543210
7684e580 13679 x00x1110xx1xxxxx101000xxxxxxxxxx
a06ea964 13680 smlsl. */
bb515fea 13681 return 62;
a06ea964
NC
13682 }
13683 else
13684 {
13685 /* 33222222222211111111110000000000
13686 10987654321098765432109876543210
7684e580 13687 x10x1110xx1xxxxx101000xxxxxxxxxx
a06ea964 13688 smlsl2. */
bb515fea 13689 return 63;
a06ea964
NC
13690 }
13691 }
13692 else
13693 {
13694 if (((word >> 30) & 0x1) == 0)
13695 {
13696 /* 33222222222211111111110000000000
13697 10987654321098765432109876543210
7684e580 13698 x01x1110xx1xxxxx101000xxxxxxxxxx
a06ea964 13699 umlsl. */
bb515fea 13700 return 92;
a06ea964
NC
13701 }
13702 else
13703 {
13704 /* 33222222222211111111110000000000
13705 10987654321098765432109876543210
7684e580 13706 x11x1110xx1xxxxx101000xxxxxxxxxx
a06ea964 13707 umlsl2. */
bb515fea 13708 return 93;
a06ea964
NC
13709 }
13710 }
13711 }
13712 }
13713 else
13714 {
13715 if (((word >> 15) & 0x1) == 0)
13716 {
13717 if (((word >> 29) & 0x1) == 0)
13718 {
13719 if (((word >> 30) & 0x1) == 0)
13720 {
13721 /* 33222222222211111111110000000000
13722 10987654321098765432109876543210
7684e580 13723 x00x1110xx1xxxxx011000xxxxxxxxxx
a06ea964 13724 subhn. */
bb515fea 13725 return 54;
a06ea964
NC
13726 }
13727 else
13728 {
13729 /* 33222222222211111111110000000000
13730 10987654321098765432109876543210
7684e580 13731 x10x1110xx1xxxxx011000xxxxxxxxxx
a06ea964 13732 subhn2. */
bb515fea 13733 return 55;
a06ea964
NC
13734 }
13735 }
13736 else
13737 {
13738 if (((word >> 30) & 0x1) == 0)
13739 {
13740 /* 33222222222211111111110000000000
13741 10987654321098765432109876543210
7684e580 13742 x01x1110xx1xxxxx011000xxxxxxxxxx
a06ea964 13743 rsubhn. */
bb515fea 13744 return 86;
a06ea964
NC
13745 }
13746 else
13747 {
13748 /* 33222222222211111111110000000000
13749 10987654321098765432109876543210
7684e580 13750 x11x1110xx1xxxxx011000xxxxxxxxxx
a06ea964 13751 rsubhn2. */
bb515fea 13752 return 87;
a06ea964
NC
13753 }
13754 }
13755 }
13756 else
13757 {
13758 if (((word >> 22) & 0x1) == 0)
13759 {
13760 if (((word >> 30) & 0x1) == 0)
13761 {
13762 /* 33222222222211111111110000000000
13763 10987654321098765432109876543210
7684e580 13764 x0xx1110x01xxxxx111000xxxxxxxxxx
a06ea964 13765 pmull. */
bb515fea 13766 return 70;
a06ea964
NC
13767 }
13768 else
13769 {
13770 /* 33222222222211111111110000000000
13771 10987654321098765432109876543210
7684e580 13772 x1xx1110x01xxxxx111000xxxxxxxxxx
a06ea964 13773 pmull2. */
bb515fea 13774 return 72;
a06ea964
NC
13775 }
13776 }
13777 else
13778 {
13779 if (((word >> 30) & 0x1) == 0)
13780 {
13781 /* 33222222222211111111110000000000
13782 10987654321098765432109876543210
7684e580 13783 x0xx1110x11xxxxx111000xxxxxxxxxx
a06ea964 13784 pmull. */
bb515fea 13785 return 71;
a06ea964
NC
13786 }
13787 else
13788 {
13789 /* 33222222222211111111110000000000
13790 10987654321098765432109876543210
7684e580 13791 x1xx1110x11xxxxx111000xxxxxxxxxx
a06ea964 13792 pmull2. */
bb515fea 13793 return 73;
a06ea964
NC
13794 }
13795 }
13796 }
13797 }
13798 }
13799 }
13800 else
13801 {
13802 if (((word >> 28) & 0x1) == 0)
13803 {
13804 if (((word >> 13) & 0x1) == 0)
13805 {
13806 if (((word >> 14) & 0x1) == 0)
13807 {
13808 if (((word >> 15) & 0x1) == 0)
13809 {
13810 if (((word >> 29) & 0x1) == 0)
13811 {
13812 if (((word >> 30) & 0x1) == 0)
13813 {
13814 /* 33222222222211111111110000000000
13815 10987654321098765432109876543210
7684e580 13816 x0001110xx1xxxxx000100xxxxxxxxxx
a06ea964 13817 saddw. */
bb515fea 13818 return 44;
a06ea964
NC
13819 }
13820 else
13821 {
13822 /* 33222222222211111111110000000000
13823 10987654321098765432109876543210
7684e580 13824 x1001110xx1xxxxx000100xxxxxxxxxx
a06ea964 13825 saddw2. */
bb515fea 13826 return 45;
a06ea964
NC
13827 }
13828 }
13829 else
13830 {
13831 if (((word >> 30) & 0x1) == 0)
13832 {
13833 /* 33222222222211111111110000000000
13834 10987654321098765432109876543210
7684e580 13835 x0101110xx1xxxxx000100xxxxxxxxxx
a06ea964 13836 uaddw. */
bb515fea 13837 return 76;
a06ea964
NC
13838 }
13839 else
13840 {
13841 /* 33222222222211111111110000000000
13842 10987654321098765432109876543210
7684e580 13843 x1101110xx1xxxxx000100xxxxxxxxxx
a06ea964 13844 uaddw2. */
bb515fea 13845 return 77;
a06ea964
NC
13846 }
13847 }
13848 }
13849 else
13850 {
13851 if (((word >> 30) & 0x1) == 0)
13852 {
13853 /* 33222222222211111111110000000000
13854 10987654321098765432109876543210
7684e580 13855 x0x01110xx1xxxxx100100xxxxxxxxxx
a06ea964 13856 sqdmlal. */
bb515fea 13857 return 60;
a06ea964
NC
13858 }
13859 else
13860 {
13861 /* 33222222222211111111110000000000
13862 10987654321098765432109876543210
7684e580 13863 x1x01110xx1xxxxx100100xxxxxxxxxx
a06ea964 13864 sqdmlal2. */
bb515fea 13865 return 61;
a06ea964
NC
13866 }
13867 }
13868 }
13869 else
13870 {
13871 if (((word >> 15) & 0x1) == 0)
13872 {
13873 if (((word >> 29) & 0x1) == 0)
13874 {
13875 if (((word >> 30) & 0x1) == 0)
13876 {
13877 /* 33222222222211111111110000000000
13878 10987654321098765432109876543210
7684e580 13879 x0001110xx1xxxxx010100xxxxxxxxxx
a06ea964 13880 sabal. */
bb515fea 13881 return 52;
a06ea964
NC
13882 }
13883 else
13884 {
13885 /* 33222222222211111111110000000000
13886 10987654321098765432109876543210
7684e580 13887 x1001110xx1xxxxx010100xxxxxxxxxx
a06ea964 13888 sabal2. */
bb515fea 13889 return 53;
a06ea964
NC
13890 }
13891 }
13892 else
13893 {
13894 if (((word >> 30) & 0x1) == 0)
13895 {
13896 /* 33222222222211111111110000000000
13897 10987654321098765432109876543210
7684e580 13898 x0101110xx1xxxxx010100xxxxxxxxxx
a06ea964 13899 uabal. */
bb515fea 13900 return 84;
a06ea964
NC
13901 }
13902 else
13903 {
13904 /* 33222222222211111111110000000000
13905 10987654321098765432109876543210
7684e580 13906 x1101110xx1xxxxx010100xxxxxxxxxx
a06ea964 13907 uabal2. */
bb515fea 13908 return 85;
a06ea964
NC
13909 }
13910 }
13911 }
13912 else
13913 {
13914 if (((word >> 30) & 0x1) == 0)
13915 {
13916 /* 33222222222211111111110000000000
13917 10987654321098765432109876543210
7684e580 13918 x0x01110xx1xxxxx110100xxxxxxxxxx
a06ea964 13919 sqdmull. */
bb515fea 13920 return 68;
a06ea964
NC
13921 }
13922 else
13923 {
13924 /* 33222222222211111111110000000000
13925 10987654321098765432109876543210
7684e580 13926 x1x01110xx1xxxxx110100xxxxxxxxxx
a06ea964 13927 sqdmull2. */
bb515fea 13928 return 69;
a06ea964
NC
13929 }
13930 }
13931 }
13932 }
13933 else
13934 {
13935 if (((word >> 14) & 0x1) == 0)
13936 {
13937 if (((word >> 15) & 0x1) == 0)
13938 {
13939 if (((word >> 29) & 0x1) == 0)
13940 {
13941 if (((word >> 30) & 0x1) == 0)
13942 {
13943 /* 33222222222211111111110000000000
13944 10987654321098765432109876543210
7684e580 13945 x0001110xx1xxxxx001100xxxxxxxxxx
a06ea964 13946 ssubw. */
bb515fea 13947 return 48;
a06ea964
NC
13948 }
13949 else
13950 {
13951 /* 33222222222211111111110000000000
13952 10987654321098765432109876543210
7684e580 13953 x1001110xx1xxxxx001100xxxxxxxxxx
a06ea964 13954 ssubw2. */
bb515fea 13955 return 49;
a06ea964
NC
13956 }
13957 }
13958 else
13959 {
13960 if (((word >> 30) & 0x1) == 0)
13961 {
13962 /* 33222222222211111111110000000000
13963 10987654321098765432109876543210
7684e580 13964 x0101110xx1xxxxx001100xxxxxxxxxx
a06ea964 13965 usubw. */
bb515fea 13966 return 80;
a06ea964
NC
13967 }
13968 else
13969 {
13970 /* 33222222222211111111110000000000
13971 10987654321098765432109876543210
7684e580 13972 x1101110xx1xxxxx001100xxxxxxxxxx
a06ea964 13973 usubw2. */
bb515fea 13974 return 81;
a06ea964
NC
13975 }
13976 }
13977 }
13978 else
13979 {
13980 if (((word >> 30) & 0x1) == 0)
13981 {
13982 /* 33222222222211111111110000000000
13983 10987654321098765432109876543210
7684e580 13984 x0x01110xx1xxxxx101100xxxxxxxxxx
a06ea964 13985 sqdmlsl. */
bb515fea 13986 return 64;
a06ea964
NC
13987 }
13988 else
13989 {
13990 /* 33222222222211111111110000000000
13991 10987654321098765432109876543210
7684e580 13992 x1x01110xx1xxxxx101100xxxxxxxxxx
a06ea964 13993 sqdmlsl2. */
bb515fea 13994 return 65;
a06ea964
NC
13995 }
13996 }
13997 }
13998 else
13999 {
14000 if (((word >> 29) & 0x1) == 0)
14001 {
14002 if (((word >> 30) & 0x1) == 0)
14003 {
14004 /* 33222222222211111111110000000000
14005 10987654321098765432109876543210
7684e580 14006 x0001110xx1xxxxxx11100xxxxxxxxxx
a06ea964 14007 sabdl. */
bb515fea 14008 return 56;
a06ea964
NC
14009 }
14010 else
14011 {
14012 /* 33222222222211111111110000000000
14013 10987654321098765432109876543210
7684e580 14014 x1001110xx1xxxxxx11100xxxxxxxxxx
a06ea964 14015 sabdl2. */
bb515fea 14016 return 57;
a06ea964
NC
14017 }
14018 }
14019 else
14020 {
14021 if (((word >> 30) & 0x1) == 0)
14022 {
14023 /* 33222222222211111111110000000000
14024 10987654321098765432109876543210
7684e580 14025 x0101110xx1xxxxxx11100xxxxxxxxxx
a06ea964 14026 uabdl. */
bb515fea 14027 return 88;
a06ea964
NC
14028 }
14029 else
14030 {
14031 /* 33222222222211111111110000000000
14032 10987654321098765432109876543210
7684e580 14033 x1101110xx1xxxxxx11100xxxxxxxxxx
a06ea964 14034 uabdl2. */
bb515fea 14035 return 89;
a06ea964
NC
14036 }
14037 }
14038 }
14039 }
14040 }
14041 else
14042 {
14043 if (((word >> 30) & 0x1) == 0)
14044 {
14045 /* 33222222222211111111110000000000
14046 10987654321098765432109876543210
7684e580 14047 x0x11110xx1xxxxxxxx100xxxxxxxxxx
a06ea964 14048 fmov. */
c2c4ff8d 14049 return 848;
a06ea964
NC
14050 }
14051 else
14052 {
14053 if (((word >> 13) & 0x1) == 0)
14054 {
14055 if (((word >> 14) & 0x1) == 0)
14056 {
14057 /* 33222222222211111111110000000000
14058 10987654321098765432109876543210
7684e580 14059 x1x11110xx1xxxxxx00100xxxxxxxxxx
a06ea964 14060 sqdmlal. */
c2c4ff8d 14061 return 416;
a06ea964
NC
14062 }
14063 else
14064 {
14065 /* 33222222222211111111110000000000
14066 10987654321098765432109876543210
7684e580 14067 x1x11110xx1xxxxxx10100xxxxxxxxxx
a06ea964 14068 sqdmull. */
c2c4ff8d 14069 return 418;
a06ea964
NC
14070 }
14071 }
14072 else
14073 {
14074 /* 33222222222211111111110000000000
14075 10987654321098765432109876543210
7684e580 14076 x1x11110xx1xxxxxxx1100xxxxxxxxxx
a06ea964 14077 sqdmlsl. */
c2c4ff8d 14078 return 417;
a06ea964
NC
14079 }
14080 }
14081 }
14082 }
14083 }
14084 else
14085 {
14086 if (((word >> 12) & 0x1) == 0)
14087 {
14088 if (((word >> 13) & 0x1) == 0)
14089 {
14090 if (((word >> 14) & 0x1) == 0)
14091 {
14092 if (((word >> 15) & 0x1) == 0)
14093 {
14094 if (((word >> 28) & 0x1) == 0)
14095 {
14096 if (((word >> 29) & 0x1) == 0)
14097 {
14098 /* 33222222222211111111110000000000
14099 10987654321098765432109876543210
7684e580 14100 xx001110xx1xxxxx000010xxxxxxxxxx
a06ea964 14101 rev64. */
c2c4ff8d 14102 return 156;
a06ea964
NC
14103 }
14104 else
14105 {
14106 /* 33222222222211111111110000000000
14107 10987654321098765432109876543210
7684e580 14108 xx101110xx1xxxxx000010xxxxxxxxxx
a06ea964 14109 rev32. */
c2c4ff8d 14110 return 207;
a06ea964
NC
14111 }
14112 }
14113 else
14114 {
14115 if (((word >> 30) & 0x1) == 0)
14116 {
14117 /* 33222222222211111111110000000000
14118 10987654321098765432109876543210
7684e580 14119 x0x11110xx1xxxxx000010xxxxxxxxxx
a06ea964 14120 fmul. */
c2c4ff8d 14121 return 822;
a06ea964
NC
14122 }
14123 else
14124 {
14125 /* 33222222222211111111110000000000
14126 10987654321098765432109876543210
7684e580 14127 x1x11110xx1xxxxx000010xxxxxxxxxx
a06ea964 14128 sha1h. */
c2c4ff8d 14129 return 669;
a06ea964
NC
14130 }
14131 }
14132 }
14133 else
14134 {
14135 if (((word >> 28) & 0x1) == 0)
14136 {
14137 if (((word >> 16) & 0x1) == 0)
14138 {
14139 if (((word >> 29) & 0x1) == 0)
14140 {
14141 /* 33222222222211111111110000000000
14142 10987654321098765432109876543210
7684e580 14143 xx001110xx1xxxx0100010xxxxxxxxxx
a06ea964 14144 cmgt. */
c2c4ff8d 14145 return 164;
a06ea964
NC
14146 }
14147 else
14148 {
14149 /* 33222222222211111111110000000000
14150 10987654321098765432109876543210
7684e580 14151 xx101110xx1xxxx0100010xxxxxxxxxx
a06ea964 14152 cmge. */
c2c4ff8d 14153 return 213;
a06ea964
NC
14154 }
14155 }
14156 else
14157 {
f3aa142b 14158 if (((word >> 19) & 0x1) == 0)
a06ea964 14159 {
f3aa142b 14160 if (((word >> 23) & 0x1) == 0)
a06ea964 14161 {
f3aa142b
MW
14162 if (((word >> 29) & 0x1) == 0)
14163 {
14164 /* 33222222222211111111110000000000
14165 10987654321098765432109876543210
7684e580 14166 xx0011100x1x0xx1100010xxxxxxxxxx
f3aa142b 14167 frintn. */
c2c4ff8d 14168 return 176;
f3aa142b
MW
14169 }
14170 else
14171 {
14172 /* 33222222222211111111110000000000
14173 10987654321098765432109876543210
7684e580 14174 xx1011100x1x0xx1100010xxxxxxxxxx
f3aa142b 14175 frinta. */
c2c4ff8d 14176 return 224;
f3aa142b 14177 }
a06ea964
NC
14178 }
14179 else
14180 {
14181 /* 33222222222211111111110000000000
14182 10987654321098765432109876543210
7684e580 14183 xxx011101x1x0xx1100010xxxxxxxxxx
f3aa142b 14184 frintp. */
c2c4ff8d 14185 return 196;
a06ea964
NC
14186 }
14187 }
14188 else
14189 {
f3aa142b
MW
14190 if (((word >> 23) & 0x1) == 0)
14191 {
14192 if (((word >> 29) & 0x1) == 0)
14193 {
14194 /* 33222222222211111111110000000000
14195 10987654321098765432109876543210
7684e580 14196 xx0011100x1x1xx1100010xxxxxxxxxx
f3aa142b 14197 frintn. */
c2c4ff8d 14198 return 177;
f3aa142b
MW
14199 }
14200 else
14201 {
14202 /* 33222222222211111111110000000000
14203 10987654321098765432109876543210
7684e580 14204 xx1011100x1x1xx1100010xxxxxxxxxx
f3aa142b 14205 frinta. */
c2c4ff8d 14206 return 225;
f3aa142b
MW
14207 }
14208 }
14209 else
14210 {
14211 /* 33222222222211111111110000000000
14212 10987654321098765432109876543210
7684e580 14213 xxx011101x1x1xx1100010xxxxxxxxxx
f3aa142b 14214 frintp. */
c2c4ff8d 14215 return 197;
f3aa142b 14216 }
a06ea964
NC
14217 }
14218 }
14219 }
14220 else
14221 {
14222 if (((word >> 29) & 0x1) == 0)
14223 {
14224 if (((word >> 30) & 0x1) == 0)
14225 {
14226 /* 33222222222211111111110000000000
14227 10987654321098765432109876543210
7684e580 14228 x0011110xx1xxxxx100010xxxxxxxxxx
a06ea964 14229 fnmul. */
c2c4ff8d 14230 return 838;
a06ea964
NC
14231 }
14232 else
14233 {
14234 /* 33222222222211111111110000000000
14235 10987654321098765432109876543210
7684e580 14236 x1011110xx1xxxxx100010xxxxxxxxxx
a06ea964 14237 cmgt. */
c2c4ff8d 14238 return 476;
a06ea964
NC
14239 }
14240 }
14241 else
14242 {
14243 /* 33222222222211111111110000000000
14244 10987654321098765432109876543210
7684e580 14245 xx111110xx1xxxxx100010xxxxxxxxxx
a06ea964 14246 cmge. */
c2c4ff8d 14247 return 505;
a06ea964
NC
14248 }
14249 }
14250 }
14251 }
14252 else
14253 {
14254 if (((word >> 15) & 0x1) == 0)
14255 {
14256 if (((word >> 28) & 0x1) == 0)
14257 {
14258 if (((word >> 16) & 0x1) == 0)
14259 {
14260 if (((word >> 19) & 0x1) == 0)
14261 {
14262 if (((word >> 29) & 0x1) == 0)
14263 {
14264 /* 33222222222211111111110000000000
14265 10987654321098765432109876543210
7684e580 14266 xx001110xx1x0xx0010010xxxxxxxxxx
a06ea964 14267 cls. */
c2c4ff8d 14268 return 160;
a06ea964
NC
14269 }
14270 else
14271 {
14272 /* 33222222222211111111110000000000
14273 10987654321098765432109876543210
7684e580 14274 xx101110xx1x0xx0010010xxxxxxxxxx
a06ea964 14275 clz. */
c2c4ff8d 14276 return 210;
a06ea964
NC
14277 }
14278 }
14279 else
14280 {
14281 /* 33222222222211111111110000000000
14282 10987654321098765432109876543210
7684e580 14283 xxx01110xx1x1xx0010010xxxxxxxxxx
a06ea964 14284 aese. */
c2c4ff8d 14285 return 665;
a06ea964
NC
14286 }
14287 }
14288 else
14289 {
14290 if (((word >> 29) & 0x1) == 0)
14291 {
14292 if (((word >> 30) & 0x1) == 0)
14293 {
14294 /* 33222222222211111111110000000000
14295 10987654321098765432109876543210
7684e580 14296 x0001110xx1xxxx1010010xxxxxxxxxx
a06ea964 14297 sqxtn. */
c2c4ff8d 14298 return 170;
a06ea964
NC
14299 }
14300 else
14301 {
14302 /* 33222222222211111111110000000000
14303 10987654321098765432109876543210
7684e580 14304 x1001110xx1xxxx1010010xxxxxxxxxx
a06ea964 14305 sqxtn2. */
c2c4ff8d 14306 return 171;
a06ea964
NC
14307 }
14308 }
14309 else
14310 {
14311 if (((word >> 30) & 0x1) == 0)
14312 {
14313 /* 33222222222211111111110000000000
14314 10987654321098765432109876543210
7684e580 14315 x0101110xx1xxxx1010010xxxxxxxxxx
a06ea964 14316 uqxtn. */
c2c4ff8d 14317 return 220;
a06ea964
NC
14318 }
14319 else
14320 {
14321 /* 33222222222211111111110000000000
14322 10987654321098765432109876543210
7684e580 14323 x1101110xx1xxxx1010010xxxxxxxxxx
a06ea964 14324 uqxtn2. */
c2c4ff8d 14325 return 221;
a06ea964
NC
14326 }
14327 }
14328 }
14329 }
14330 else
14331 {
14332 if (((word >> 29) & 0x1) == 0)
14333 {
14334 if (((word >> 30) & 0x1) == 0)
14335 {
14336 /* 33222222222211111111110000000000
14337 10987654321098765432109876543210
7684e580 14338 x0011110xx1xxxxx010010xxxxxxxxxx
a06ea964 14339 fmax. */
c2c4ff8d 14340 return 830;
a06ea964
NC
14341 }
14342 else
14343 {
14344 /* 33222222222211111111110000000000
14345 10987654321098765432109876543210
7684e580 14346 x1011110xx1xxxxx010010xxxxxxxxxx
a06ea964 14347 sqxtn. */
c2c4ff8d 14348 return 480;
a06ea964
NC
14349 }
14350 }
14351 else
14352 {
14353 /* 33222222222211111111110000000000
14354 10987654321098765432109876543210
7684e580 14355 xx111110xx1xxxxx010010xxxxxxxxxx
a06ea964 14356 uqxtn. */
c2c4ff8d 14357 return 509;
a06ea964
NC
14358 }
14359 }
14360 }
14361 else
14362 {
14363 if (((word >> 16) & 0x1) == 0)
14364 {
f3aa142b 14365 if (((word >> 19) & 0x1) == 0)
a06ea964 14366 {
f3aa142b 14367 if (((word >> 20) & 0x1) == 0)
a06ea964 14368 {
f3aa142b 14369 if (((word >> 28) & 0x1) == 0)
a06ea964 14370 {
f3aa142b
MW
14371 if (((word >> 29) & 0x1) == 0)
14372 {
14373 /* 33222222222211111111110000000000
14374 10987654321098765432109876543210
7684e580 14375 xx001110xx100xx0110010xxxxxxxxxx
f3aa142b 14376 fcmgt. */
c2c4ff8d 14377 return 188;
f3aa142b
MW
14378 }
14379 else
14380 {
14381 /* 33222222222211111111110000000000
14382 10987654321098765432109876543210
7684e580 14383 xx101110xx100xx0110010xxxxxxxxxx
f3aa142b 14384 fcmge. */
c2c4ff8d 14385 return 239;
f3aa142b 14386 }
a06ea964
NC
14387 }
14388 else
14389 {
f3aa142b
MW
14390 if (((word >> 29) & 0x1) == 0)
14391 {
14392 /* 33222222222211111111110000000000
14393 10987654321098765432109876543210
7684e580 14394 xx011110xx100xx0110010xxxxxxxxxx
f3aa142b 14395 fcmgt. */
c2c4ff8d 14396 return 489;
f3aa142b
MW
14397 }
14398 else
14399 {
14400 /* 33222222222211111111110000000000
14401 10987654321098765432109876543210
7684e580 14402 xx111110xx100xx0110010xxxxxxxxxx
f3aa142b 14403 fcmge. */
c2c4ff8d 14404 return 519;
f3aa142b 14405 }
a06ea964
NC
14406 }
14407 }
14408 else
14409 {
f3aa142b 14410 if (((word >> 23) & 0x1) == 0)
a06ea964 14411 {
f3aa142b
MW
14412 if (((word >> 28) & 0x1) == 0)
14413 {
bb515fea
MW
14414 if (((word >> 29) & 0x1) == 0)
14415 {
14416 /* 33222222222211111111110000000000
14417 10987654321098765432109876543210
7684e580 14418 xx0011100x110xx0110010xxxxxxxxxx
bb515fea
MW
14419 fmaxnmv. */
14420 return 35;
14421 }
14422 else
14423 {
14424 /* 33222222222211111111110000000000
14425 10987654321098765432109876543210
7684e580 14426 xx1011100x110xx0110010xxxxxxxxxx
bb515fea
MW
14427 fmaxnmv. */
14428 return 34;
14429 }
f3aa142b
MW
14430 }
14431 else
14432 {
b195470d
MW
14433 if (((word >> 29) & 0x1) == 0)
14434 {
14435 /* 33222222222211111111110000000000
14436 10987654321098765432109876543210
7684e580 14437 xx0111100x110xx0110010xxxxxxxxxx
b195470d 14438 fmaxnmp. */
c2c4ff8d 14439 return 533;
b195470d
MW
14440 }
14441 else
14442 {
14443 /* 33222222222211111111110000000000
14444 10987654321098765432109876543210
7684e580 14445 xx1111100x110xx0110010xxxxxxxxxx
b195470d 14446 fmaxnmp. */
c2c4ff8d 14447 return 532;
b195470d 14448 }
f3aa142b 14449 }
a06ea964
NC
14450 }
14451 else
14452 {
f3aa142b
MW
14453 if (((word >> 28) & 0x1) == 0)
14454 {
bb515fea
MW
14455 if (((word >> 29) & 0x1) == 0)
14456 {
14457 /* 33222222222211111111110000000000
14458 10987654321098765432109876543210
7684e580 14459 xx0011101x110xx0110010xxxxxxxxxx
bb515fea
MW
14460 fminnmv. */
14461 return 39;
14462 }
14463 else
14464 {
14465 /* 33222222222211111111110000000000
14466 10987654321098765432109876543210
7684e580 14467 xx1011101x110xx0110010xxxxxxxxxx
bb515fea
MW
14468 fminnmv. */
14469 return 38;
14470 }
f3aa142b
MW
14471 }
14472 else
14473 {
b195470d
MW
14474 if (((word >> 29) & 0x1) == 0)
14475 {
14476 /* 33222222222211111111110000000000
14477 10987654321098765432109876543210
7684e580 14478 xx0111101x110xx0110010xxxxxxxxxx
b195470d 14479 fminnmp. */
c2c4ff8d 14480 return 539;
b195470d
MW
14481 }
14482 else
14483 {
14484 /* 33222222222211111111110000000000
14485 10987654321098765432109876543210
7684e580 14486 xx1111101x110xx0110010xxxxxxxxxx
b195470d 14487 fminnmp. */
c2c4ff8d 14488 return 538;
b195470d 14489 }
f3aa142b 14490 }
a06ea964
NC
14491 }
14492 }
14493 }
14494 else
f3aa142b 14495 {
80776b29 14496 if (((word >> 28) & 0x1) == 0)
f3aa142b 14497 {
80776b29
MW
14498 if (((word >> 29) & 0x1) == 0)
14499 {
14500 /* 33222222222211111111110000000000
14501 10987654321098765432109876543210
7684e580 14502 xx001110xx1x1xx0110010xxxxxxxxxx
80776b29 14503 fcmgt. */
c2c4ff8d 14504 return 189;
80776b29
MW
14505 }
14506 else
14507 {
14508 /* 33222222222211111111110000000000
14509 10987654321098765432109876543210
7684e580 14510 xx101110xx1x1xx0110010xxxxxxxxxx
80776b29 14511 fcmge. */
c2c4ff8d 14512 return 240;
80776b29 14513 }
f3aa142b
MW
14514 }
14515 else
14516 {
80776b29
MW
14517 if (((word >> 29) & 0x1) == 0)
14518 {
14519 /* 33222222222211111111110000000000
14520 10987654321098765432109876543210
7684e580 14521 xx011110xx1x1xx0110010xxxxxxxxxx
80776b29 14522 fcmgt. */
c2c4ff8d 14523 return 490;
80776b29
MW
14524 }
14525 else
14526 {
14527 /* 33222222222211111111110000000000
14528 10987654321098765432109876543210
7684e580 14529 xx111110xx1x1xx0110010xxxxxxxxxx
80776b29 14530 fcmge. */
c2c4ff8d 14531 return 520;
80776b29 14532 }
f3aa142b
MW
14533 }
14534 }
14535 }
14536 else
14537 {
14538 if (((word >> 19) & 0x1) == 0)
a06ea964
NC
14539 {
14540 if (((word >> 23) & 0x1) == 0)
14541 {
14542 if (((word >> 28) & 0x1) == 0)
14543 {
f3aa142b
MW
14544 if (((word >> 29) & 0x1) == 0)
14545 {
14546 /* 33222222222211111111110000000000
14547 10987654321098765432109876543210
7684e580 14548 xx0011100x1x0xx1110010xxxxxxxxxx
f3aa142b 14549 fcvtas. */
c2c4ff8d 14550 return 184;
f3aa142b
MW
14551 }
14552 else
14553 {
14554 /* 33222222222211111111110000000000
14555 10987654321098765432109876543210
7684e580 14556 xx1011100x1x0xx1110010xxxxxxxxxx
f3aa142b 14557 fcvtau. */
c2c4ff8d 14558 return 232;
f3aa142b 14559 }
a06ea964
NC
14560 }
14561 else
14562 {
f3aa142b
MW
14563 if (((word >> 29) & 0x1) == 0)
14564 {
14565 /* 33222222222211111111110000000000
14566 10987654321098765432109876543210
7684e580 14567 xx0111100x1x0xx1110010xxxxxxxxxx
f3aa142b 14568 fcvtas. */
c2c4ff8d 14569 return 485;
f3aa142b
MW
14570 }
14571 else
14572 {
14573 /* 33222222222211111111110000000000
14574 10987654321098765432109876543210
7684e580 14575 xx1111100x1x0xx1110010xxxxxxxxxx
f3aa142b 14576 fcvtau. */
c2c4ff8d 14577 return 515;
f3aa142b 14578 }
a06ea964
NC
14579 }
14580 }
14581 else
14582 {
f3aa142b 14583 if (((word >> 29) & 0x1) == 0)
a06ea964
NC
14584 {
14585 /* 33222222222211111111110000000000
14586 10987654321098765432109876543210
7684e580 14587 xx0x11101x1x0xx1110010xxxxxxxxxx
f3aa142b 14588 urecpe. */
c2c4ff8d 14589 return 204;
a06ea964
NC
14590 }
14591 else
14592 {
14593 /* 33222222222211111111110000000000
14594 10987654321098765432109876543210
7684e580 14595 xx1x11101x1x0xx1110010xxxxxxxxxx
f3aa142b 14596 ursqrte. */
c2c4ff8d 14597 return 251;
a06ea964
NC
14598 }
14599 }
14600 }
f3aa142b 14601 else
a06ea964 14602 {
80776b29 14603 if (((word >> 28) & 0x1) == 0)
a06ea964 14604 {
80776b29
MW
14605 if (((word >> 29) & 0x1) == 0)
14606 {
14607 /* 33222222222211111111110000000000
14608 10987654321098765432109876543210
7684e580 14609 xx001110xx1x1xx1110010xxxxxxxxxx
80776b29 14610 fcvtas. */
c2c4ff8d 14611 return 185;
80776b29
MW
14612 }
14613 else
14614 {
14615 /* 33222222222211111111110000000000
14616 10987654321098765432109876543210
7684e580 14617 xx101110xx1x1xx1110010xxxxxxxxxx
80776b29 14618 fcvtau. */
c2c4ff8d 14619 return 233;
80776b29 14620 }
a06ea964
NC
14621 }
14622 else
14623 {
80776b29
MW
14624 if (((word >> 29) & 0x1) == 0)
14625 {
14626 /* 33222222222211111111110000000000
14627 10987654321098765432109876543210
7684e580 14628 xx011110xx1x1xx1110010xxxxxxxxxx
80776b29 14629 fcvtas. */
c2c4ff8d 14630 return 486;
80776b29
MW
14631 }
14632 else
14633 {
14634 /* 33222222222211111111110000000000
14635 10987654321098765432109876543210
7684e580 14636 xx111110xx1x1xx1110010xxxxxxxxxx
80776b29 14637 fcvtau. */
c2c4ff8d 14638 return 516;
80776b29 14639 }
a06ea964
NC
14640 }
14641 }
14642 }
14643 }
14644 }
14645 }
14646 else
14647 {
14648 if (((word >> 14) & 0x1) == 0)
14649 {
14650 if (((word >> 15) & 0x1) == 0)
14651 {
14652 if (((word >> 28) & 0x1) == 0)
14653 {
14654 if (((word >> 16) & 0x1) == 0)
14655 {
14656 if (((word >> 29) & 0x1) == 0)
14657 {
14658 /* 33222222222211111111110000000000
14659 10987654321098765432109876543210
7684e580 14660 xx001110xx1xxxx0001010xxxxxxxxxx
a06ea964 14661 saddlp. */
c2c4ff8d 14662 return 158;
a06ea964
NC
14663 }
14664 else
14665 {
14666 /* 33222222222211111111110000000000
14667 10987654321098765432109876543210
7684e580 14668 xx101110xx1xxxx0001010xxxxxxxxxx
a06ea964 14669 uaddlp. */
c2c4ff8d 14670 return 208;
a06ea964
NC
14671 }
14672 }
14673 else
14674 {
14675 if (((word >> 29) & 0x1) == 0)
14676 {
14677 if (((word >> 30) & 0x1) == 0)
14678 {
14679 /* 33222222222211111111110000000000
14680 10987654321098765432109876543210
7684e580 14681 x0001110xx1xxxx1001010xxxxxxxxxx
a06ea964 14682 xtn. */
c2c4ff8d 14683 return 168;
a06ea964
NC
14684 }
14685 else
14686 {
14687 /* 33222222222211111111110000000000
14688 10987654321098765432109876543210
7684e580 14689 x1001110xx1xxxx1001010xxxxxxxxxx
a06ea964 14690 xtn2. */
c2c4ff8d 14691 return 169;
a06ea964
NC
14692 }
14693 }
14694 else
14695 {
14696 if (((word >> 30) & 0x1) == 0)
14697 {
14698 /* 33222222222211111111110000000000
14699 10987654321098765432109876543210
7684e580 14700 x0101110xx1xxxx1001010xxxxxxxxxx
a06ea964 14701 sqxtun. */
c2c4ff8d 14702 return 216;
a06ea964
NC
14703 }
14704 else
14705 {
14706 /* 33222222222211111111110000000000
14707 10987654321098765432109876543210
7684e580 14708 x1101110xx1xxxx1001010xxxxxxxxxx
a06ea964 14709 sqxtun2. */
c2c4ff8d 14710 return 217;
a06ea964
NC
14711 }
14712 }
14713 }
14714 }
14715 else
14716 {
14717 if (((word >> 29) & 0x1) == 0)
14718 {
14719 if (((word >> 30) & 0x1) == 0)
14720 {
14721 /* 33222222222211111111110000000000
14722 10987654321098765432109876543210
7684e580 14723 x0011110xx1xxxxx001010xxxxxxxxxx
a06ea964 14724 fadd. */
c2c4ff8d 14725 return 826;
a06ea964
NC
14726 }
14727 else
14728 {
14729 /* 33222222222211111111110000000000
14730 10987654321098765432109876543210
7684e580 14731 x1011110xx1xxxxx001010xxxxxxxxxx
a06ea964 14732 sha256su0. */
c2c4ff8d 14733 return 671;
a06ea964
NC
14734 }
14735 }
14736 else
14737 {
14738 /* 33222222222211111111110000000000
14739 10987654321098765432109876543210
7684e580 14740 xx111110xx1xxxxx001010xxxxxxxxxx
a06ea964 14741 sqxtun. */
c2c4ff8d 14742 return 508;
a06ea964
NC
14743 }
14744 }
14745 }
14746 else
14747 {
14748 if (((word >> 16) & 0x1) == 0)
14749 {
14750 if (((word >> 20) & 0x1) == 0)
14751 {
14752 if (((word >> 28) & 0x1) == 0)
14753 {
14754 /* 33222222222211111111110000000000
14755 10987654321098765432109876543210
7684e580 14756 xxx01110xx10xxx0101010xxxxxxxxxx
a06ea964 14757 cmlt. */
c2c4ff8d 14758 return 166;
a06ea964
NC
14759 }
14760 else
14761 {
14762 /* 33222222222211111111110000000000
14763 10987654321098765432109876543210
7684e580 14764 xxx11110xx10xxx0101010xxxxxxxxxx
a06ea964 14765 cmlt. */
c2c4ff8d 14766 return 478;
a06ea964
NC
14767 }
14768 }
14769 else
14770 {
14771 if (((word >> 29) & 0x1) == 0)
14772 {
14773 /* 33222222222211111111110000000000
14774 10987654321098765432109876543210
7684e580 14775 xx0x1110xx11xxx0101010xxxxxxxxxx
a06ea964
NC
14776 smaxv. */
14777 return 28;
14778 }
14779 else
14780 {
14781 /* 33222222222211111111110000000000
14782 10987654321098765432109876543210
7684e580 14783 xx1x1110xx11xxx0101010xxxxxxxxxx
a06ea964
NC
14784 umaxv. */
14785 return 32;
14786 }
14787 }
14788 }
14789 else
14790 {
f3aa142b 14791 if (((word >> 19) & 0x1) == 0)
a06ea964 14792 {
f3aa142b 14793 if (((word >> 20) & 0x1) == 0)
a06ea964 14794 {
f3aa142b 14795 if (((word >> 23) & 0x1) == 0)
a06ea964 14796 {
f3aa142b 14797 if (((word >> 28) & 0x1) == 0)
a06ea964 14798 {
f3aa142b
MW
14799 if (((word >> 29) & 0x1) == 0)
14800 {
14801 /* 33222222222211111111110000000000
14802 10987654321098765432109876543210
7684e580 14803 xx0011100x100xx1101010xxxxxxxxxx
f3aa142b 14804 fcvtns. */
c2c4ff8d 14805 return 180;
f3aa142b
MW
14806 }
14807 else
14808 {
14809 /* 33222222222211111111110000000000
14810 10987654321098765432109876543210
7684e580 14811 xx1011100x100xx1101010xxxxxxxxxx
f3aa142b 14812 fcvtnu. */
c2c4ff8d 14813 return 228;
f3aa142b 14814 }
a06ea964
NC
14815 }
14816 else
14817 {
f3aa142b
MW
14818 if (((word >> 29) & 0x1) == 0)
14819 {
14820 /* 33222222222211111111110000000000
14821 10987654321098765432109876543210
7684e580 14822 xx0111100x100xx1101010xxxxxxxxxx
f3aa142b 14823 fcvtns. */
c2c4ff8d 14824 return 481;
f3aa142b
MW
14825 }
14826 else
14827 {
14828 /* 33222222222211111111110000000000
14829 10987654321098765432109876543210
7684e580 14830 xx1111100x100xx1101010xxxxxxxxxx
f3aa142b 14831 fcvtnu. */
c2c4ff8d 14832 return 511;
f3aa142b 14833 }
a06ea964
NC
14834 }
14835 }
14836 else
14837 {
f3aa142b 14838 if (((word >> 28) & 0x1) == 0)
a06ea964 14839 {
f3aa142b
MW
14840 if (((word >> 29) & 0x1) == 0)
14841 {
14842 /* 33222222222211111111110000000000
14843 10987654321098765432109876543210
7684e580 14844 xx0011101x100xx1101010xxxxxxxxxx
f3aa142b 14845 fcvtps. */
c2c4ff8d 14846 return 200;
f3aa142b
MW
14847 }
14848 else
14849 {
14850 /* 33222222222211111111110000000000
14851 10987654321098765432109876543210
7684e580 14852 xx1011101x100xx1101010xxxxxxxxxx
f3aa142b 14853 fcvtpu. */
c2c4ff8d 14854 return 247;
f3aa142b 14855 }
a06ea964
NC
14856 }
14857 else
14858 {
f3aa142b
MW
14859 if (((word >> 29) & 0x1) == 0)
14860 {
14861 /* 33222222222211111111110000000000
14862 10987654321098765432109876543210
7684e580 14863 xx0111101x100xx1101010xxxxxxxxxx
f3aa142b 14864 fcvtps. */
c2c4ff8d 14865 return 495;
f3aa142b
MW
14866 }
14867 else
14868 {
14869 /* 33222222222211111111110000000000
14870 10987654321098765432109876543210
7684e580 14871 xx1111101x100xx1101010xxxxxxxxxx
f3aa142b 14872 fcvtpu. */
c2c4ff8d 14873 return 523;
f3aa142b 14874 }
a06ea964
NC
14875 }
14876 }
14877 }
14878 else
14879 {
f3aa142b 14880 if (((word >> 29) & 0x1) == 0)
a06ea964 14881 {
f3aa142b
MW
14882 /* 33222222222211111111110000000000
14883 10987654321098765432109876543210
7684e580 14884 xx0x1110xx110xx1101010xxxxxxxxxx
f3aa142b
MW
14885 sminv. */
14886 return 29;
a06ea964
NC
14887 }
14888 else
14889 {
f3aa142b
MW
14890 /* 33222222222211111111110000000000
14891 10987654321098765432109876543210
7684e580 14892 xx1x1110xx110xx1101010xxxxxxxxxx
f3aa142b
MW
14893 uminv. */
14894 return 33;
a06ea964
NC
14895 }
14896 }
14897 }
14898 else
14899 {
f3aa142b 14900 if (((word >> 23) & 0x1) == 0)
a06ea964 14901 {
80776b29 14902 if (((word >> 28) & 0x1) == 0)
f3aa142b 14903 {
80776b29
MW
14904 if (((word >> 29) & 0x1) == 0)
14905 {
14906 /* 33222222222211111111110000000000
14907 10987654321098765432109876543210
7684e580 14908 xx0011100x1x1xx1101010xxxxxxxxxx
80776b29 14909 fcvtns. */
c2c4ff8d 14910 return 181;
80776b29
MW
14911 }
14912 else
14913 {
14914 /* 33222222222211111111110000000000
14915 10987654321098765432109876543210
7684e580 14916 xx1011100x1x1xx1101010xxxxxxxxxx
80776b29 14917 fcvtnu. */
c2c4ff8d 14918 return 229;
80776b29 14919 }
f3aa142b
MW
14920 }
14921 else
14922 {
80776b29
MW
14923 if (((word >> 29) & 0x1) == 0)
14924 {
14925 /* 33222222222211111111110000000000
14926 10987654321098765432109876543210
7684e580 14927 xx0111100x1x1xx1101010xxxxxxxxxx
80776b29 14928 fcvtns. */
c2c4ff8d 14929 return 482;
80776b29
MW
14930 }
14931 else
14932 {
14933 /* 33222222222211111111110000000000
14934 10987654321098765432109876543210
7684e580 14935 xx1111100x1x1xx1101010xxxxxxxxxx
80776b29 14936 fcvtnu. */
c2c4ff8d 14937 return 512;
80776b29 14938 }
f3aa142b 14939 }
a06ea964
NC
14940 }
14941 else
14942 {
80776b29 14943 if (((word >> 28) & 0x1) == 0)
f3aa142b 14944 {
80776b29
MW
14945 if (((word >> 29) & 0x1) == 0)
14946 {
14947 /* 33222222222211111111110000000000
14948 10987654321098765432109876543210
7684e580 14949 xx0011101x1x1xx1101010xxxxxxxxxx
80776b29 14950 fcvtps. */
c2c4ff8d 14951 return 201;
80776b29
MW
14952 }
14953 else
14954 {
14955 /* 33222222222211111111110000000000
14956 10987654321098765432109876543210
7684e580 14957 xx1011101x1x1xx1101010xxxxxxxxxx
80776b29 14958 fcvtpu. */
c2c4ff8d 14959 return 248;
80776b29 14960 }
f3aa142b
MW
14961 }
14962 else
14963 {
80776b29
MW
14964 if (((word >> 29) & 0x1) == 0)
14965 {
14966 /* 33222222222211111111110000000000
14967 10987654321098765432109876543210
7684e580 14968 xx0111101x1x1xx1101010xxxxxxxxxx
80776b29 14969 fcvtps. */
c2c4ff8d 14970 return 496;
80776b29
MW
14971 }
14972 else
14973 {
14974 /* 33222222222211111111110000000000
14975 10987654321098765432109876543210
7684e580 14976 xx1111101x1x1xx1101010xxxxxxxxxx
80776b29 14977 fcvtpu. */
c2c4ff8d 14978 return 524;
80776b29 14979 }
f3aa142b 14980 }
a06ea964
NC
14981 }
14982 }
14983 }
14984 }
14985 }
14986 else
14987 {
14988 if (((word >> 15) & 0x1) == 0)
14989 {
14990 if (((word >> 28) & 0x1) == 0)
14991 {
14992 if (((word >> 16) & 0x1) == 0)
14993 {
14994 if (((word >> 19) & 0x1) == 0)
14995 {
14996 if (((word >> 29) & 0x1) == 0)
14997 {
14998 /* 33222222222211111111110000000000
14999 10987654321098765432109876543210
7684e580 15000 xx001110xx1x0xx0011010xxxxxxxxxx
a06ea964 15001 sadalp. */
c2c4ff8d 15002 return 162;
a06ea964
NC
15003 }
15004 else
15005 {
15006 /* 33222222222211111111110000000000
15007 10987654321098765432109876543210
7684e580 15008 xx101110xx1x0xx0011010xxxxxxxxxx
a06ea964 15009 uadalp. */
c2c4ff8d 15010 return 211;
a06ea964
NC
15011 }
15012 }
15013 else
15014 {
15015 /* 33222222222211111111110000000000
15016 10987654321098765432109876543210
7684e580 15017 xxx01110xx1x1xx0011010xxxxxxxxxx
a06ea964 15018 aesmc. */
c2c4ff8d 15019 return 667;
a06ea964
NC
15020 }
15021 }
15022 else
15023 {
15024 if (((word >> 29) & 0x1) == 0)
15025 {
15026 if (((word >> 30) & 0x1) == 0)
15027 {
15028 /* 33222222222211111111110000000000
15029 10987654321098765432109876543210
7684e580 15030 x0001110xx1xxxx1011010xxxxxxxxxx
a06ea964 15031 fcvtn. */
c2c4ff8d 15032 return 172;
a06ea964
NC
15033 }
15034 else
15035 {
15036 /* 33222222222211111111110000000000
15037 10987654321098765432109876543210
7684e580 15038 x1001110xx1xxxx1011010xxxxxxxxxx
a06ea964 15039 fcvtn2. */
c2c4ff8d 15040 return 173;
a06ea964
NC
15041 }
15042 }
15043 else
15044 {
15045 if (((word >> 30) & 0x1) == 0)
15046 {
15047 /* 33222222222211111111110000000000
15048 10987654321098765432109876543210
7684e580 15049 x0101110xx1xxxx1011010xxxxxxxxxx
a06ea964 15050 fcvtxn. */
c2c4ff8d 15051 return 222;
a06ea964
NC
15052 }
15053 else
15054 {
15055 /* 33222222222211111111110000000000
15056 10987654321098765432109876543210
7684e580 15057 x1101110xx1xxxx1011010xxxxxxxxxx
a06ea964 15058 fcvtxn2. */
c2c4ff8d 15059 return 223;
a06ea964
NC
15060 }
15061 }
15062 }
15063 }
15064 else
15065 {
15066 if (((word >> 29) & 0x1) == 0)
15067 {
15068 /* 33222222222211111111110000000000
15069 10987654321098765432109876543210
7684e580 15070 xx011110xx1xxxxx011010xxxxxxxxxx
a06ea964 15071 fmaxnm. */
c2c4ff8d 15072 return 834;
a06ea964
NC
15073 }
15074 else
15075 {
15076 /* 33222222222211111111110000000000
15077 10987654321098765432109876543210
7684e580 15078 xx111110xx1xxxxx011010xxxxxxxxxx
a06ea964 15079 fcvtxn. */
c2c4ff8d 15080 return 510;
a06ea964
NC
15081 }
15082 }
15083 }
15084 else
15085 {
f3aa142b 15086 if (((word >> 19) & 0x1) == 0)
a06ea964 15087 {
f3aa142b
MW
15088 if (((word >> 28) & 0x1) == 0)
15089 {
15090 /* 33222222222211111111110000000000
15091 10987654321098765432109876543210
7684e580 15092 xxx01110xx1x0xxx111010xxxxxxxxxx
f3aa142b 15093 fcmlt. */
c2c4ff8d 15094 return 192;
f3aa142b
MW
15095 }
15096 else
15097 {
15098 /* 33222222222211111111110000000000
15099 10987654321098765432109876543210
7684e580 15100 xxx11110xx1x0xxx111010xxxxxxxxxx
f3aa142b 15101 fcmlt. */
c2c4ff8d 15102 return 493;
f3aa142b 15103 }
a06ea964
NC
15104 }
15105 else
15106 {
80776b29
MW
15107 if (((word >> 28) & 0x1) == 0)
15108 {
15109 /* 33222222222211111111110000000000
15110 10987654321098765432109876543210
7684e580 15111 xxx01110xx1x1xxx111010xxxxxxxxxx
80776b29 15112 fcmlt. */
c2c4ff8d 15113 return 193;
80776b29
MW
15114 }
15115 else
15116 {
15117 /* 33222222222211111111110000000000
15118 10987654321098765432109876543210
7684e580 15119 xxx11110xx1x1xxx111010xxxxxxxxxx
80776b29 15120 fcmlt. */
c2c4ff8d 15121 return 494;
80776b29 15122 }
a06ea964
NC
15123 }
15124 }
15125 }
15126 }
15127 }
15128 else
15129 {
15130 if (((word >> 13) & 0x1) == 0)
15131 {
15132 if (((word >> 14) & 0x1) == 0)
15133 {
15134 if (((word >> 15) & 0x1) == 0)
15135 {
15136 if (((word >> 28) & 0x1) == 0)
15137 {
15138 /* 33222222222211111111110000000000
15139 10987654321098765432109876543210
7684e580 15140 xxx01110xx1xxxxx000110xxxxxxxxxx
a06ea964 15141 rev16. */
c2c4ff8d 15142 return 157;
a06ea964
NC
15143 }
15144 else
15145 {
15146 if (((word >> 30) & 0x1) == 0)
15147 {
15148 /* 33222222222211111111110000000000
15149 10987654321098765432109876543210
7684e580 15150 x0x11110xx1xxxxx000110xxxxxxxxxx
a06ea964 15151 fdiv. */
c2c4ff8d 15152 return 824;
a06ea964
NC
15153 }
15154 else
15155 {
15156 /* 33222222222211111111110000000000
15157 10987654321098765432109876543210
7684e580 15158 x1x11110xx1xxxxx000110xxxxxxxxxx
a06ea964 15159 sha1su1. */
c2c4ff8d 15160 return 670;
a06ea964
NC
15161 }
15162 }
15163 }
15164 else
15165 {
15166 if (((word >> 16) & 0x1) == 0)
15167 {
15168 if (((word >> 28) & 0x1) == 0)
15169 {
15170 if (((word >> 29) & 0x1) == 0)
15171 {
15172 /* 33222222222211111111110000000000
15173 10987654321098765432109876543210
7684e580 15174 xx001110xx1xxxx0100110xxxxxxxxxx
a06ea964 15175 cmeq. */
c2c4ff8d 15176 return 165;
a06ea964
NC
15177 }
15178 else
15179 {
15180 /* 33222222222211111111110000000000
15181 10987654321098765432109876543210
7684e580 15182 xx101110xx1xxxx0100110xxxxxxxxxx
a06ea964 15183 cmle. */
c2c4ff8d 15184 return 214;
a06ea964
NC
15185 }
15186 }
15187 else
15188 {
15189 if (((word >> 29) & 0x1) == 0)
15190 {
15191 /* 33222222222211111111110000000000
15192 10987654321098765432109876543210
7684e580 15193 xx011110xx1xxxx0100110xxxxxxxxxx
a06ea964 15194 cmeq. */
c2c4ff8d 15195 return 477;
a06ea964
NC
15196 }
15197 else
15198 {
15199 /* 33222222222211111111110000000000
15200 10987654321098765432109876543210
7684e580 15201 xx111110xx1xxxx0100110xxxxxxxxxx
a06ea964 15202 cmle. */
c2c4ff8d 15203 return 506;
a06ea964
NC
15204 }
15205 }
15206 }
15207 else
15208 {
f3aa142b 15209 if (((word >> 19) & 0x1) == 0)
a06ea964 15210 {
f3aa142b 15211 if (((word >> 23) & 0x1) == 0)
a06ea964 15212 {
f3aa142b
MW
15213 if (((word >> 29) & 0x1) == 0)
15214 {
15215 /* 33222222222211111111110000000000
15216 10987654321098765432109876543210
7684e580 15217 xx0x11100x1x0xx1100110xxxxxxxxxx
f3aa142b 15218 frintm. */
c2c4ff8d 15219 return 178;
f3aa142b
MW
15220 }
15221 else
15222 {
15223 /* 33222222222211111111110000000000
15224 10987654321098765432109876543210
7684e580 15225 xx1x11100x1x0xx1100110xxxxxxxxxx
f3aa142b 15226 frintx. */
c2c4ff8d 15227 return 226;
f3aa142b 15228 }
a06ea964
NC
15229 }
15230 else
15231 {
f3aa142b
MW
15232 if (((word >> 29) & 0x1) == 0)
15233 {
15234 /* 33222222222211111111110000000000
15235 10987654321098765432109876543210
7684e580 15236 xx0x11101x1x0xx1100110xxxxxxxxxx
f3aa142b 15237 frintz. */
c2c4ff8d 15238 return 198;
f3aa142b
MW
15239 }
15240 else
15241 {
15242 /* 33222222222211111111110000000000
15243 10987654321098765432109876543210
7684e580 15244 xx1x11101x1x0xx1100110xxxxxxxxxx
f3aa142b 15245 frinti. */
c2c4ff8d 15246 return 245;
f3aa142b 15247 }
a06ea964
NC
15248 }
15249 }
15250 else
15251 {
f3aa142b 15252 if (((word >> 23) & 0x1) == 0)
a06ea964 15253 {
f3aa142b
MW
15254 if (((word >> 29) & 0x1) == 0)
15255 {
15256 /* 33222222222211111111110000000000
15257 10987654321098765432109876543210
7684e580 15258 xx0x11100x1x1xx1100110xxxxxxxxxx
f3aa142b 15259 frintm. */
c2c4ff8d 15260 return 179;
f3aa142b
MW
15261 }
15262 else
15263 {
15264 /* 33222222222211111111110000000000
15265 10987654321098765432109876543210
7684e580 15266 xx1x11100x1x1xx1100110xxxxxxxxxx
f3aa142b 15267 frintx. */
c2c4ff8d 15268 return 227;
f3aa142b 15269 }
a06ea964
NC
15270 }
15271 else
15272 {
f3aa142b
MW
15273 if (((word >> 29) & 0x1) == 0)
15274 {
15275 /* 33222222222211111111110000000000
15276 10987654321098765432109876543210
7684e580 15277 xx0x11101x1x1xx1100110xxxxxxxxxx
f3aa142b 15278 frintz. */
c2c4ff8d 15279 return 199;
f3aa142b
MW
15280 }
15281 else
15282 {
15283 /* 33222222222211111111110000000000
15284 10987654321098765432109876543210
7684e580 15285 xx1x11101x1x1xx1100110xxxxxxxxxx
f3aa142b 15286 frinti. */
c2c4ff8d 15287 return 246;
f3aa142b 15288 }
a06ea964
NC
15289 }
15290 }
15291 }
15292 }
15293 }
15294 else
15295 {
15296 if (((word >> 15) & 0x1) == 0)
15297 {
15298 if (((word >> 28) & 0x1) == 0)
15299 {
15300 if (((word >> 19) & 0x1) == 0)
15301 {
15302 if (((word >> 29) & 0x1) == 0)
15303 {
15304 /* 33222222222211111111110000000000
15305 10987654321098765432109876543210
7684e580 15306 xx001110xx1x0xxx010110xxxxxxxxxx
a06ea964 15307 cnt. */
c2c4ff8d 15308 return 161;
a06ea964
NC
15309 }
15310 else
15311 {
15312 if (((word >> 22) & 0x1) == 0)
15313 {
15314 /* 33222222222211111111110000000000
15315 10987654321098765432109876543210
7684e580 15316 xx101110x01x0xxx010110xxxxxxxxxx
a06ea964 15317 not. */
c2c4ff8d 15318 return 236;
a06ea964
NC
15319 }
15320 else
15321 {
15322 /* 33222222222211111111110000000000
15323 10987654321098765432109876543210
7684e580 15324 xx101110x11x0xxx010110xxxxxxxxxx
a06ea964 15325 rbit. */
c2c4ff8d 15326 return 238;
a06ea964
NC
15327 }
15328 }
15329 }
15330 else
15331 {
15332 /* 33222222222211111111110000000000
15333 10987654321098765432109876543210
7684e580 15334 xxx01110xx1x1xxx010110xxxxxxxxxx
a06ea964 15335 aesd. */
c2c4ff8d 15336 return 666;
a06ea964
NC
15337 }
15338 }
15339 else
15340 {
15341 /* 33222222222211111111110000000000
15342 10987654321098765432109876543210
7684e580 15343 xxx11110xx1xxxxx010110xxxxxxxxxx
a06ea964 15344 fmin. */
c2c4ff8d 15345 return 832;
a06ea964
NC
15346 }
15347 }
15348 else
15349 {
15350 if (((word >> 16) & 0x1) == 0)
15351 {
f3aa142b 15352 if (((word >> 19) & 0x1) == 0)
a06ea964 15353 {
f3aa142b 15354 if (((word >> 20) & 0x1) == 0)
a06ea964 15355 {
f3aa142b 15356 if (((word >> 28) & 0x1) == 0)
a06ea964 15357 {
f3aa142b
MW
15358 if (((word >> 29) & 0x1) == 0)
15359 {
15360 /* 33222222222211111111110000000000
15361 10987654321098765432109876543210
7684e580 15362 xx001110xx100xx0110110xxxxxxxxxx
f3aa142b 15363 fcmeq. */
c2c4ff8d 15364 return 190;
f3aa142b
MW
15365 }
15366 else
15367 {
15368 /* 33222222222211111111110000000000
15369 10987654321098765432109876543210
7684e580 15370 xx101110xx100xx0110110xxxxxxxxxx
f3aa142b 15371 fcmle. */
c2c4ff8d 15372 return 241;
f3aa142b 15373 }
a06ea964
NC
15374 }
15375 else
15376 {
f3aa142b
MW
15377 if (((word >> 29) & 0x1) == 0)
15378 {
15379 /* 33222222222211111111110000000000
15380 10987654321098765432109876543210
7684e580 15381 xx011110xx100xx0110110xxxxxxxxxx
f3aa142b 15382 fcmeq. */
c2c4ff8d 15383 return 491;
f3aa142b
MW
15384 }
15385 else
15386 {
15387 /* 33222222222211111111110000000000
15388 10987654321098765432109876543210
7684e580 15389 xx111110xx100xx0110110xxxxxxxxxx
f3aa142b 15390 fcmle. */
c2c4ff8d 15391 return 521;
f3aa142b 15392 }
a06ea964
NC
15393 }
15394 }
15395 else
15396 {
b195470d
MW
15397 if (((word >> 29) & 0x1) == 0)
15398 {
15399 /* 33222222222211111111110000000000
15400 10987654321098765432109876543210
7684e580 15401 xx0x1110xx110xx0110110xxxxxxxxxx
b195470d 15402 faddp. */
c2c4ff8d 15403 return 535;
b195470d
MW
15404 }
15405 else
15406 {
15407 /* 33222222222211111111110000000000
15408 10987654321098765432109876543210
7684e580 15409 xx1x1110xx110xx0110110xxxxxxxxxx
b195470d 15410 faddp. */
c2c4ff8d 15411 return 534;
b195470d 15412 }
a06ea964
NC
15413 }
15414 }
15415 else
15416 {
80776b29 15417 if (((word >> 28) & 0x1) == 0)
f3aa142b 15418 {
80776b29
MW
15419 if (((word >> 29) & 0x1) == 0)
15420 {
15421 /* 33222222222211111111110000000000
15422 10987654321098765432109876543210
7684e580 15423 xx001110xx1x1xx0110110xxxxxxxxxx
80776b29 15424 fcmeq. */
c2c4ff8d 15425 return 191;
80776b29
MW
15426 }
15427 else
15428 {
15429 /* 33222222222211111111110000000000
15430 10987654321098765432109876543210
7684e580 15431 xx101110xx1x1xx0110110xxxxxxxxxx
80776b29 15432 fcmle. */
c2c4ff8d 15433 return 242;
80776b29 15434 }
f3aa142b
MW
15435 }
15436 else
15437 {
80776b29
MW
15438 if (((word >> 29) & 0x1) == 0)
15439 {
15440 /* 33222222222211111111110000000000
15441 10987654321098765432109876543210
7684e580 15442 xx011110xx1x1xx0110110xxxxxxxxxx
80776b29 15443 fcmeq. */
c2c4ff8d 15444 return 492;
80776b29
MW
15445 }
15446 else
15447 {
15448 /* 33222222222211111111110000000000
15449 10987654321098765432109876543210
7684e580 15450 xx111110xx1x1xx0110110xxxxxxxxxx
80776b29 15451 fcmle. */
c2c4ff8d 15452 return 522;
80776b29 15453 }
f3aa142b 15454 }
a06ea964
NC
15455 }
15456 }
15457 else
15458 {
f3aa142b 15459 if (((word >> 19) & 0x1) == 0)
a06ea964 15460 {
f3aa142b 15461 if (((word >> 23) & 0x1) == 0)
a06ea964 15462 {
f3aa142b 15463 if (((word >> 28) & 0x1) == 0)
a06ea964 15464 {
f3aa142b
MW
15465 if (((word >> 29) & 0x1) == 0)
15466 {
15467 /* 33222222222211111111110000000000
15468 10987654321098765432109876543210
7684e580 15469 xx0011100x1x0xx1110110xxxxxxxxxx
f3aa142b 15470 scvtf. */
c2c4ff8d 15471 return 186;
f3aa142b
MW
15472 }
15473 else
15474 {
15475 /* 33222222222211111111110000000000
15476 10987654321098765432109876543210
7684e580 15477 xx1011100x1x0xx1110110xxxxxxxxxx
f3aa142b 15478 ucvtf. */
c2c4ff8d 15479 return 234;
f3aa142b 15480 }
a06ea964
NC
15481 }
15482 else
15483 {
f3aa142b
MW
15484 if (((word >> 29) & 0x1) == 0)
15485 {
15486 /* 33222222222211111111110000000000
15487 10987654321098765432109876543210
7684e580 15488 xx0111100x1x0xx1110110xxxxxxxxxx
f3aa142b 15489 scvtf. */
c2c4ff8d 15490 return 487;
f3aa142b
MW
15491 }
15492 else
15493 {
15494 /* 33222222222211111111110000000000
15495 10987654321098765432109876543210
7684e580 15496 xx1111100x1x0xx1110110xxxxxxxxxx
f3aa142b 15497 ucvtf. */
c2c4ff8d 15498 return 517;
f3aa142b 15499 }
a06ea964
NC
15500 }
15501 }
15502 else
15503 {
f3aa142b 15504 if (((word >> 28) & 0x1) == 0)
a06ea964 15505 {
f3aa142b
MW
15506 if (((word >> 29) & 0x1) == 0)
15507 {
15508 /* 33222222222211111111110000000000
15509 10987654321098765432109876543210
7684e580 15510 xx0011101x1x0xx1110110xxxxxxxxxx
f3aa142b 15511 frecpe. */
c2c4ff8d 15512 return 205;
f3aa142b
MW
15513 }
15514 else
15515 {
15516 /* 33222222222211111111110000000000
15517 10987654321098765432109876543210
7684e580 15518 xx1011101x1x0xx1110110xxxxxxxxxx
f3aa142b 15519 frsqrte. */
c2c4ff8d 15520 return 252;
f3aa142b 15521 }
a06ea964
NC
15522 }
15523 else
15524 {
f3aa142b
MW
15525 if (((word >> 29) & 0x1) == 0)
15526 {
15527 /* 33222222222211111111110000000000
15528 10987654321098765432109876543210
7684e580 15529 xx0111101x1x0xx1110110xxxxxxxxxx
f3aa142b 15530 frecpe. */
c2c4ff8d 15531 return 499;
f3aa142b
MW
15532 }
15533 else
15534 {
15535 /* 33222222222211111111110000000000
15536 10987654321098765432109876543210
7684e580 15537 xx1111101x1x0xx1110110xxxxxxxxxx
f3aa142b 15538 frsqrte. */
c2c4ff8d 15539 return 527;
f3aa142b 15540 }
a06ea964
NC
15541 }
15542 }
15543 }
15544 else
15545 {
f3aa142b 15546 if (((word >> 23) & 0x1) == 0)
a06ea964 15547 {
80776b29 15548 if (((word >> 28) & 0x1) == 0)
a06ea964 15549 {
80776b29
MW
15550 if (((word >> 29) & 0x1) == 0)
15551 {
15552 /* 33222222222211111111110000000000
15553 10987654321098765432109876543210
7684e580 15554 xx0011100x1x1xx1110110xxxxxxxxxx
80776b29 15555 scvtf. */
c2c4ff8d 15556 return 187;
80776b29
MW
15557 }
15558 else
15559 {
15560 /* 33222222222211111111110000000000
15561 10987654321098765432109876543210
7684e580 15562 xx1011100x1x1xx1110110xxxxxxxxxx
80776b29 15563 ucvtf. */
c2c4ff8d 15564 return 235;
80776b29 15565 }
a06ea964
NC
15566 }
15567 else
15568 {
80776b29
MW
15569 if (((word >> 29) & 0x1) == 0)
15570 {
15571 /* 33222222222211111111110000000000
15572 10987654321098765432109876543210
7684e580 15573 xx0111100x1x1xx1110110xxxxxxxxxx
80776b29 15574 scvtf. */
c2c4ff8d 15575 return 488;
80776b29
MW
15576 }
15577 else
15578 {
15579 /* 33222222222211111111110000000000
15580 10987654321098765432109876543210
7684e580 15581 xx1111100x1x1xx1110110xxxxxxxxxx
80776b29 15582 ucvtf. */
c2c4ff8d 15583 return 518;
80776b29 15584 }
a06ea964
NC
15585 }
15586 }
15587 else
15588 {
80776b29 15589 if (((word >> 28) & 0x1) == 0)
a06ea964 15590 {
80776b29
MW
15591 if (((word >> 29) & 0x1) == 0)
15592 {
15593 /* 33222222222211111111110000000000
15594 10987654321098765432109876543210
7684e580 15595 xx0011101x1x1xx1110110xxxxxxxxxx
80776b29 15596 frecpe. */
c2c4ff8d 15597 return 206;
80776b29
MW
15598 }
15599 else
15600 {
15601 /* 33222222222211111111110000000000
15602 10987654321098765432109876543210
7684e580 15603 xx1011101x1x1xx1110110xxxxxxxxxx
80776b29 15604 frsqrte. */
c2c4ff8d 15605 return 253;
80776b29 15606 }
a06ea964
NC
15607 }
15608 else
15609 {
80776b29
MW
15610 if (((word >> 29) & 0x1) == 0)
15611 {
15612 /* 33222222222211111111110000000000
15613 10987654321098765432109876543210
7684e580 15614 xx0111101x1x1xx1110110xxxxxxxxxx
80776b29 15615 frecpe. */
c2c4ff8d 15616 return 500;
80776b29
MW
15617 }
15618 else
15619 {
15620 /* 33222222222211111111110000000000
15621 10987654321098765432109876543210
7684e580 15622 xx1111101x1x1xx1110110xxxxxxxxxx
80776b29 15623 frsqrte. */
c2c4ff8d 15624 return 528;
80776b29 15625 }
a06ea964
NC
15626 }
15627 }
15628 }
15629 }
15630 }
15631 }
15632 }
15633 else
15634 {
15635 if (((word >> 14) & 0x1) == 0)
15636 {
15637 if (((word >> 15) & 0x1) == 0)
15638 {
15639 if (((word >> 28) & 0x1) == 0)
15640 {
15641 if (((word >> 16) & 0x1) == 0)
15642 {
15643 if (((word >> 20) & 0x1) == 0)
15644 {
15645 if (((word >> 29) & 0x1) == 0)
15646 {
15647 /* 33222222222211111111110000000000
15648 10987654321098765432109876543210
7684e580 15649 xx001110xx10xxx0001110xxxxxxxxxx
a06ea964 15650 suqadd. */
c2c4ff8d 15651 return 159;
a06ea964
NC
15652 }
15653 else
15654 {
15655 /* 33222222222211111111110000000000
15656 10987654321098765432109876543210
7684e580 15657 xx101110xx10xxx0001110xxxxxxxxxx
a06ea964 15658 usqadd. */
c2c4ff8d 15659 return 209;
a06ea964
NC
15660 }
15661 }
15662 else
15663 {
15664 if (((word >> 29) & 0x1) == 0)
15665 {
15666 /* 33222222222211111111110000000000
15667 10987654321098765432109876543210
7684e580 15668 xx001110xx11xxx0001110xxxxxxxxxx
a06ea964
NC
15669 saddlv. */
15670 return 27;
15671 }
15672 else
15673 {
15674 /* 33222222222211111111110000000000
15675 10987654321098765432109876543210
7684e580 15676 xx101110xx11xxx0001110xxxxxxxxxx
a06ea964
NC
15677 uaddlv. */
15678 return 31;
15679 }
15680 }
15681 }
15682 else
15683 {
15684 if (((word >> 30) & 0x1) == 0)
15685 {
15686 /* 33222222222211111111110000000000
15687 10987654321098765432109876543210
7684e580 15688 x0x01110xx1xxxx1001110xxxxxxxxxx
a06ea964 15689 shll. */
c2c4ff8d 15690 return 218;
a06ea964
NC
15691 }
15692 else
15693 {
15694 /* 33222222222211111111110000000000
15695 10987654321098765432109876543210
7684e580 15696 x1x01110xx1xxxx1001110xxxxxxxxxx
a06ea964 15697 shll2. */
c2c4ff8d 15698 return 219;
a06ea964
NC
15699 }
15700 }
15701 }
15702 else
15703 {
15704 if (((word >> 29) & 0x1) == 0)
15705 {
15706 if (((word >> 30) & 0x1) == 0)
15707 {
15708 /* 33222222222211111111110000000000
15709 10987654321098765432109876543210
7684e580 15710 x0011110xx1xxxxx001110xxxxxxxxxx
a06ea964 15711 fsub. */
c2c4ff8d 15712 return 828;
a06ea964
NC
15713 }
15714 else
15715 {
15716 /* 33222222222211111111110000000000
15717 10987654321098765432109876543210
7684e580 15718 x1011110xx1xxxxx001110xxxxxxxxxx
a06ea964 15719 suqadd. */
c2c4ff8d 15720 return 474;
a06ea964
NC
15721 }
15722 }
15723 else
15724 {
15725 /* 33222222222211111111110000000000
15726 10987654321098765432109876543210
7684e580 15727 xx111110xx1xxxxx001110xxxxxxxxxx
a06ea964 15728 usqadd. */
c2c4ff8d 15729 return 503;
a06ea964
NC
15730 }
15731 }
15732 }
15733 else
15734 {
15735 if (((word >> 16) & 0x1) == 0)
15736 {
15737 if (((word >> 28) & 0x1) == 0)
15738 {
15739 if (((word >> 29) & 0x1) == 0)
15740 {
15741 /* 33222222222211111111110000000000
15742 10987654321098765432109876543210
7684e580 15743 xx001110xx1xxxx0101110xxxxxxxxxx
a06ea964 15744 abs. */
c2c4ff8d 15745 return 167;
a06ea964
NC
15746 }
15747 else
15748 {
15749 /* 33222222222211111111110000000000
15750 10987654321098765432109876543210
7684e580 15751 xx101110xx1xxxx0101110xxxxxxxxxx
a06ea964 15752 neg. */
c2c4ff8d 15753 return 215;
a06ea964
NC
15754 }
15755 }
15756 else
15757 {
15758 if (((word >> 29) & 0x1) == 0)
15759 {
15760 /* 33222222222211111111110000000000
15761 10987654321098765432109876543210
7684e580 15762 xx011110xx1xxxx0101110xxxxxxxxxx
a06ea964 15763 abs. */
c2c4ff8d 15764 return 479;
a06ea964
NC
15765 }
15766 else
15767 {
15768 /* 33222222222211111111110000000000
15769 10987654321098765432109876543210
7684e580 15770 xx111110xx1xxxx0101110xxxxxxxxxx
a06ea964 15771 neg. */
c2c4ff8d 15772 return 507;
a06ea964
NC
15773 }
15774 }
15775 }
15776 else
15777 {
f3aa142b 15778 if (((word >> 19) & 0x1) == 0)
a06ea964 15779 {
f3aa142b 15780 if (((word >> 20) & 0x1) == 0)
a06ea964 15781 {
f3aa142b 15782 if (((word >> 23) & 0x1) == 0)
a06ea964 15783 {
f3aa142b 15784 if (((word >> 28) & 0x1) == 0)
a06ea964 15785 {
f3aa142b
MW
15786 if (((word >> 29) & 0x1) == 0)
15787 {
15788 /* 33222222222211111111110000000000
15789 10987654321098765432109876543210
7684e580 15790 xx0011100x100xx1101110xxxxxxxxxx
f3aa142b 15791 fcvtms. */
c2c4ff8d 15792 return 182;
f3aa142b
MW
15793 }
15794 else
15795 {
15796 /* 33222222222211111111110000000000
15797 10987654321098765432109876543210
7684e580 15798 xx1011100x100xx1101110xxxxxxxxxx
f3aa142b 15799 fcvtmu. */
c2c4ff8d 15800 return 230;
f3aa142b 15801 }
a06ea964
NC
15802 }
15803 else
15804 {
f3aa142b
MW
15805 if (((word >> 29) & 0x1) == 0)
15806 {
15807 /* 33222222222211111111110000000000
15808 10987654321098765432109876543210
7684e580 15809 xx0111100x100xx1101110xxxxxxxxxx
f3aa142b 15810 fcvtms. */
c2c4ff8d 15811 return 483;
f3aa142b
MW
15812 }
15813 else
15814 {
15815 /* 33222222222211111111110000000000
15816 10987654321098765432109876543210
7684e580 15817 xx1111100x100xx1101110xxxxxxxxxx
f3aa142b 15818 fcvtmu. */
c2c4ff8d 15819 return 513;
f3aa142b 15820 }
a06ea964
NC
15821 }
15822 }
15823 else
15824 {
f3aa142b 15825 if (((word >> 28) & 0x1) == 0)
a06ea964 15826 {
f3aa142b
MW
15827 if (((word >> 29) & 0x1) == 0)
15828 {
15829 /* 33222222222211111111110000000000
15830 10987654321098765432109876543210
7684e580 15831 xx0011101x100xx1101110xxxxxxxxxx
f3aa142b 15832 fcvtzs. */
c2c4ff8d 15833 return 202;
f3aa142b
MW
15834 }
15835 else
15836 {
15837 /* 33222222222211111111110000000000
15838 10987654321098765432109876543210
7684e580 15839 xx1011101x100xx1101110xxxxxxxxxx
f3aa142b 15840 fcvtzu. */
c2c4ff8d 15841 return 249;
f3aa142b 15842 }
a06ea964
NC
15843 }
15844 else
15845 {
f3aa142b
MW
15846 if (((word >> 29) & 0x1) == 0)
15847 {
15848 /* 33222222222211111111110000000000
15849 10987654321098765432109876543210
7684e580 15850 xx0111101x100xx1101110xxxxxxxxxx
f3aa142b 15851 fcvtzs. */
c2c4ff8d 15852 return 497;
f3aa142b
MW
15853 }
15854 else
15855 {
15856 /* 33222222222211111111110000000000
15857 10987654321098765432109876543210
7684e580 15858 xx1111101x100xx1101110xxxxxxxxxx
f3aa142b 15859 fcvtzu. */
c2c4ff8d 15860 return 525;
f3aa142b 15861 }
a06ea964
NC
15862 }
15863 }
15864 }
15865 else
15866 {
15867 if (((word >> 28) & 0x1) == 0)
15868 {
f3aa142b
MW
15869 /* 33222222222211111111110000000000
15870 10987654321098765432109876543210
7684e580 15871 xxx01110xx110xx1101110xxxxxxxxxx
f3aa142b
MW
15872 addv. */
15873 return 30;
a06ea964
NC
15874 }
15875 else
15876 {
f3aa142b
MW
15877 /* 33222222222211111111110000000000
15878 10987654321098765432109876543210
7684e580 15879 xxx11110xx110xx1101110xxxxxxxxxx
f3aa142b 15880 addp. */
c2c4ff8d 15881 return 531;
a06ea964
NC
15882 }
15883 }
15884 }
15885 else
15886 {
f3aa142b 15887 if (((word >> 23) & 0x1) == 0)
a06ea964 15888 {
80776b29 15889 if (((word >> 28) & 0x1) == 0)
f3aa142b 15890 {
80776b29
MW
15891 if (((word >> 29) & 0x1) == 0)
15892 {
15893 /* 33222222222211111111110000000000
15894 10987654321098765432109876543210
7684e580 15895 xx0011100x1x1xx1101110xxxxxxxxxx
80776b29 15896 fcvtms. */
c2c4ff8d 15897 return 183;
80776b29
MW
15898 }
15899 else
15900 {
15901 /* 33222222222211111111110000000000
15902 10987654321098765432109876543210
7684e580 15903 xx1011100x1x1xx1101110xxxxxxxxxx
80776b29 15904 fcvtmu. */
c2c4ff8d 15905 return 231;
80776b29 15906 }
f3aa142b
MW
15907 }
15908 else
15909 {
80776b29
MW
15910 if (((word >> 29) & 0x1) == 0)
15911 {
15912 /* 33222222222211111111110000000000
15913 10987654321098765432109876543210
7684e580 15914 xx0111100x1x1xx1101110xxxxxxxxxx
80776b29 15915 fcvtms. */
c2c4ff8d 15916 return 484;
80776b29
MW
15917 }
15918 else
15919 {
15920 /* 33222222222211111111110000000000
15921 10987654321098765432109876543210
7684e580 15922 xx1111100x1x1xx1101110xxxxxxxxxx
80776b29 15923 fcvtmu. */
c2c4ff8d 15924 return 514;
80776b29 15925 }
f3aa142b 15926 }
a06ea964
NC
15927 }
15928 else
15929 {
80776b29 15930 if (((word >> 28) & 0x1) == 0)
f3aa142b 15931 {
80776b29
MW
15932 if (((word >> 29) & 0x1) == 0)
15933 {
15934 /* 33222222222211111111110000000000
15935 10987654321098765432109876543210
7684e580 15936 xx0011101x1x1xx1101110xxxxxxxxxx
80776b29 15937 fcvtzs. */
c2c4ff8d 15938 return 203;
80776b29
MW
15939 }
15940 else
15941 {
15942 /* 33222222222211111111110000000000
15943 10987654321098765432109876543210
7684e580 15944 xx1011101x1x1xx1101110xxxxxxxxxx
80776b29 15945 fcvtzu. */
c2c4ff8d 15946 return 250;
80776b29 15947 }
f3aa142b
MW
15948 }
15949 else
15950 {
80776b29
MW
15951 if (((word >> 29) & 0x1) == 0)
15952 {
15953 /* 33222222222211111111110000000000
15954 10987654321098765432109876543210
7684e580 15955 xx0111101x1x1xx1101110xxxxxxxxxx
80776b29 15956 fcvtzs. */
c2c4ff8d 15957 return 498;
80776b29
MW
15958 }
15959 else
15960 {
15961 /* 33222222222211111111110000000000
15962 10987654321098765432109876543210
7684e580 15963 xx1111101x1x1xx1101110xxxxxxxxxx
80776b29 15964 fcvtzu. */
c2c4ff8d 15965 return 526;
80776b29 15966 }
f3aa142b 15967 }
a06ea964
NC
15968 }
15969 }
15970 }
15971 }
15972 }
15973 else
15974 {
15975 if (((word >> 15) & 0x1) == 0)
15976 {
15977 if (((word >> 28) & 0x1) == 0)
15978 {
15979 if (((word >> 16) & 0x1) == 0)
15980 {
15981 if (((word >> 19) & 0x1) == 0)
15982 {
15983 if (((word >> 29) & 0x1) == 0)
15984 {
15985 /* 33222222222211111111110000000000
15986 10987654321098765432109876543210
7684e580 15987 xx001110xx1x0xx0011110xxxxxxxxxx
a06ea964 15988 sqabs. */
c2c4ff8d 15989 return 163;
a06ea964
NC
15990 }
15991 else
15992 {
15993 /* 33222222222211111111110000000000
15994 10987654321098765432109876543210
7684e580 15995 xx101110xx1x0xx0011110xxxxxxxxxx
a06ea964 15996 sqneg. */
c2c4ff8d 15997 return 212;
a06ea964
NC
15998 }
15999 }
16000 else
16001 {
16002 /* 33222222222211111111110000000000
16003 10987654321098765432109876543210
7684e580 16004 xxx01110xx1x1xx0011110xxxxxxxxxx
a06ea964 16005 aesimc. */
c2c4ff8d 16006 return 668;
a06ea964
NC
16007 }
16008 }
16009 else
16010 {
16011 if (((word >> 30) & 0x1) == 0)
16012 {
16013 /* 33222222222211111111110000000000
16014 10987654321098765432109876543210
7684e580 16015 x0x01110xx1xxxx1011110xxxxxxxxxx
a06ea964 16016 fcvtl. */
c2c4ff8d 16017 return 174;
a06ea964
NC
16018 }
16019 else
16020 {
16021 /* 33222222222211111111110000000000
16022 10987654321098765432109876543210
7684e580 16023 x1x01110xx1xxxx1011110xxxxxxxxxx
a06ea964 16024 fcvtl2. */
c2c4ff8d 16025 return 175;
a06ea964
NC
16026 }
16027 }
16028 }
16029 else
16030 {
16031 if (((word >> 29) & 0x1) == 0)
16032 {
16033 if (((word >> 30) & 0x1) == 0)
16034 {
16035 /* 33222222222211111111110000000000
16036 10987654321098765432109876543210
7684e580 16037 x0011110xx1xxxxx011110xxxxxxxxxx
a06ea964 16038 fminnm. */
c2c4ff8d 16039 return 836;
a06ea964
NC
16040 }
16041 else
16042 {
16043 /* 33222222222211111111110000000000
16044 10987654321098765432109876543210
7684e580 16045 x1011110xx1xxxxx011110xxxxxxxxxx
a06ea964 16046 sqabs. */
c2c4ff8d 16047 return 475;
a06ea964
NC
16048 }
16049 }
16050 else
16051 {
16052 /* 33222222222211111111110000000000
16053 10987654321098765432109876543210
7684e580 16054 xx111110xx1xxxxx011110xxxxxxxxxx
a06ea964 16055 sqneg. */
c2c4ff8d 16056 return 504;
a06ea964
NC
16057 }
16058 }
16059 }
16060 else
16061 {
16062 if (((word >> 16) & 0x1) == 0)
16063 {
f3aa142b 16064 if (((word >> 19) & 0x1) == 0)
a06ea964 16065 {
f3aa142b 16066 if (((word >> 20) & 0x1) == 0)
a06ea964 16067 {
f3aa142b 16068 if (((word >> 29) & 0x1) == 0)
a06ea964
NC
16069 {
16070 /* 33222222222211111111110000000000
16071 10987654321098765432109876543210
7684e580 16072 xx0x1110xx100xx0111110xxxxxxxxxx
f3aa142b 16073 fabs. */
c2c4ff8d 16074 return 194;
a06ea964
NC
16075 }
16076 else
16077 {
16078 /* 33222222222211111111110000000000
16079 10987654321098765432109876543210
7684e580 16080 xx1x1110xx100xx0111110xxxxxxxxxx
f3aa142b 16081 fneg. */
c2c4ff8d 16082 return 243;
a06ea964
NC
16083 }
16084 }
16085 else
16086 {
f3aa142b 16087 if (((word >> 23) & 0x1) == 0)
a06ea964 16088 {
f3aa142b
MW
16089 if (((word >> 28) & 0x1) == 0)
16090 {
bb515fea
MW
16091 if (((word >> 29) & 0x1) == 0)
16092 {
16093 /* 33222222222211111111110000000000
16094 10987654321098765432109876543210
7684e580 16095 xx0011100x110xx0111110xxxxxxxxxx
bb515fea
MW
16096 fmaxv. */
16097 return 37;
16098 }
16099 else
16100 {
16101 /* 33222222222211111111110000000000
16102 10987654321098765432109876543210
7684e580 16103 xx1011100x110xx0111110xxxxxxxxxx
bb515fea
MW
16104 fmaxv. */
16105 return 36;
16106 }
f3aa142b
MW
16107 }
16108 else
16109 {
b195470d
MW
16110 if (((word >> 29) & 0x1) == 0)
16111 {
16112 /* 33222222222211111111110000000000
16113 10987654321098765432109876543210
7684e580 16114 xx0111100x110xx0111110xxxxxxxxxx
b195470d 16115 fmaxp. */
c2c4ff8d 16116 return 537;
b195470d
MW
16117 }
16118 else
16119 {
16120 /* 33222222222211111111110000000000
16121 10987654321098765432109876543210
7684e580 16122 xx1111100x110xx0111110xxxxxxxxxx
b195470d 16123 fmaxp. */
c2c4ff8d 16124 return 536;
b195470d 16125 }
f3aa142b 16126 }
a06ea964
NC
16127 }
16128 else
16129 {
f3aa142b
MW
16130 if (((word >> 28) & 0x1) == 0)
16131 {
bb515fea
MW
16132 if (((word >> 29) & 0x1) == 0)
16133 {
16134 /* 33222222222211111111110000000000
16135 10987654321098765432109876543210
7684e580 16136 xx0011101x110xx0111110xxxxxxxxxx
bb515fea
MW
16137 fminv. */
16138 return 41;
16139 }
16140 else
16141 {
16142 /* 33222222222211111111110000000000
16143 10987654321098765432109876543210
7684e580 16144 xx1011101x110xx0111110xxxxxxxxxx
bb515fea
MW
16145 fminv. */
16146 return 40;
16147 }
f3aa142b
MW
16148 }
16149 else
16150 {
b195470d
MW
16151 if (((word >> 29) & 0x1) == 0)
16152 {
16153 /* 33222222222211111111110000000000
16154 10987654321098765432109876543210
7684e580 16155 xx0111101x110xx0111110xxxxxxxxxx
b195470d 16156 fminp. */
c2c4ff8d 16157 return 541;
b195470d
MW
16158 }
16159 else
16160 {
16161 /* 33222222222211111111110000000000
16162 10987654321098765432109876543210
7684e580 16163 xx1111101x110xx0111110xxxxxxxxxx
b195470d 16164 fminp. */
c2c4ff8d 16165 return 540;
b195470d 16166 }
f3aa142b 16167 }
a06ea964
NC
16168 }
16169 }
16170 }
f3aa142b
MW
16171 else
16172 {
16173 if (((word >> 29) & 0x1) == 0)
16174 {
16175 /* 33222222222211111111110000000000
16176 10987654321098765432109876543210
7684e580 16177 xx0x1110xx1x1xx0111110xxxxxxxxxx
f3aa142b 16178 fabs. */
c2c4ff8d 16179 return 195;
f3aa142b
MW
16180 }
16181 else
16182 {
16183 /* 33222222222211111111110000000000
16184 10987654321098765432109876543210
7684e580 16185 xx1x1110xx1x1xx0111110xxxxxxxxxx
f3aa142b 16186 fneg. */
c2c4ff8d 16187 return 244;
f3aa142b
MW
16188 }
16189 }
a06ea964
NC
16190 }
16191 else
16192 {
f3aa142b 16193 if (((word >> 19) & 0x1) == 0)
a06ea964 16194 {
f3aa142b
MW
16195 if (((word >> 28) & 0x1) == 0)
16196 {
16197 /* 33222222222211111111110000000000
16198 10987654321098765432109876543210
7684e580 16199 xxx01110xx1x0xx1111110xxxxxxxxxx
f3aa142b 16200 fsqrt. */
c2c4ff8d 16201 return 254;
f3aa142b
MW
16202 }
16203 else
16204 {
16205 /* 33222222222211111111110000000000
16206 10987654321098765432109876543210
7684e580 16207 xxx11110xx1x0xx1111110xxxxxxxxxx
f3aa142b 16208 frecpx. */
c2c4ff8d 16209 return 501;
f3aa142b 16210 }
a06ea964
NC
16211 }
16212 else
16213 {
80776b29
MW
16214 if (((word >> 28) & 0x1) == 0)
16215 {
16216 /* 33222222222211111111110000000000
16217 10987654321098765432109876543210
7684e580 16218 xxx01110xx1x1xx1111110xxxxxxxxxx
80776b29 16219 fsqrt. */
c2c4ff8d 16220 return 255;
80776b29
MW
16221 }
16222 else
16223 {
16224 /* 33222222222211111111110000000000
16225 10987654321098765432109876543210
7684e580 16226 xxx11110xx1x1xx1111110xxxxxxxxxx
80776b29 16227 frecpx. */
c2c4ff8d 16228 return 502;
80776b29 16229 }
a06ea964
NC
16230 }
16231 }
16232 }
16233 }
16234 }
16235 }
16236 }
16237 }
16238 else
16239 {
16240 if (((word >> 11) & 0x1) == 0)
16241 {
16242 if (((word >> 28) & 0x1) == 0)
16243 {
16244 if (((word >> 12) & 0x1) == 0)
16245 {
16246 if (((word >> 13) & 0x1) == 0)
16247 {
16248 if (((word >> 14) & 0x1) == 0)
16249 {
16250 if (((word >> 15) & 0x1) == 0)
16251 {
16252 if (((word >> 29) & 0x1) == 0)
16253 {
16254 /* 33222222222211111111110000000000
16255 10987654321098765432109876543210
7684e580 16256 xx001110xx1xxxxx000001xxxxxxxxxx
a06ea964 16257 shadd. */
c2c4ff8d 16258 return 262;
a06ea964
NC
16259 }
16260 else
16261 {
16262 /* 33222222222211111111110000000000
16263 10987654321098765432109876543210
7684e580 16264 xx101110xx1xxxxx000001xxxxxxxxxx
a06ea964 16265 uhadd. */
c2c4ff8d 16266 return 314;
a06ea964
NC
16267 }
16268 }
16269 else
16270 {
16271 if (((word >> 29) & 0x1) == 0)
16272 {
16273 /* 33222222222211111111110000000000
16274 10987654321098765432109876543210
7684e580 16275 xx001110xx1xxxxx100001xxxxxxxxxx
a06ea964 16276 add. */
c2c4ff8d 16277 return 277;
a06ea964
NC
16278 }
16279 else
16280 {
16281 /* 33222222222211111111110000000000
16282 10987654321098765432109876543210
7684e580 16283 xx101110xx1xxxxx100001xxxxxxxxxx
a06ea964 16284 sub. */
c2c4ff8d 16285 return 329;
a06ea964
NC
16286 }
16287 }
16288 }
16289 else
16290 {
16291 if (((word >> 15) & 0x1) == 0)
16292 {
16293 if (((word >> 29) & 0x1) == 0)
16294 {
16295 /* 33222222222211111111110000000000
16296 10987654321098765432109876543210
7684e580 16297 xx001110xx1xxxxx010001xxxxxxxxxx
a06ea964 16298 sshl. */
c2c4ff8d 16299 return 269;
a06ea964
NC
16300 }
16301 else
16302 {
16303 /* 33222222222211111111110000000000
16304 10987654321098765432109876543210
7684e580 16305 xx101110xx1xxxxx010001xxxxxxxxxx
a06ea964 16306 ushl. */
c2c4ff8d 16307 return 321;
a06ea964
NC
16308 }
16309 }
16310 else
16311 {
16312 if (((word >> 23) & 0x1) == 0)
16313 {
16314 if (((word >> 29) & 0x1) == 0)
16315 {
16316 /* 33222222222211111111110000000000
16317 10987654321098765432109876543210
7684e580 16318 xx0011100x1xxxxx110001xxxxxxxxxx
a06ea964 16319 fmaxnm. */
c2c4ff8d 16320 return 285;
a06ea964
NC
16321 }
16322 else
16323 {
16324 /* 33222222222211111111110000000000
16325 10987654321098765432109876543210
7684e580 16326 xx1011100x1xxxxx110001xxxxxxxxxx
a06ea964 16327 fmaxnmp. */
c2c4ff8d 16328 return 336;
a06ea964
NC
16329 }
16330 }
16331 else
16332 {
16333 if (((word >> 29) & 0x1) == 0)
16334 {
16335 /* 33222222222211111111110000000000
16336 10987654321098765432109876543210
7684e580 16337 xx0011101x1xxxxx110001xxxxxxxxxx
a06ea964 16338 fminnm. */
c2c4ff8d 16339 return 301;
a06ea964
NC
16340 }
16341 else
16342 {
16343 /* 33222222222211111111110000000000
16344 10987654321098765432109876543210
7684e580 16345 xx1011101x1xxxxx110001xxxxxxxxxx
a06ea964 16346 fminnmp. */
c2c4ff8d 16347 return 352;
a06ea964
NC
16348 }
16349 }
16350 }
16351 }
16352 }
16353 else
16354 {
16355 if (((word >> 14) & 0x1) == 0)
16356 {
16357 if (((word >> 15) & 0x1) == 0)
16358 {
16359 if (((word >> 29) & 0x1) == 0)
16360 {
16361 /* 33222222222211111111110000000000
16362 10987654321098765432109876543210
7684e580 16363 xx001110xx1xxxxx001001xxxxxxxxxx
a06ea964 16364 shsub. */
c2c4ff8d 16365 return 265;
a06ea964
NC
16366 }
16367 else
16368 {
16369 /* 33222222222211111111110000000000
16370 10987654321098765432109876543210
7684e580 16371 xx101110xx1xxxxx001001xxxxxxxxxx
a06ea964 16372 uhsub. */
c2c4ff8d 16373 return 317;
a06ea964
NC
16374 }
16375 }
16376 else
16377 {
16378 if (((word >> 29) & 0x1) == 0)
16379 {
16380 /* 33222222222211111111110000000000
16381 10987654321098765432109876543210
7684e580 16382 xx001110xx1xxxxx101001xxxxxxxxxx
a06ea964 16383 smaxp. */
c2c4ff8d 16384 return 281;
a06ea964
NC
16385 }
16386 else
16387 {
16388 /* 33222222222211111111110000000000
16389 10987654321098765432109876543210
7684e580 16390 xx101110xx1xxxxx101001xxxxxxxxxx
a06ea964 16391 umaxp. */
c2c4ff8d 16392 return 333;
a06ea964
NC
16393 }
16394 }
16395 }
16396 else
16397 {
16398 if (((word >> 15) & 0x1) == 0)
16399 {
16400 if (((word >> 29) & 0x1) == 0)
16401 {
16402 /* 33222222222211111111110000000000
16403 10987654321098765432109876543210
7684e580 16404 xx001110xx1xxxxx011001xxxxxxxxxx
a06ea964 16405 smax. */
c2c4ff8d 16406 return 273;
a06ea964
NC
16407 }
16408 else
16409 {
16410 /* 33222222222211111111110000000000
16411 10987654321098765432109876543210
7684e580 16412 xx101110xx1xxxxx011001xxxxxxxxxx
a06ea964 16413 umax. */
c2c4ff8d 16414 return 325;
a06ea964
NC
16415 }
16416 }
16417 else
16418 {
16419 if (((word >> 23) & 0x1) == 0)
16420 {
16421 if (((word >> 29) & 0x1) == 0)
16422 {
16423 /* 33222222222211111111110000000000
16424 10987654321098765432109876543210
7684e580 16425 xx0011100x1xxxxx111001xxxxxxxxxx
a06ea964 16426 fcmeq. */
c2c4ff8d 16427 return 293;
a06ea964
NC
16428 }
16429 else
16430 {
16431 /* 33222222222211111111110000000000
16432 10987654321098765432109876543210
7684e580 16433 xx1011100x1xxxxx111001xxxxxxxxxx
a06ea964 16434 fcmge. */
c2c4ff8d 16435 return 342;
a06ea964
NC
16436 }
16437 }
16438 else
16439 {
16440 /* 33222222222211111111110000000000
16441 10987654321098765432109876543210
7684e580 16442 xxx011101x1xxxxx111001xxxxxxxxxx
a06ea964 16443 fcmgt. */
c2c4ff8d 16444 return 356;
a06ea964
NC
16445 }
16446 }
16447 }
16448 }
16449 }
16450 else
16451 {
16452 if (((word >> 13) & 0x1) == 0)
16453 {
16454 if (((word >> 14) & 0x1) == 0)
16455 {
16456 if (((word >> 15) & 0x1) == 0)
16457 {
16458 if (((word >> 29) & 0x1) == 0)
16459 {
16460 /* 33222222222211111111110000000000
16461 10987654321098765432109876543210
7684e580 16462 xx001110xx1xxxxx000101xxxxxxxxxx
a06ea964 16463 srhadd. */
c2c4ff8d 16464 return 264;
a06ea964
NC
16465 }
16466 else
16467 {
16468 /* 33222222222211111111110000000000
16469 10987654321098765432109876543210
7684e580 16470 xx101110xx1xxxxx000101xxxxxxxxxx
a06ea964 16471 urhadd. */
c2c4ff8d 16472 return 316;
a06ea964
NC
16473 }
16474 }
16475 else
16476 {
16477 if (((word >> 29) & 0x1) == 0)
16478 {
16479 /* 33222222222211111111110000000000
16480 10987654321098765432109876543210
7684e580 16481 xx001110xx1xxxxx100101xxxxxxxxxx
a06ea964 16482 mla. */
c2c4ff8d 16483 return 279;
a06ea964
NC
16484 }
16485 else
16486 {
16487 /* 33222222222211111111110000000000
16488 10987654321098765432109876543210
7684e580 16489 xx101110xx1xxxxx100101xxxxxxxxxx
a06ea964 16490 mls. */
c2c4ff8d 16491 return 331;
a06ea964
NC
16492 }
16493 }
16494 }
16495 else
16496 {
16497 if (((word >> 15) & 0x1) == 0)
16498 {
16499 if (((word >> 29) & 0x1) == 0)
16500 {
16501 /* 33222222222211111111110000000000
16502 10987654321098765432109876543210
7684e580 16503 xx001110xx1xxxxx010101xxxxxxxxxx
a06ea964 16504 srshl. */
c2c4ff8d 16505 return 271;
a06ea964
NC
16506 }
16507 else
16508 {
16509 /* 33222222222211111111110000000000
16510 10987654321098765432109876543210
7684e580 16511 xx101110xx1xxxxx010101xxxxxxxxxx
a06ea964 16512 urshl. */
c2c4ff8d 16513 return 323;
a06ea964
NC
16514 }
16515 }
16516 else
16517 {
16518 if (((word >> 23) & 0x1) == 0)
16519 {
16520 if (((word >> 29) & 0x1) == 0)
16521 {
16522 /* 33222222222211111111110000000000
16523 10987654321098765432109876543210
7684e580 16524 xx0011100x1xxxxx110101xxxxxxxxxx
a06ea964 16525 fadd. */
c2c4ff8d 16526 return 289;
a06ea964
NC
16527 }
16528 else
16529 {
16530 /* 33222222222211111111110000000000
16531 10987654321098765432109876543210
7684e580 16532 xx1011100x1xxxxx110101xxxxxxxxxx
a06ea964 16533 faddp. */
c2c4ff8d 16534 return 338;
a06ea964
NC
16535 }
16536 }
16537 else
16538 {
16539 if (((word >> 29) & 0x1) == 0)
16540 {
16541 /* 33222222222211111111110000000000
16542 10987654321098765432109876543210
7684e580 16543 xx0011101x1xxxxx110101xxxxxxxxxx
a06ea964 16544 fsub. */
c2c4ff8d 16545 return 305;
a06ea964
NC
16546 }
16547 else
16548 {
16549 /* 33222222222211111111110000000000
16550 10987654321098765432109876543210
7684e580 16551 xx1011101x1xxxxx110101xxxxxxxxxx
a06ea964 16552 fabd. */
c2c4ff8d 16553 return 354;
a06ea964
NC
16554 }
16555 }
16556 }
16557 }
16558 }
16559 else
16560 {
16561 if (((word >> 14) & 0x1) == 0)
16562 {
16563 if (((word >> 15) & 0x1) == 0)
16564 {
16565 if (((word >> 29) & 0x1) == 0)
16566 {
16567 /* 33222222222211111111110000000000
16568 10987654321098765432109876543210
7684e580 16569 xx001110xx1xxxxx001101xxxxxxxxxx
a06ea964 16570 cmgt. */
c2c4ff8d 16571 return 267;
a06ea964
NC
16572 }
16573 else
16574 {
16575 /* 33222222222211111111110000000000
16576 10987654321098765432109876543210
7684e580 16577 xx101110xx1xxxxx001101xxxxxxxxxx
a06ea964 16578 cmhi. */
c2c4ff8d 16579 return 319;
a06ea964
NC
16580 }
16581 }
16582 else
16583 {
16584 if (((word >> 29) & 0x1) == 0)
16585 {
16586 /* 33222222222211111111110000000000
16587 10987654321098765432109876543210
7684e580 16588 xx001110xx1xxxxx101101xxxxxxxxxx
a06ea964 16589 sqdmulh. */
c2c4ff8d 16590 return 283;
a06ea964
NC
16591 }
16592 else
16593 {
16594 /* 33222222222211111111110000000000
16595 10987654321098765432109876543210
7684e580 16596 xx101110xx1xxxxx101101xxxxxxxxxx
a06ea964 16597 sqrdmulh. */
c2c4ff8d 16598 return 335;
a06ea964
NC
16599 }
16600 }
16601 }
16602 else
16603 {
16604 if (((word >> 15) & 0x1) == 0)
16605 {
16606 if (((word >> 29) & 0x1) == 0)
16607 {
16608 /* 33222222222211111111110000000000
16609 10987654321098765432109876543210
7684e580 16610 xx001110xx1xxxxx011101xxxxxxxxxx
a06ea964 16611 sabd. */
c2c4ff8d 16612 return 275;
a06ea964
NC
16613 }
16614 else
16615 {
16616 /* 33222222222211111111110000000000
16617 10987654321098765432109876543210
7684e580 16618 xx101110xx1xxxxx011101xxxxxxxxxx
a06ea964 16619 uabd. */
c2c4ff8d 16620 return 327;
a06ea964
NC
16621 }
16622 }
16623 else
16624 {
16625 if (((word >> 23) & 0x1) == 0)
16626 {
16627 if (((word >> 29) & 0x1) == 0)
16628 {
16629 /* 33222222222211111111110000000000
16630 10987654321098765432109876543210
7684e580 16631 xx0011100x1xxxxx111101xxxxxxxxxx
a06ea964 16632 fmax. */
c2c4ff8d 16633 return 295;
a06ea964
NC
16634 }
16635 else
16636 {
16637 /* 33222222222211111111110000000000
16638 10987654321098765432109876543210
7684e580 16639 xx1011100x1xxxxx111101xxxxxxxxxx
a06ea964 16640 fmaxp. */
c2c4ff8d 16641 return 346;
a06ea964
NC
16642 }
16643 }
16644 else
16645 {
16646 if (((word >> 29) & 0x1) == 0)
16647 {
16648 /* 33222222222211111111110000000000
16649 10987654321098765432109876543210
7684e580 16650 xx0011101x1xxxxx111101xxxxxxxxxx
a06ea964 16651 fmin. */
c2c4ff8d 16652 return 307;
a06ea964
NC
16653 }
16654 else
16655 {
16656 /* 33222222222211111111110000000000
16657 10987654321098765432109876543210
7684e580 16658 xx1011101x1xxxxx111101xxxxxxxxxx
a06ea964 16659 fminp. */
c2c4ff8d 16660 return 360;
a06ea964
NC
16661 }
16662 }
16663 }
16664 }
16665 }
16666 }
16667 }
16668 else
16669 {
16670 if (((word >> 29) & 0x1) == 0)
16671 {
16672 if (((word >> 30) & 0x1) == 0)
16673 {
16674 if (((word >> 4) & 0x1) == 0)
16675 {
16676 /* 33222222222211111111110000000000
16677 10987654321098765432109876543210
7684e580 16678 x0011110xx1xxxxxxxxx01xxxxx0xxxx
a06ea964 16679 fccmp. */
c2c4ff8d 16680 return 787;
a06ea964
NC
16681 }
16682 else
16683 {
16684 /* 33222222222211111111110000000000
16685 10987654321098765432109876543210
7684e580 16686 x0011110xx1xxxxxxxxx01xxxxx1xxxx
a06ea964 16687 fccmpe. */
c2c4ff8d 16688 return 789;
a06ea964
NC
16689 }
16690 }
16691 else
16692 {
16693 if (((word >> 12) & 0x1) == 0)
16694 {
16695 if (((word >> 13) & 0x1) == 0)
16696 {
16697 if (((word >> 14) & 0x1) == 0)
16698 {
16699 /* 33222222222211111111110000000000
16700 10987654321098765432109876543210
7684e580 16701 x1011110xx1xxxxxx00001xxxxxxxxxx
a06ea964 16702 add. */
c2c4ff8d 16703 return 559;
a06ea964
NC
16704 }
16705 else
16706 {
16707 /* 33222222222211111111110000000000
16708 10987654321098765432109876543210
7684e580 16709 x1011110xx1xxxxxx10001xxxxxxxxxx
a06ea964 16710 sshl. */
c2c4ff8d 16711 return 557;
a06ea964
NC
16712 }
16713 }
16714 else
16715 {
16716 /* 33222222222211111111110000000000
16717 10987654321098765432109876543210
7684e580 16718 x1011110xx1xxxxxxx1001xxxxxxxxxx
a06ea964 16719 fcmeq. */
c2c4ff8d 16720 return 549;
a06ea964
NC
16721 }
16722 }
16723 else
16724 {
16725 if (((word >> 13) & 0x1) == 0)
16726 {
16727 /* 33222222222211111111110000000000
16728 10987654321098765432109876543210
7684e580 16729 x1011110xx1xxxxxxx0101xxxxxxxxxx
a06ea964 16730 srshl. */
c2c4ff8d 16731 return 558;
a06ea964
NC
16732 }
16733 else
16734 {
16735 if (((word >> 15) & 0x1) == 0)
16736 {
16737 /* 33222222222211111111110000000000
16738 10987654321098765432109876543210
7684e580 16739 x1011110xx1xxxxx0x1101xxxxxxxxxx
a06ea964 16740 cmgt. */
c2c4ff8d 16741 return 555;
a06ea964
NC
16742 }
16743 else
16744 {
16745 /* 33222222222211111111110000000000
16746 10987654321098765432109876543210
7684e580 16747 x1011110xx1xxxxx1x1101xxxxxxxxxx
a06ea964 16748 sqdmulh. */
c2c4ff8d 16749 return 546;
a06ea964
NC
16750 }
16751 }
16752 }
16753 }
16754 }
16755 else
16756 {
16757 if (((word >> 12) & 0x1) == 0)
16758 {
16759 if (((word >> 13) & 0x1) == 0)
16760 {
16761 if (((word >> 14) & 0x1) == 0)
16762 {
16763 /* 33222222222211111111110000000000
16764 10987654321098765432109876543210
7684e580 16765 xx111110xx1xxxxxx00001xxxxxxxxxx
a06ea964 16766 sub. */
c2c4ff8d 16767 return 580;
a06ea964
NC
16768 }
16769 else
16770 {
16771 /* 33222222222211111111110000000000
16772 10987654321098765432109876543210
7684e580 16773 xx111110xx1xxxxxx10001xxxxxxxxxx
a06ea964 16774 ushl. */
c2c4ff8d 16775 return 578;
a06ea964
NC
16776 }
16777 }
16778 else
16779 {
16780 if (((word >> 23) & 0x1) == 0)
16781 {
16782 /* 33222222222211111111110000000000
16783 10987654321098765432109876543210
7684e580 16784 xx1111100x1xxxxxxx1001xxxxxxxxxx
a06ea964 16785 fcmge. */
c2c4ff8d 16786 return 566;
a06ea964
NC
16787 }
16788 else
16789 {
16790 /* 33222222222211111111110000000000
16791 10987654321098765432109876543210
7684e580 16792 xx1111101x1xxxxxxx1001xxxxxxxxxx
a06ea964 16793 fcmgt. */
c2c4ff8d 16794 return 572;
a06ea964
NC
16795 }
16796 }
16797 }
16798 else
16799 {
16800 if (((word >> 13) & 0x1) == 0)
16801 {
16802 if (((word >> 15) & 0x1) == 0)
16803 {
16804 /* 33222222222211111111110000000000
16805 10987654321098765432109876543210
7684e580 16806 xx111110xx1xxxxx0x0101xxxxxxxxxx
a06ea964 16807 urshl. */
c2c4ff8d 16808 return 579;
a06ea964
NC
16809 }
16810 else
16811 {
16812 /* 33222222222211111111110000000000
16813 10987654321098765432109876543210
7684e580 16814 xx111110xx1xxxxx1x0101xxxxxxxxxx
a06ea964 16815 fabd. */
c2c4ff8d 16816 return 570;
a06ea964
NC
16817 }
16818 }
16819 else
16820 {
16821 if (((word >> 15) & 0x1) == 0)
16822 {
16823 /* 33222222222211111111110000000000
16824 10987654321098765432109876543210
7684e580 16825 xx111110xx1xxxxx0x1101xxxxxxxxxx
a06ea964 16826 cmhi. */
c2c4ff8d 16827 return 576;
a06ea964
NC
16828 }
16829 else
16830 {
16831 /* 33222222222211111111110000000000
16832 10987654321098765432109876543210
7684e580 16833 xx111110xx1xxxxx1x1101xxxxxxxxxx
a06ea964 16834 sqrdmulh. */
c2c4ff8d 16835 return 565;
a06ea964
NC
16836 }
16837 }
16838 }
16839 }
16840 }
16841 }
16842 else
16843 {
16844 if (((word >> 28) & 0x1) == 0)
16845 {
16846 if (((word >> 12) & 0x1) == 0)
16847 {
16848 if (((word >> 13) & 0x1) == 0)
16849 {
16850 if (((word >> 14) & 0x1) == 0)
16851 {
16852 if (((word >> 15) & 0x1) == 0)
16853 {
16854 if (((word >> 29) & 0x1) == 0)
16855 {
16856 /* 33222222222211111111110000000000
16857 10987654321098765432109876543210
7684e580 16858 xx001110xx1xxxxx000011xxxxxxxxxx
a06ea964 16859 sqadd. */
c2c4ff8d 16860 return 263;
a06ea964
NC
16861 }
16862 else
16863 {
16864 /* 33222222222211111111110000000000
16865 10987654321098765432109876543210
7684e580 16866 xx101110xx1xxxxx000011xxxxxxxxxx
a06ea964 16867 uqadd. */
c2c4ff8d 16868 return 315;
a06ea964
NC
16869 }
16870 }
16871 else
16872 {
16873 if (((word >> 29) & 0x1) == 0)
16874 {
16875 /* 33222222222211111111110000000000
16876 10987654321098765432109876543210
7684e580 16877 xx001110xx1xxxxx100011xxxxxxxxxx
a06ea964 16878 cmtst. */
c2c4ff8d 16879 return 278;
a06ea964
NC
16880 }
16881 else
16882 {
16883 /* 33222222222211111111110000000000
16884 10987654321098765432109876543210
7684e580 16885 xx101110xx1xxxxx100011xxxxxxxxxx
a06ea964 16886 cmeq. */
c2c4ff8d 16887 return 330;
a06ea964
NC
16888 }
16889 }
16890 }
16891 else
16892 {
16893 if (((word >> 15) & 0x1) == 0)
16894 {
16895 if (((word >> 29) & 0x1) == 0)
16896 {
16897 /* 33222222222211111111110000000000
16898 10987654321098765432109876543210
7684e580 16899 xx001110xx1xxxxx010011xxxxxxxxxx
a06ea964 16900 sqshl. */
c2c4ff8d 16901 return 270;
a06ea964
NC
16902 }
16903 else
16904 {
16905 /* 33222222222211111111110000000000
16906 10987654321098765432109876543210
7684e580 16907 xx101110xx1xxxxx010011xxxxxxxxxx
a06ea964 16908 uqshl. */
c2c4ff8d 16909 return 322;
a06ea964
NC
16910 }
16911 }
16912 else
16913 {
16914 if (((word >> 23) & 0x1) == 0)
16915 {
16916 /* 33222222222211111111110000000000
16917 10987654321098765432109876543210
7684e580 16918 xxx011100x1xxxxx110011xxxxxxxxxx
a06ea964 16919 fmla. */
c2c4ff8d 16920 return 287;
a06ea964
NC
16921 }
16922 else
16923 {
16924 /* 33222222222211111111110000000000
16925 10987654321098765432109876543210
7684e580 16926 xxx011101x1xxxxx110011xxxxxxxxxx
a06ea964 16927 fmls. */
c2c4ff8d 16928 return 303;
a06ea964
NC
16929 }
16930 }
16931 }
16932 }
16933 else
16934 {
16935 if (((word >> 14) & 0x1) == 0)
16936 {
16937 if (((word >> 15) & 0x1) == 0)
16938 {
16939 if (((word >> 29) & 0x1) == 0)
16940 {
16941 /* 33222222222211111111110000000000
16942 10987654321098765432109876543210
7684e580 16943 xx001110xx1xxxxx001011xxxxxxxxxx
a06ea964 16944 sqsub. */
c2c4ff8d 16945 return 266;
a06ea964
NC
16946 }
16947 else
16948 {
16949 /* 33222222222211111111110000000000
16950 10987654321098765432109876543210
7684e580 16951 xx101110xx1xxxxx001011xxxxxxxxxx
a06ea964 16952 uqsub. */
c2c4ff8d 16953 return 318;
a06ea964
NC
16954 }
16955 }
16956 else
16957 {
16958 if (((word >> 29) & 0x1) == 0)
16959 {
16960 /* 33222222222211111111110000000000
16961 10987654321098765432109876543210
7684e580 16962 xx001110xx1xxxxx101011xxxxxxxxxx
a06ea964 16963 sminp. */
c2c4ff8d 16964 return 282;
a06ea964
NC
16965 }
16966 else
16967 {
16968 /* 33222222222211111111110000000000
16969 10987654321098765432109876543210
7684e580 16970 xx101110xx1xxxxx101011xxxxxxxxxx
a06ea964 16971 uminp. */
c2c4ff8d 16972 return 334;
a06ea964
NC
16973 }
16974 }
16975 }
16976 else
16977 {
16978 if (((word >> 15) & 0x1) == 0)
16979 {
16980 if (((word >> 29) & 0x1) == 0)
16981 {
16982 /* 33222222222211111111110000000000
16983 10987654321098765432109876543210
7684e580 16984 xx001110xx1xxxxx011011xxxxxxxxxx
a06ea964 16985 smin. */
c2c4ff8d 16986 return 274;
a06ea964
NC
16987 }
16988 else
16989 {
16990 /* 33222222222211111111110000000000
16991 10987654321098765432109876543210
7684e580 16992 xx101110xx1xxxxx011011xxxxxxxxxx
a06ea964 16993 umin. */
c2c4ff8d 16994 return 326;
a06ea964
NC
16995 }
16996 }
16997 else
16998 {
16999 if (((word >> 23) & 0x1) == 0)
17000 {
17001 /* 33222222222211111111110000000000
17002 10987654321098765432109876543210
7684e580 17003 xxx011100x1xxxxx111011xxxxxxxxxx
a06ea964 17004 facge. */
c2c4ff8d 17005 return 344;
a06ea964
NC
17006 }
17007 else
17008 {
17009 /* 33222222222211111111110000000000
17010 10987654321098765432109876543210
7684e580 17011 xxx011101x1xxxxx111011xxxxxxxxxx
a06ea964 17012 facgt. */
c2c4ff8d 17013 return 358;
a06ea964
NC
17014 }
17015 }
17016 }
17017 }
17018 }
17019 else
17020 {
17021 if (((word >> 13) & 0x1) == 0)
17022 {
17023 if (((word >> 14) & 0x1) == 0)
17024 {
17025 if (((word >> 15) & 0x1) == 0)
17026 {
17027 if (((word >> 22) & 0x1) == 0)
17028 {
17029 if (((word >> 23) & 0x1) == 0)
17030 {
17031 if (((word >> 29) & 0x1) == 0)
17032 {
17033 /* 33222222222211111111110000000000
17034 10987654321098765432109876543210
7684e580 17035 xx001110001xxxxx000111xxxxxxxxxx
a06ea964 17036 and. */
c2c4ff8d 17037 return 299;
a06ea964
NC
17038 }
17039 else
17040 {
17041 /* 33222222222211111111110000000000
17042 10987654321098765432109876543210
7684e580 17043 xx101110001xxxxx000111xxxxxxxxxx
a06ea964 17044 eor. */
c2c4ff8d 17045 return 350;
a06ea964
NC
17046 }
17047 }
17048 else
17049 {
17050 if (((word >> 29) & 0x1) == 0)
17051 {
17052 /* 33222222222211111111110000000000
17053 10987654321098765432109876543210
7684e580 17054 xx001110101xxxxx000111xxxxxxxxxx
a06ea964 17055 orr. */
c2c4ff8d 17056 return 311;
a06ea964
NC
17057 }
17058 else
17059 {
17060 /* 33222222222211111111110000000000
17061 10987654321098765432109876543210
7684e580 17062 xx101110101xxxxx000111xxxxxxxxxx
a06ea964 17063 bit. */
c2c4ff8d 17064 return 362;
a06ea964
NC
17065 }
17066 }
17067 }
17068 else
17069 {
17070 if (((word >> 23) & 0x1) == 0)
17071 {
17072 if (((word >> 29) & 0x1) == 0)
17073 {
17074 /* 33222222222211111111110000000000
17075 10987654321098765432109876543210
7684e580 17076 xx001110011xxxxx000111xxxxxxxxxx
a06ea964 17077 bic. */
c2c4ff8d 17078 return 300;
a06ea964
NC
17079 }
17080 else
17081 {
17082 /* 33222222222211111111110000000000
17083 10987654321098765432109876543210
7684e580 17084 xx101110011xxxxx000111xxxxxxxxxx
a06ea964 17085 bsl. */
c2c4ff8d 17086 return 351;
a06ea964
NC
17087 }
17088 }
17089 else
17090 {
17091 if (((word >> 29) & 0x1) == 0)
17092 {
17093 /* 33222222222211111111110000000000
17094 10987654321098765432109876543210
7684e580 17095 xx001110111xxxxx000111xxxxxxxxxx
a06ea964 17096 orn. */
c2c4ff8d 17097 return 313;
a06ea964
NC
17098 }
17099 else
17100 {
17101 /* 33222222222211111111110000000000
17102 10987654321098765432109876543210
7684e580 17103 xx101110111xxxxx000111xxxxxxxxxx
a06ea964 17104 bif. */
c2c4ff8d 17105 return 363;
a06ea964
NC
17106 }
17107 }
17108 }
17109 }
17110 else
17111 {
17112 if (((word >> 29) & 0x1) == 0)
17113 {
17114 /* 33222222222211111111110000000000
17115 10987654321098765432109876543210
7684e580 17116 xx001110xx1xxxxx100111xxxxxxxxxx
a06ea964 17117 mul. */
c2c4ff8d 17118 return 280;
a06ea964
NC
17119 }
17120 else
17121 {
17122 /* 33222222222211111111110000000000
17123 10987654321098765432109876543210
7684e580 17124 xx101110xx1xxxxx100111xxxxxxxxxx
a06ea964 17125 pmul. */
c2c4ff8d 17126 return 332;
a06ea964
NC
17127 }
17128 }
17129 }
17130 else
17131 {
17132 if (((word >> 15) & 0x1) == 0)
17133 {
17134 if (((word >> 29) & 0x1) == 0)
17135 {
17136 /* 33222222222211111111110000000000
17137 10987654321098765432109876543210
7684e580 17138 xx001110xx1xxxxx010111xxxxxxxxxx
a06ea964 17139 sqrshl. */
c2c4ff8d 17140 return 272;
a06ea964
NC
17141 }
17142 else
17143 {
17144 /* 33222222222211111111110000000000
17145 10987654321098765432109876543210
7684e580 17146 xx101110xx1xxxxx010111xxxxxxxxxx
a06ea964 17147 uqrshl. */
c2c4ff8d 17148 return 324;
a06ea964
NC
17149 }
17150 }
17151 else
17152 {
17153 if (((word >> 29) & 0x1) == 0)
17154 {
17155 /* 33222222222211111111110000000000
17156 10987654321098765432109876543210
7684e580 17157 xx001110xx1xxxxx110111xxxxxxxxxx
a06ea964 17158 fmulx. */
c2c4ff8d 17159 return 291;
a06ea964
NC
17160 }
17161 else
17162 {
17163 /* 33222222222211111111110000000000
17164 10987654321098765432109876543210
7684e580 17165 xx101110xx1xxxxx110111xxxxxxxxxx
a06ea964 17166 fmul. */
c2c4ff8d 17167 return 340;
a06ea964
NC
17168 }
17169 }
17170 }
17171 }
17172 else
17173 {
17174 if (((word >> 14) & 0x1) == 0)
17175 {
17176 if (((word >> 15) & 0x1) == 0)
17177 {
17178 if (((word >> 29) & 0x1) == 0)
17179 {
17180 /* 33222222222211111111110000000000
17181 10987654321098765432109876543210
7684e580 17182 xx001110xx1xxxxx001111xxxxxxxxxx
a06ea964 17183 cmge. */
c2c4ff8d 17184 return 268;
a06ea964
NC
17185 }
17186 else
17187 {
17188 /* 33222222222211111111110000000000
17189 10987654321098765432109876543210
7684e580 17190 xx101110xx1xxxxx001111xxxxxxxxxx
a06ea964 17191 cmhs. */
c2c4ff8d 17192 return 320;
a06ea964
NC
17193 }
17194 }
17195 else
17196 {
17197 /* 33222222222211111111110000000000
17198 10987654321098765432109876543210
7684e580 17199 xxx01110xx1xxxxx101111xxxxxxxxxx
a06ea964 17200 addp. */
c2c4ff8d 17201 return 284;
a06ea964
NC
17202 }
17203 }
17204 else
17205 {
17206 if (((word >> 15) & 0x1) == 0)
17207 {
17208 if (((word >> 29) & 0x1) == 0)
17209 {
17210 /* 33222222222211111111110000000000
17211 10987654321098765432109876543210
7684e580 17212 xx001110xx1xxxxx011111xxxxxxxxxx
a06ea964 17213 saba. */
c2c4ff8d 17214 return 276;
a06ea964
NC
17215 }
17216 else
17217 {
17218 /* 33222222222211111111110000000000
17219 10987654321098765432109876543210
7684e580 17220 xx101110xx1xxxxx011111xxxxxxxxxx
a06ea964 17221 uaba. */
c2c4ff8d 17222 return 328;
a06ea964
NC
17223 }
17224 }
17225 else
17226 {
17227 if (((word >> 23) & 0x1) == 0)
17228 {
17229 if (((word >> 29) & 0x1) == 0)
17230 {
17231 /* 33222222222211111111110000000000
17232 10987654321098765432109876543210
7684e580 17233 xx0011100x1xxxxx111111xxxxxxxxxx
a06ea964 17234 frecps. */
c2c4ff8d 17235 return 297;
a06ea964
NC
17236 }
17237 else
17238 {
17239 /* 33222222222211111111110000000000
17240 10987654321098765432109876543210
7684e580 17241 xx1011100x1xxxxx111111xxxxxxxxxx
a06ea964 17242 fdiv. */
c2c4ff8d 17243 return 348;
a06ea964
NC
17244 }
17245 }
17246 else
17247 {
17248 /* 33222222222211111111110000000000
17249 10987654321098765432109876543210
7684e580 17250 xxx011101x1xxxxx111111xxxxxxxxxx
a06ea964 17251 frsqrts. */
c2c4ff8d 17252 return 309;
a06ea964
NC
17253 }
17254 }
17255 }
17256 }
17257 }
17258 }
17259 else
17260 {
17261 if (((word >> 29) & 0x1) == 0)
17262 {
17263 if (((word >> 30) & 0x1) == 0)
17264 {
17265 /* 33222222222211111111110000000000
17266 10987654321098765432109876543210
7684e580 17267 x0011110xx1xxxxxxxxx11xxxxxxxxxx
a06ea964 17268 fcsel. */
c2c4ff8d 17269 return 850;
a06ea964
NC
17270 }
17271 else
17272 {
17273 if (((word >> 12) & 0x1) == 0)
17274 {
17275 if (((word >> 13) & 0x1) == 0)
17276 {
17277 if (((word >> 14) & 0x1) == 0)
17278 {
17279 if (((word >> 15) & 0x1) == 0)
17280 {
17281 /* 33222222222211111111110000000000
17282 10987654321098765432109876543210
7684e580 17283 x1011110xx1xxxxx000011xxxxxxxxxx
a06ea964 17284 sqadd. */
c2c4ff8d 17285 return 542;
a06ea964
NC
17286 }
17287 else
17288 {
17289 /* 33222222222211111111110000000000
17290 10987654321098765432109876543210
7684e580 17291 x1011110xx1xxxxx100011xxxxxxxxxx
a06ea964 17292 cmtst. */
c2c4ff8d 17293 return 560;
a06ea964
NC
17294 }
17295 }
17296 else
17297 {
17298 /* 33222222222211111111110000000000
17299 10987654321098765432109876543210
7684e580 17300 x1011110xx1xxxxxx10011xxxxxxxxxx
a06ea964 17301 sqshl. */
c2c4ff8d 17302 return 544;
a06ea964
NC
17303 }
17304 }
17305 else
17306 {
17307 /* 33222222222211111111110000000000
17308 10987654321098765432109876543210
7684e580 17309 x1011110xx1xxxxxxx1011xxxxxxxxxx
a06ea964 17310 sqsub. */
c2c4ff8d 17311 return 543;
a06ea964
NC
17312 }
17313 }
17314 else
17315 {
17316 if (((word >> 13) & 0x1) == 0)
17317 {
17318 if (((word >> 15) & 0x1) == 0)
17319 {
17320 /* 33222222222211111111110000000000
17321 10987654321098765432109876543210
7684e580 17322 x1011110xx1xxxxx0x0111xxxxxxxxxx
a06ea964 17323 sqrshl. */
c2c4ff8d 17324 return 545;
a06ea964
NC
17325 }
17326 else
17327 {
17328 /* 33222222222211111111110000000000
17329 10987654321098765432109876543210
7684e580 17330 x1011110xx1xxxxx1x0111xxxxxxxxxx
a06ea964 17331 fmulx. */
c2c4ff8d 17332 return 547;
a06ea964
NC
17333 }
17334 }
17335 else
17336 {
17337 if (((word >> 14) & 0x1) == 0)
17338 {
17339 /* 33222222222211111111110000000000
17340 10987654321098765432109876543210
7684e580 17341 x1011110xx1xxxxxx01111xxxxxxxxxx
a06ea964 17342 cmge. */
c2c4ff8d 17343 return 556;
a06ea964
NC
17344 }
17345 else
17346 {
17347 if (((word >> 23) & 0x1) == 0)
17348 {
17349 /* 33222222222211111111110000000000
17350 10987654321098765432109876543210
7684e580 17351 x10111100x1xxxxxx11111xxxxxxxxxx
a06ea964 17352 frecps. */
c2c4ff8d 17353 return 551;
a06ea964
NC
17354 }
17355 else
17356 {
17357 /* 33222222222211111111110000000000
17358 10987654321098765432109876543210
7684e580 17359 x10111101x1xxxxxx11111xxxxxxxxxx
a06ea964 17360 frsqrts. */
c2c4ff8d 17361 return 553;
a06ea964
NC
17362 }
17363 }
17364 }
17365 }
17366 }
17367 }
17368 else
17369 {
17370 if (((word >> 12) & 0x1) == 0)
17371 {
17372 if (((word >> 13) & 0x1) == 0)
17373 {
17374 if (((word >> 14) & 0x1) == 0)
17375 {
17376 if (((word >> 15) & 0x1) == 0)
17377 {
17378 /* 33222222222211111111110000000000
17379 10987654321098765432109876543210
7684e580 17380 xx111110xx1xxxxx000011xxxxxxxxxx
a06ea964 17381 uqadd. */
c2c4ff8d 17382 return 561;
a06ea964
NC
17383 }
17384 else
17385 {
17386 /* 33222222222211111111110000000000
17387 10987654321098765432109876543210
7684e580 17388 xx111110xx1xxxxx100011xxxxxxxxxx
a06ea964 17389 cmeq. */
c2c4ff8d 17390 return 581;
a06ea964
NC
17391 }
17392 }
17393 else
17394 {
17395 /* 33222222222211111111110000000000
17396 10987654321098765432109876543210
7684e580 17397 xx111110xx1xxxxxx10011xxxxxxxxxx
a06ea964 17398 uqshl. */
c2c4ff8d 17399 return 563;
a06ea964
NC
17400 }
17401 }
17402 else
17403 {
17404 if (((word >> 14) & 0x1) == 0)
17405 {
17406 /* 33222222222211111111110000000000
17407 10987654321098765432109876543210
7684e580 17408 xx111110xx1xxxxxx01011xxxxxxxxxx
a06ea964 17409 uqsub. */
c2c4ff8d 17410 return 562;
a06ea964
NC
17411 }
17412 else
17413 {
17414 if (((word >> 23) & 0x1) == 0)
17415 {
17416 /* 33222222222211111111110000000000
17417 10987654321098765432109876543210
7684e580 17418 xx1111100x1xxxxxx11011xxxxxxxxxx
a06ea964 17419 facge. */
c2c4ff8d 17420 return 568;
a06ea964
NC
17421 }
17422 else
17423 {
17424 /* 33222222222211111111110000000000
17425 10987654321098765432109876543210
7684e580 17426 xx1111101x1xxxxxx11011xxxxxxxxxx
a06ea964 17427 facgt. */
c2c4ff8d 17428 return 574;
a06ea964
NC
17429 }
17430 }
17431 }
17432 }
17433 else
17434 {
17435 if (((word >> 13) & 0x1) == 0)
17436 {
17437 /* 33222222222211111111110000000000
17438 10987654321098765432109876543210
7684e580 17439 xx111110xx1xxxxxxx0111xxxxxxxxxx
a06ea964 17440 uqrshl. */
c2c4ff8d 17441 return 564;
a06ea964
NC
17442 }
17443 else
17444 {
17445 /* 33222222222211111111110000000000
17446 10987654321098765432109876543210
7684e580 17447 xx111110xx1xxxxxxx1111xxxxxxxxxx
a06ea964 17448 cmhs. */
c2c4ff8d 17449 return 577;
a06ea964
NC
17450 }
17451 }
17452 }
17453 }
17454 }
17455 }
17456 }
17457 }
17458 else
17459 {
17460 if (((word >> 15) & 0x1) == 0)
17461 {
17462 if (((word >> 28) & 0x1) == 0)
17463 {
17464 if (((word >> 10) & 0x1) == 0)
17465 {
17466 if (((word >> 12) & 0x1) == 0)
17467 {
17468 if (((word >> 13) & 0x1) == 0)
17469 {
17470 if (((word >> 14) & 0x1) == 0)
17471 {
17472 /* 33222222222211111111110000000000
17473 10987654321098765432109876543210
7684e580 17474 xxx01111xxxxxxxx0000x0xxxxxxxxxx
a06ea964 17475 mla. */
bb515fea 17476 return 117;
a06ea964
NC
17477 }
17478 else
17479 {
17480 /* 33222222222211111111110000000000
17481 10987654321098765432109876543210
7684e580 17482 xxx01111xxxxxxxx0100x0xxxxxxxxxx
a06ea964 17483 mls. */
bb515fea 17484 return 120;
a06ea964
NC
17485 }
17486 }
17487 else
17488 {
17489 if (((word >> 14) & 0x1) == 0)
17490 {
17491 if (((word >> 29) & 0x1) == 0)
17492 {
17493 if (((word >> 30) & 0x1) == 0)
17494 {
17495 /* 33222222222211111111110000000000
17496 10987654321098765432109876543210
7684e580 17497 x0001111xxxxxxxx0010x0xxxxxxxxxx
a06ea964 17498 smlal. */
bb515fea 17499 return 96;
a06ea964
NC
17500 }
17501 else
17502 {
17503 /* 33222222222211111111110000000000
17504 10987654321098765432109876543210
7684e580 17505 x1001111xxxxxxxx0010x0xxxxxxxxxx
a06ea964 17506 smlal2. */
bb515fea 17507 return 97;
a06ea964
NC
17508 }
17509 }
17510 else
17511 {
17512 if (((word >> 30) & 0x1) == 0)
17513 {
17514 /* 33222222222211111111110000000000
17515 10987654321098765432109876543210
7684e580 17516 x0101111xxxxxxxx0010x0xxxxxxxxxx
a06ea964 17517 umlal. */
bb515fea 17518 return 118;
a06ea964
NC
17519 }
17520 else
17521 {
17522 /* 33222222222211111111110000000000
17523 10987654321098765432109876543210
7684e580 17524 x1101111xxxxxxxx0010x0xxxxxxxxxx
a06ea964 17525 umlal2. */
bb515fea 17526 return 119;
a06ea964
NC
17527 }
17528 }
17529 }
17530 else
17531 {
17532 if (((word >> 29) & 0x1) == 0)
17533 {
17534 if (((word >> 30) & 0x1) == 0)
17535 {
17536 /* 33222222222211111111110000000000
17537 10987654321098765432109876543210
7684e580 17538 x0001111xxxxxxxx0110x0xxxxxxxxxx
a06ea964 17539 smlsl. */
bb515fea 17540 return 100;
a06ea964
NC
17541 }
17542 else
17543 {
17544 /* 33222222222211111111110000000000
17545 10987654321098765432109876543210
7684e580 17546 x1001111xxxxxxxx0110x0xxxxxxxxxx
a06ea964 17547 smlsl2. */
bb515fea 17548 return 101;
a06ea964
NC
17549 }
17550 }
17551 else
17552 {
17553 if (((word >> 30) & 0x1) == 0)
17554 {
17555 /* 33222222222211111111110000000000
17556 10987654321098765432109876543210
7684e580 17557 x0101111xxxxxxxx0110x0xxxxxxxxxx
a06ea964 17558 umlsl. */
bb515fea 17559 return 121;
a06ea964
NC
17560 }
17561 else
17562 {
17563 /* 33222222222211111111110000000000
17564 10987654321098765432109876543210
7684e580 17565 x1101111xxxxxxxx0110x0xxxxxxxxxx
a06ea964 17566 umlsl2. */
bb515fea 17567 return 122;
a06ea964
NC
17568 }
17569 }
17570 }
17571 }
17572 }
17573 else
17574 {
c2c4ff8d 17575 if (((word >> 29) & 0x1) == 0)
a06ea964 17576 {
c2c4ff8d 17577 if (((word >> 13) & 0x1) == 0)
a06ea964 17578 {
c2c4ff8d 17579 if (((word >> 14) & 0x1) == 0)
42f23f62 17580 {
c2c4ff8d
SN
17581 if (((word >> 23) & 0x1) == 0)
17582 {
17583 /* 33222222222211111111110000000000
17584 10987654321098765432109876543210
7684e580 17585 xx0011110xxxxxxx0001x0xxxxxxxxxx
c2c4ff8d
SN
17586 fmla. */
17587 return 112;
17588 }
17589 else
17590 {
17591 /* 33222222222211111111110000000000
17592 10987654321098765432109876543210
7684e580 17593 xx0011111xxxxxxx0001x0xxxxxxxxxx
c2c4ff8d
SN
17594 fmla. */
17595 return 111;
17596 }
42f23f62
MW
17597 }
17598 else
17599 {
c2c4ff8d
SN
17600 if (((word >> 23) & 0x1) == 0)
17601 {
17602 /* 33222222222211111111110000000000
17603 10987654321098765432109876543210
7684e580 17604 xx0011110xxxxxxx0101x0xxxxxxxxxx
c2c4ff8d
SN
17605 fmls. */
17606 return 114;
17607 }
17608 else
17609 {
17610 /* 33222222222211111111110000000000
17611 10987654321098765432109876543210
7684e580 17612 xx0011111xxxxxxx0101x0xxxxxxxxxx
c2c4ff8d
SN
17613 fmls. */
17614 return 113;
17615 }
42f23f62 17616 }
a06ea964
NC
17617 }
17618 else
17619 {
c2c4ff8d 17620 if (((word >> 14) & 0x1) == 0)
42f23f62 17621 {
c2c4ff8d
SN
17622 if (((word >> 30) & 0x1) == 0)
17623 {
17624 /* 33222222222211111111110000000000
17625 10987654321098765432109876543210
7684e580 17626 x0001111xxxxxxxx0011x0xxxxxxxxxx
c2c4ff8d
SN
17627 sqdmlal. */
17628 return 98;
17629 }
17630 else
17631 {
17632 /* 33222222222211111111110000000000
17633 10987654321098765432109876543210
7684e580 17634 x1001111xxxxxxxx0011x0xxxxxxxxxx
c2c4ff8d
SN
17635 sqdmlal2. */
17636 return 99;
17637 }
42f23f62
MW
17638 }
17639 else
17640 {
c2c4ff8d
SN
17641 if (((word >> 30) & 0x1) == 0)
17642 {
17643 /* 33222222222211111111110000000000
17644 10987654321098765432109876543210
7684e580 17645 x0001111xxxxxxxx0111x0xxxxxxxxxx
c2c4ff8d
SN
17646 sqdmlsl. */
17647 return 102;
17648 }
17649 else
17650 {
17651 /* 33222222222211111111110000000000
17652 10987654321098765432109876543210
7684e580 17653 x1001111xxxxxxxx0111x0xxxxxxxxxx
c2c4ff8d
SN
17654 sqdmlsl2. */
17655 return 103;
17656 }
42f23f62 17657 }
a06ea964
NC
17658 }
17659 }
17660 else
17661 {
c2c4ff8d
SN
17662 /* 33222222222211111111110000000000
17663 10987654321098765432109876543210
7684e580 17664 xx101111xxxxxxxx0xx1x0xxxxxxxxxx
c2c4ff8d
SN
17665 fcmla. */
17666 return 129;
a06ea964
NC
17667 }
17668 }
17669 }
17670 else
17671 {
17672 if (((word >> 12) & 0x1) == 0)
17673 {
17674 if (((word >> 29) & 0x1) == 0)
17675 {
17676 /* 33222222222211111111110000000000
17677 10987654321098765432109876543210
7684e580 17678 xx001111xxxxxxxx0xx0x1xxxxxxxxxx
a06ea964 17679 movi. */
c2c4ff8d 17680 return 131;
a06ea964
NC
17681 }
17682 else
17683 {
17684 /* 33222222222211111111110000000000
17685 10987654321098765432109876543210
7684e580 17686 xx101111xxxxxxxx0xx0x1xxxxxxxxxx
a06ea964 17687 mvni. */
c2c4ff8d 17688 return 139;
a06ea964
NC
17689 }
17690 }
17691 else
17692 {
17693 if (((word >> 29) & 0x1) == 0)
17694 {
17695 /* 33222222222211111111110000000000
17696 10987654321098765432109876543210
7684e580 17697 xx001111xxxxxxxx0xx1x1xxxxxxxxxx
a06ea964 17698 orr. */
c2c4ff8d 17699 return 132;
a06ea964
NC
17700 }
17701 else
17702 {
17703 /* 33222222222211111111110000000000
17704 10987654321098765432109876543210
7684e580 17705 xx101111xxxxxxxx0xx1x1xxxxxxxxxx
a06ea964 17706 bic. */
c2c4ff8d 17707 return 140;
a06ea964
NC
17708 }
17709 }
17710 }
17711 }
17712 else
17713 {
17714 if (((word >> 29) & 0x1) == 0)
17715 {
17716 if (((word >> 30) & 0x1) == 0)
17717 {
17718 if (((word >> 21) & 0x1) == 0)
17719 {
17720 /* 33222222222211111111110000000000
17721 10987654321098765432109876543210
7684e580 17722 x0011111xx0xxxxx0xxxxxxxxxxxxxxx
a06ea964 17723 fmadd. */
c2c4ff8d 17724 return 840;
a06ea964
NC
17725 }
17726 else
17727 {
17728 /* 33222222222211111111110000000000
17729 10987654321098765432109876543210
7684e580 17730 x0011111xx1xxxxx0xxxxxxxxxxxxxxx
a06ea964 17731 fnmadd. */
c2c4ff8d 17732 return 844;
a06ea964
NC
17733 }
17734 }
17735 else
17736 {
17737 if (((word >> 10) & 0x1) == 0)
17738 {
17739 if (((word >> 13) & 0x1) == 0)
17740 {
17741 if (((word >> 14) & 0x1) == 0)
17742 {
5f7728b7
MW
17743 if (((word >> 23) & 0x1) == 0)
17744 {
17745 /* 33222222222211111111110000000000
17746 10987654321098765432109876543210
7684e580 17747 x10111110xxxxxxx000xx0xxxxxxxxxx
5f7728b7 17748 fmla. */
c2c4ff8d 17749 return 425;
5f7728b7
MW
17750 }
17751 else
17752 {
17753 /* 33222222222211111111110000000000
17754 10987654321098765432109876543210
7684e580 17755 x10111111xxxxxxx000xx0xxxxxxxxxx
5f7728b7 17756 fmla. */
c2c4ff8d 17757 return 424;
5f7728b7 17758 }
a06ea964
NC
17759 }
17760 else
17761 {
5f7728b7
MW
17762 if (((word >> 23) & 0x1) == 0)
17763 {
17764 /* 33222222222211111111110000000000
17765 10987654321098765432109876543210
7684e580 17766 x10111110xxxxxxx010xx0xxxxxxxxxx
5f7728b7 17767 fmls. */
c2c4ff8d 17768 return 427;
5f7728b7
MW
17769 }
17770 else
17771 {
17772 /* 33222222222211111111110000000000
17773 10987654321098765432109876543210
7684e580 17774 x10111111xxxxxxx010xx0xxxxxxxxxx
5f7728b7 17775 fmls. */
c2c4ff8d 17776 return 426;
5f7728b7 17777 }
a06ea964
NC
17778 }
17779 }
17780 else
17781 {
17782 if (((word >> 14) & 0x1) == 0)
17783 {
17784 /* 33222222222211111111110000000000
17785 10987654321098765432109876543210
7684e580 17786 x1011111xxxxxxxx001xx0xxxxxxxxxx
a06ea964 17787 sqdmlal. */
c2c4ff8d 17788 return 419;
a06ea964
NC
17789 }
17790 else
17791 {
17792 /* 33222222222211111111110000000000
17793 10987654321098765432109876543210
7684e580 17794 x1011111xxxxxxxx011xx0xxxxxxxxxx
a06ea964 17795 sqdmlsl. */
c2c4ff8d 17796 return 420;
a06ea964
NC
17797 }
17798 }
17799 }
17800 else
17801 {
17802 if (((word >> 12) & 0x1) == 0)
17803 {
17804 if (((word >> 13) & 0x1) == 0)
17805 {
17806 /* 33222222222211111111110000000000
17807 10987654321098765432109876543210
7684e580 17808 x1011111xxxxxxxx0x00x1xxxxxxxxxx
a06ea964 17809 sshr. */
c2c4ff8d 17810 return 584;
a06ea964
NC
17811 }
17812 else
17813 {
17814 /* 33222222222211111111110000000000
17815 10987654321098765432109876543210
7684e580 17816 x1011111xxxxxxxx0x10x1xxxxxxxxxx
a06ea964 17817 srshr. */
c2c4ff8d 17818 return 586;
a06ea964
NC
17819 }
17820 }
17821 else
17822 {
17823 if (((word >> 13) & 0x1) == 0)
17824 {
17825 if (((word >> 14) & 0x1) == 0)
17826 {
17827 /* 33222222222211111111110000000000
17828 10987654321098765432109876543210
7684e580 17829 x1011111xxxxxxxx0001x1xxxxxxxxxx
a06ea964 17830 ssra. */
c2c4ff8d 17831 return 585;
a06ea964
NC
17832 }
17833 else
17834 {
17835 /* 33222222222211111111110000000000
17836 10987654321098765432109876543210
7684e580 17837 x1011111xxxxxxxx0101x1xxxxxxxxxx
a06ea964 17838 shl. */
c2c4ff8d 17839 return 588;
a06ea964
NC
17840 }
17841 }
17842 else
17843 {
17844 if (((word >> 14) & 0x1) == 0)
17845 {
17846 /* 33222222222211111111110000000000
17847 10987654321098765432109876543210
7684e580 17848 x1011111xxxxxxxx0011x1xxxxxxxxxx
a06ea964 17849 srsra. */
c2c4ff8d 17850 return 587;
a06ea964
NC
17851 }
17852 else
17853 {
17854 /* 33222222222211111111110000000000
17855 10987654321098765432109876543210
7684e580 17856 x1011111xxxxxxxx0111x1xxxxxxxxxx
a06ea964 17857 sqshl. */
c2c4ff8d 17858 return 589;
a06ea964
NC
17859 }
17860 }
17861 }
17862 }
17863 }
17864 }
17865 else
17866 {
17867 if (((word >> 12) & 0x1) == 0)
17868 {
17869 if (((word >> 13) & 0x1) == 0)
17870 {
17871 if (((word >> 14) & 0x1) == 0)
17872 {
17873 /* 33222222222211111111110000000000
17874 10987654321098765432109876543210
7684e580 17875 xx111111xxxxxxxx0000xxxxxxxxxxxx
a06ea964 17876 ushr. */
c2c4ff8d 17877 return 596;
a06ea964
NC
17878 }
17879 else
17880 {
17881 /* 33222222222211111111110000000000
17882 10987654321098765432109876543210
7684e580 17883 xx111111xxxxxxxx0100xxxxxxxxxxxx
a06ea964 17884 sri. */
c2c4ff8d 17885 return 600;
a06ea964
NC
17886 }
17887 }
17888 else
17889 {
17890 if (((word >> 14) & 0x1) == 0)
17891 {
17892 /* 33222222222211111111110000000000
17893 10987654321098765432109876543210
7684e580 17894 xx111111xxxxxxxx0010xxxxxxxxxxxx
a06ea964 17895 urshr. */
c2c4ff8d 17896 return 598;
a06ea964
NC
17897 }
17898 else
17899 {
17900 /* 33222222222211111111110000000000
17901 10987654321098765432109876543210
7684e580 17902 xx111111xxxxxxxx0110xxxxxxxxxxxx
a06ea964 17903 sqshlu. */
c2c4ff8d 17904 return 602;
a06ea964
NC
17905 }
17906 }
17907 }
17908 else
17909 {
17910 if (((word >> 13) & 0x1) == 0)
17911 {
17912 if (((word >> 14) & 0x1) == 0)
17913 {
17914 /* 33222222222211111111110000000000
17915 10987654321098765432109876543210
7684e580 17916 xx111111xxxxxxxx0001xxxxxxxxxxxx
a06ea964 17917 usra. */
c2c4ff8d 17918 return 597;
a06ea964
NC
17919 }
17920 else
17921 {
17922 /* 33222222222211111111110000000000
17923 10987654321098765432109876543210
7684e580 17924 xx111111xxxxxxxx0101xxxxxxxxxxxx
a06ea964 17925 sli. */
c2c4ff8d 17926 return 601;
a06ea964
NC
17927 }
17928 }
17929 else
17930 {
17931 if (((word >> 14) & 0x1) == 0)
17932 {
17933 /* 33222222222211111111110000000000
17934 10987654321098765432109876543210
7684e580 17935 xx111111xxxxxxxx0011xxxxxxxxxxxx
a06ea964 17936 ursra. */
c2c4ff8d 17937 return 599;
a06ea964
NC
17938 }
17939 else
17940 {
17941 /* 33222222222211111111110000000000
17942 10987654321098765432109876543210
7684e580 17943 xx111111xxxxxxxx0111xxxxxxxxxxxx
a06ea964 17944 uqshl. */
c2c4ff8d 17945 return 603;
a06ea964
NC
17946 }
17947 }
17948 }
17949 }
17950 }
17951 }
17952 else
17953 {
17954 if (((word >> 28) & 0x1) == 0)
17955 {
65a55fbb 17956 if (((word >> 14) & 0x1) == 0)
a06ea964 17957 {
65a55fbb 17958 if (((word >> 10) & 0x1) == 0)
a06ea964 17959 {
65a55fbb 17960 if (((word >> 12) & 0x1) == 0)
a06ea964 17961 {
65a55fbb 17962 if (((word >> 13) & 0x1) == 0)
a06ea964
NC
17963 {
17964 /* 33222222222211111111110000000000
17965 10987654321098765432109876543210
7684e580 17966 xxx01111xxxxxxxx1000x0xxxxxxxxxx
a06ea964 17967 mul. */
bb515fea 17968 return 104;
a06ea964
NC
17969 }
17970 else
17971 {
65a55fbb 17972 if (((word >> 29) & 0x1) == 0)
a06ea964 17973 {
65a55fbb
TC
17974 if (((word >> 30) & 0x1) == 0)
17975 {
17976 /* 33222222222211111111110000000000
17977 10987654321098765432109876543210
7684e580 17978 x0001111xxxxxxxx1010x0xxxxxxxxxx
65a55fbb
TC
17979 smull. */
17980 return 105;
17981 }
17982 else
17983 {
17984 /* 33222222222211111111110000000000
17985 10987654321098765432109876543210
7684e580 17986 x1001111xxxxxxxx1010x0xxxxxxxxxx
65a55fbb
TC
17987 smull2. */
17988 return 106;
17989 }
a06ea964
NC
17990 }
17991 else
17992 {
65a55fbb
TC
17993 if (((word >> 30) & 0x1) == 0)
17994 {
17995 /* 33222222222211111111110000000000
17996 10987654321098765432109876543210
7684e580 17997 x0101111xxxxxxxx1010x0xxxxxxxxxx
65a55fbb
TC
17998 umull. */
17999 return 123;
18000 }
18001 else
18002 {
18003 /* 33222222222211111111110000000000
18004 10987654321098765432109876543210
7684e580 18005 x1101111xxxxxxxx1010x0xxxxxxxxxx
65a55fbb
TC
18006 umull2. */
18007 return 124;
18008 }
a06ea964
NC
18009 }
18010 }
18011 }
65a55fbb 18012 else
a06ea964 18013 {
65a55fbb 18014 if (((word >> 13) & 0x1) == 0)
a06ea964 18015 {
42f23f62 18016 if (((word >> 23) & 0x1) == 0)
a06ea964 18017 {
42f23f62
MW
18018 if (((word >> 29) & 0x1) == 0)
18019 {
18020 /* 33222222222211111111110000000000
18021 10987654321098765432109876543210
7684e580 18022 xx0011110xxxxxxx1001x0xxxxxxxxxx
42f23f62 18023 fmul. */
bb515fea 18024 return 116;
42f23f62
MW
18025 }
18026 else
18027 {
18028 /* 33222222222211111111110000000000
18029 10987654321098765432109876543210
7684e580 18030 xx1011110xxxxxxx1001x0xxxxxxxxxx
42f23f62 18031 fmulx. */
bb515fea 18032 return 126;
42f23f62 18033 }
a06ea964
NC
18034 }
18035 else
18036 {
42f23f62
MW
18037 if (((word >> 29) & 0x1) == 0)
18038 {
18039 /* 33222222222211111111110000000000
18040 10987654321098765432109876543210
7684e580 18041 xx0011111xxxxxxx1001x0xxxxxxxxxx
42f23f62 18042 fmul. */
bb515fea 18043 return 115;
42f23f62
MW
18044 }
18045 else
18046 {
18047 /* 33222222222211111111110000000000
18048 10987654321098765432109876543210
7684e580 18049 xx1011111xxxxxxx1001x0xxxxxxxxxx
42f23f62 18050 fmulx. */
bb515fea 18051 return 125;
42f23f62 18052 }
a06ea964
NC
18053 }
18054 }
18055 else
a06ea964 18056 {
9e1f0fa7
MW
18057 if (((word >> 30) & 0x1) == 0)
18058 {
18059 /* 33222222222211111111110000000000
18060 10987654321098765432109876543210
7684e580 18061 x0x01111xxxxxxxx1011x0xxxxxxxxxx
9e1f0fa7 18062 sqdmull. */
bb515fea 18063 return 107;
9e1f0fa7
MW
18064 }
18065 else
18066 {
18067 /* 33222222222211111111110000000000
18068 10987654321098765432109876543210
7684e580 18069 x1x01111xxxxxxxx1011x0xxxxxxxxxx
9e1f0fa7 18070 sqdmull2. */
bb515fea 18071 return 108;
9e1f0fa7 18072 }
a06ea964 18073 }
a06ea964
NC
18074 }
18075 }
65a55fbb 18076 else
a06ea964 18077 {
65a55fbb 18078 if (((word >> 11) & 0x1) == 0)
a06ea964
NC
18079 {
18080 if (((word >> 12) & 0x1) == 0)
18081 {
18082 if (((word >> 29) & 0x1) == 0)
18083 {
18084 /* 33222222222211111111110000000000
18085 10987654321098765432109876543210
7684e580 18086 xx001111xxxxxxxx10x001xxxxxxxxxx
a06ea964 18087 movi. */
c2c4ff8d 18088 return 133;
a06ea964
NC
18089 }
18090 else
18091 {
18092 /* 33222222222211111111110000000000
18093 10987654321098765432109876543210
7684e580 18094 xx101111xxxxxxxx10x001xxxxxxxxxx
a06ea964 18095 mvni. */
c2c4ff8d 18096 return 141;
a06ea964
NC
18097 }
18098 }
18099 else
18100 {
18101 if (((word >> 29) & 0x1) == 0)
18102 {
18103 /* 33222222222211111111110000000000
18104 10987654321098765432109876543210
7684e580 18105 xx001111xxxxxxxx10x101xxxxxxxxxx
a06ea964 18106 orr. */
c2c4ff8d 18107 return 134;
a06ea964
NC
18108 }
18109 else
18110 {
18111 /* 33222222222211111111110000000000
18112 10987654321098765432109876543210
7684e580 18113 xx101111xxxxxxxx10x101xxxxxxxxxx
a06ea964 18114 bic. */
c2c4ff8d 18115 return 142;
a06ea964
NC
18116 }
18117 }
18118 }
18119 else
18120 {
65a55fbb 18121 if (((word >> 12) & 0x1) == 0)
a06ea964
NC
18122 {
18123 if (((word >> 29) & 0x1) == 0)
18124 {
65a55fbb
TC
18125 if (((word >> 30) & 0x1) == 0)
18126 {
18127 /* 33222222222211111111110000000000
18128 10987654321098765432109876543210
7684e580 18129 x0001111xxxxxxxx10x011xxxxxxxxxx
65a55fbb
TC
18130 rshrn. */
18131 return 376;
18132 }
18133 else
18134 {
18135 /* 33222222222211111111110000000000
18136 10987654321098765432109876543210
7684e580 18137 x1001111xxxxxxxx10x011xxxxxxxxxx
65a55fbb
TC
18138 rshrn2. */
18139 return 377;
18140 }
a06ea964
NC
18141 }
18142 else
18143 {
65a55fbb
TC
18144 if (((word >> 30) & 0x1) == 0)
18145 {
18146 /* 33222222222211111111110000000000
18147 10987654321098765432109876543210
7684e580 18148 x0101111xxxxxxxx10x011xxxxxxxxxx
65a55fbb
TC
18149 sqrshrun. */
18150 return 400;
18151 }
18152 else
18153 {
18154 /* 33222222222211111111110000000000
18155 10987654321098765432109876543210
7684e580 18156 x1101111xxxxxxxx10x011xxxxxxxxxx
65a55fbb
TC
18157 sqrshrun2. */
18158 return 401;
18159 }
a06ea964
NC
18160 }
18161 }
18162 else
18163 {
65a55fbb 18164 if (((word >> 29) & 0x1) == 0)
a06ea964 18165 {
65a55fbb 18166 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
18167 {
18168 /* 33222222222211111111110000000000
18169 10987654321098765432109876543210
7684e580 18170 x0001111xxxxxxxx10x111xxxxxxxxxx
65a55fbb
TC
18171 sqrshrn. */
18172 return 380;
a06ea964
NC
18173 }
18174 else
18175 {
18176 /* 33222222222211111111110000000000
18177 10987654321098765432109876543210
7684e580 18178 x1001111xxxxxxxx10x111xxxxxxxxxx
65a55fbb
TC
18179 sqrshrn2. */
18180 return 381;
a06ea964
NC
18181 }
18182 }
18183 else
18184 {
65a55fbb 18185 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
18186 {
18187 /* 33222222222211111111110000000000
18188 10987654321098765432109876543210
7684e580 18189 x0101111xxxxxxxx10x111xxxxxxxxxx
65a55fbb
TC
18190 uqrshrn. */
18191 return 404;
a06ea964
NC
18192 }
18193 else
18194 {
18195 /* 33222222222211111111110000000000
18196 10987654321098765432109876543210
7684e580 18197 x1101111xxxxxxxx10x111xxxxxxxxxx
65a55fbb
TC
18198 uqrshrn2. */
18199 return 405;
a06ea964
NC
18200 }
18201 }
18202 }
18203 }
18204 }
65a55fbb
TC
18205 }
18206 else
18207 {
18208 if (((word >> 13) & 0x1) == 0)
a06ea964 18209 {
65a55fbb 18210 if (((word >> 10) & 0x1) == 0)
a06ea964 18211 {
65a55fbb 18212 if (((word >> 12) & 0x1) == 0)
a06ea964 18213 {
65a55fbb
TC
18214 /* 33222222222211111111110000000000
18215 10987654321098765432109876543210
7684e580 18216 xxx01111xxxxxxxx1100x0xxxxxxxxxx
65a55fbb
TC
18217 sqdmulh. */
18218 return 109;
a06ea964
NC
18219 }
18220 else
18221 {
65a55fbb 18222 if (((word >> 29) & 0x1) == 0)
a06ea964
NC
18223 {
18224 /* 33222222222211111111110000000000
18225 10987654321098765432109876543210
7684e580 18226 xx001111xxxxxxxx1101x0xxxxxxxxxx
65a55fbb
TC
18227 sqrdmulh. */
18228 return 110;
a06ea964
NC
18229 }
18230 else
18231 {
18232 /* 33222222222211111111110000000000
18233 10987654321098765432109876543210
7684e580 18234 xx101111xxxxxxxx1101x0xxxxxxxxxx
65a55fbb
TC
18235 sqrdmlah. */
18236 return 127;
a06ea964
NC
18237 }
18238 }
18239 }
18240 else
18241 {
65a55fbb 18242 if (((word >> 29) & 0x1) == 0)
a06ea964 18243 {
65a55fbb
TC
18244 /* 33222222222211111111110000000000
18245 10987654321098765432109876543210
7684e580 18246 xx001111xxxxxxxx110xx1xxxxxxxxxx
65a55fbb
TC
18247 movi. */
18248 return 135;
18249 }
18250 else
18251 {
18252 /* 33222222222211111111110000000000
18253 10987654321098765432109876543210
7684e580 18254 xx101111xxxxxxxx110xx1xxxxxxxxxx
65a55fbb
TC
18255 mvni. */
18256 return 143;
18257 }
18258 }
18259 }
18260 else
18261 {
18262 if (((word >> 12) & 0x1) == 0)
18263 {
18264 if (((word >> 29) & 0x1) == 0)
18265 {
18266 /* 33222222222211111111110000000000
18267 10987654321098765432109876543210
7684e580 18268 xx001111xxxxxxxx1110xxxxxxxxxxxx
65a55fbb
TC
18269 movi. */
18270 return 136;
18271 }
18272 else
18273 {
18274 /* 33222222222211111111110000000000
18275 10987654321098765432109876543210
7684e580 18276 xx101111xxxxxxxx1110xxxxxxxxxxxx
65a55fbb
TC
18277 movi. */
18278 return 144;
18279 }
18280 }
18281 else
18282 {
18283 if (((word >> 10) & 0x1) == 0)
18284 {
18285 /* 33222222222211111111110000000000
18286 10987654321098765432109876543210
7684e580 18287 xxx01111xxxxxxxx1111x0xxxxxxxxxx
65a55fbb
TC
18288 sqrdmlsh. */
18289 return 128;
18290 }
18291 else
18292 {
18293 if (((word >> 11) & 0x1) == 0)
a06ea964 18294 {
65a55fbb 18295 if (((word >> 29) & 0x1) == 0)
a06ea964
NC
18296 {
18297 /* 33222222222211111111110000000000
18298 10987654321098765432109876543210
7684e580 18299 xx001111xxxxxxxx111101xxxxxxxxxx
65a55fbb
TC
18300 fmov. */
18301 return 137;
a06ea964
NC
18302 }
18303 else
18304 {
18305 /* 33222222222211111111110000000000
18306 10987654321098765432109876543210
7684e580 18307 xx101111xxxxxxxx111101xxxxxxxxxx
65a55fbb
TC
18308 fmov. */
18309 return 146;
a06ea964
NC
18310 }
18311 }
18312 else
18313 {
65a55fbb 18314 if (((word >> 29) & 0x1) == 0)
a06ea964
NC
18315 {
18316 /* 33222222222211111111110000000000
18317 10987654321098765432109876543210
7684e580 18318 xx001111xxxxxxxx111111xxxxxxxxxx
65a55fbb
TC
18319 fmov. */
18320 return 138;
a06ea964
NC
18321 }
18322 else
18323 {
18324 /* 33222222222211111111110000000000
18325 10987654321098765432109876543210
7684e580 18326 xx101111xxxxxxxx111111xxxxxxxxxx
65a55fbb
TC
18327 fcvtzu. */
18328 return 412;
a06ea964
NC
18329 }
18330 }
18331 }
a06ea964
NC
18332 }
18333 }
18334 }
18335 }
18336 else
18337 {
18338 if (((word >> 29) & 0x1) == 0)
18339 {
18340 if (((word >> 30) & 0x1) == 0)
18341 {
18342 if (((word >> 21) & 0x1) == 0)
18343 {
18344 /* 33222222222211111111110000000000
18345 10987654321098765432109876543210
7684e580 18346 x0011111xx0xxxxx1xxxxxxxxxxxxxxx
a06ea964 18347 fmsub. */
c2c4ff8d 18348 return 842;
a06ea964
NC
18349 }
18350 else
18351 {
18352 /* 33222222222211111111110000000000
18353 10987654321098765432109876543210
7684e580 18354 x0011111xx1xxxxx1xxxxxxxxxxxxxxx
a06ea964 18355 fnmsub. */
c2c4ff8d 18356 return 846;
a06ea964
NC
18357 }
18358 }
18359 else
18360 {
18361 if (((word >> 10) & 0x1) == 0)
18362 {
18363 if (((word >> 12) & 0x1) == 0)
18364 {
18365 /* 33222222222211111111110000000000
18366 10987654321098765432109876543210
7684e580 18367 x1011111xxxxxxxx1xx0x0xxxxxxxxxx
a06ea964 18368 sqdmulh. */
c2c4ff8d 18369 return 422;
a06ea964
NC
18370 }
18371 else
18372 {
18373 if (((word >> 13) & 0x1) == 0)
18374 {
18375 if (((word >> 14) & 0x1) == 0)
18376 {
5f7728b7
MW
18377 if (((word >> 23) & 0x1) == 0)
18378 {
18379 /* 33222222222211111111110000000000
18380 10987654321098765432109876543210
7684e580 18381 x10111110xxxxxxx1001x0xxxxxxxxxx
5f7728b7 18382 fmul. */
c2c4ff8d 18383 return 429;
5f7728b7
MW
18384 }
18385 else
18386 {
18387 /* 33222222222211111111110000000000
18388 10987654321098765432109876543210
7684e580 18389 x10111111xxxxxxx1001x0xxxxxxxxxx
5f7728b7 18390 fmul. */
c2c4ff8d 18391 return 428;
5f7728b7 18392 }
a06ea964
NC
18393 }
18394 else
18395 {
18396 /* 33222222222211111111110000000000
18397 10987654321098765432109876543210
7684e580 18398 x1011111xxxxxxxx1101x0xxxxxxxxxx
a06ea964 18399 sqrdmulh. */
c2c4ff8d 18400 return 423;
a06ea964
NC
18401 }
18402 }
18403 else
18404 {
18405 /* 33222222222211111111110000000000
18406 10987654321098765432109876543210
7684e580 18407 x1011111xxxxxxxx1x11x0xxxxxxxxxx
a06ea964 18408 sqdmull. */
c2c4ff8d 18409 return 421;
a06ea964
NC
18410 }
18411 }
18412 }
18413 else
18414 {
18415 if (((word >> 11) & 0x1) == 0)
18416 {
18417 if (((word >> 12) & 0x1) == 0)
18418 {
18419 /* 33222222222211111111110000000000
18420 10987654321098765432109876543210
7684e580 18421 x1011111xxxxxxxx1xx001xxxxxxxxxx
a06ea964 18422 scvtf. */
c2c4ff8d 18423 return 592;
a06ea964
NC
18424 }
18425 else
18426 {
18427 /* 33222222222211111111110000000000
18428 10987654321098765432109876543210
7684e580 18429 x1011111xxxxxxxx1xx101xxxxxxxxxx
a06ea964 18430 sqshrn. */
c2c4ff8d 18431 return 590;
a06ea964
NC
18432 }
18433 }
18434 else
18435 {
18436 if (((word >> 13) & 0x1) == 0)
18437 {
18438 /* 33222222222211111111110000000000
18439 10987654321098765432109876543210
7684e580 18440 x1011111xxxxxxxx1x0x11xxxxxxxxxx
a06ea964 18441 sqrshrn. */
c2c4ff8d 18442 return 591;
a06ea964
NC
18443 }
18444 else
18445 {
18446 /* 33222222222211111111110000000000
18447 10987654321098765432109876543210
7684e580 18448 x1011111xxxxxxxx1x1x11xxxxxxxxxx
a06ea964 18449 fcvtzs. */
c2c4ff8d 18450 return 594;
a06ea964
NC
18451 }
18452 }
18453 }
18454 }
18455 }
18456 else
18457 {
18458 if (((word >> 10) & 0x1) == 0)
18459 {
9e1f0fa7
MW
18460 if (((word >> 13) & 0x1) == 0)
18461 {
18462 if (((word >> 14) & 0x1) == 0)
18463 {
5f7728b7
MW
18464 if (((word >> 23) & 0x1) == 0)
18465 {
18466 /* 33222222222211111111110000000000
18467 10987654321098765432109876543210
7684e580 18468 xx1111110xxxxxxx100xx0xxxxxxxxxx
5f7728b7 18469 fmulx. */
c2c4ff8d 18470 return 431;
5f7728b7
MW
18471 }
18472 else
18473 {
18474 /* 33222222222211111111110000000000
18475 10987654321098765432109876543210
7684e580 18476 xx1111111xxxxxxx100xx0xxxxxxxxxx
5f7728b7 18477 fmulx. */
c2c4ff8d 18478 return 430;
5f7728b7 18479 }
9e1f0fa7
MW
18480 }
18481 else
18482 {
18483 /* 33222222222211111111110000000000
18484 10987654321098765432109876543210
7684e580 18485 xx111111xxxxxxxx110xx0xxxxxxxxxx
9e1f0fa7 18486 sqrdmlah. */
c2c4ff8d 18487 return 432;
9e1f0fa7
MW
18488 }
18489 }
18490 else
18491 {
18492 /* 33222222222211111111110000000000
18493 10987654321098765432109876543210
7684e580 18494 xx111111xxxxxxxx1x1xx0xxxxxxxxxx
9e1f0fa7 18495 sqrdmlsh. */
c2c4ff8d 18496 return 433;
9e1f0fa7 18497 }
a06ea964
NC
18498 }
18499 else
18500 {
18501 if (((word >> 11) & 0x1) == 0)
18502 {
18503 if (((word >> 12) & 0x1) == 0)
18504 {
18505 if (((word >> 13) & 0x1) == 0)
18506 {
18507 /* 33222222222211111111110000000000
18508 10987654321098765432109876543210
7684e580 18509 xx111111xxxxxxxx1x0001xxxxxxxxxx
a06ea964 18510 sqshrun. */
c2c4ff8d 18511 return 604;
a06ea964
NC
18512 }
18513 else
18514 {
18515 /* 33222222222211111111110000000000
18516 10987654321098765432109876543210
7684e580 18517 xx111111xxxxxxxx1x1001xxxxxxxxxx
a06ea964 18518 ucvtf. */
c2c4ff8d 18519 return 608;
a06ea964
NC
18520 }
18521 }
18522 else
18523 {
18524 /* 33222222222211111111110000000000
18525 10987654321098765432109876543210
7684e580 18526 xx111111xxxxxxxx1xx101xxxxxxxxxx
a06ea964 18527 uqshrn. */
c2c4ff8d 18528 return 606;
a06ea964
NC
18529 }
18530 }
18531 else
18532 {
18533 if (((word >> 12) & 0x1) == 0)
18534 {
18535 /* 33222222222211111111110000000000
18536 10987654321098765432109876543210
7684e580 18537 xx111111xxxxxxxx1xx011xxxxxxxxxx
a06ea964 18538 sqrshrun. */
c2c4ff8d 18539 return 605;
a06ea964
NC
18540 }
18541 else
18542 {
18543 if (((word >> 13) & 0x1) == 0)
18544 {
18545 /* 33222222222211111111110000000000
18546 10987654321098765432109876543210
7684e580 18547 xx111111xxxxxxxx1x0111xxxxxxxxxx
a06ea964 18548 uqrshrn. */
c2c4ff8d 18549 return 607;
a06ea964
NC
18550 }
18551 else
18552 {
18553 /* 33222222222211111111110000000000
18554 10987654321098765432109876543210
7684e580 18555 xx111111xxxxxxxx1x1111xxxxxxxxxx
a06ea964 18556 fcvtzu. */
c2c4ff8d 18557 return 610;
a06ea964
NC
18558 }
18559 }
18560 }
18561 }
18562 }
18563 }
18564 }
18565 }
18566 }
18567 }
18568 }
18569}
18570
18571/* Lookup opcode WORD in the opcode table. N.B. all alias
18572 opcodes are ignored here. */
18573
18574const aarch64_opcode *
18575aarch64_opcode_lookup (uint32_t word)
18576{
18577 return aarch64_opcode_table + aarch64_opcode_lookup_1 (word);
18578}
18579
18580const aarch64_opcode *
18581aarch64_find_next_opcode (const aarch64_opcode *opcode)
18582{
18583 /* Use the index as the key to locate the next opcode. */
18584 int key = opcode - aarch64_opcode_table;
18585 int value;
18586 switch (key)
18587 {
c2c4ff8d
SN
18588 case 941: value = 945; break; /* stnp --> stp. */
18589 case 945: return NULL; /* stp --> NULL. */
18590 case 939: value = 940; break; /* stllrb --> stllrh. */
18591 case 940: return NULL; /* stllrh --> NULL. */
18592 case 942: value = 946; break; /* ldnp --> ldp. */
18593 case 946: return NULL; /* ldp --> NULL. */
18594 case 1157: value = 1158; break; /* msr --> hint. */
18595 case 1158: value = 1172; break; /* hint --> clrex. */
18596 case 1172: value = 1173; break; /* clrex --> dsb. */
18597 case 1173: value = 1174; break; /* dsb --> dmb. */
18598 case 1174: value = 1175; break; /* dmb --> isb. */
18599 case 1175: value = 1176; break; /* isb --> sys. */
18600 case 1176: value = 1181; break; /* sys --> msr. */
18601 case 1181: return NULL; /* msr --> NULL. */
18602 case 1182: value = 1183; break; /* sysl --> mrs. */
18603 case 1183: return NULL; /* mrs --> NULL. */
18604 case 434: value = 435; break; /* st4 --> st1. */
18605 case 435: value = 436; break; /* st1 --> st2. */
18606 case 436: value = 437; break; /* st2 --> st3. */
18607 case 437: return NULL; /* st3 --> NULL. */
18608 case 442: value = 443; break; /* st4 --> st1. */
18609 case 443: value = 444; break; /* st1 --> st2. */
18610 case 444: value = 445; break; /* st2 --> st3. */
18611 case 445: return NULL; /* st3 --> NULL. */
18612 case 438: value = 439; break; /* ld4 --> ld1. */
18613 case 439: value = 440; break; /* ld1 --> ld2. */
18614 case 440: value = 441; break; /* ld2 --> ld3. */
18615 case 441: return NULL; /* ld3 --> NULL. */
18616 case 454: value = 456; break; /* ld1 --> ld1r. */
18617 case 456: return NULL; /* ld1r --> NULL. */
18618 case 458: value = 460; break; /* ld2 --> ld2r. */
18619 case 460: return NULL; /* ld2r --> NULL. */
18620 case 455: value = 457; break; /* ld3 --> ld3r. */
18621 case 457: return NULL; /* ld3r --> NULL. */
18622 case 459: value = 461; break; /* ld4 --> ld4r. */
18623 case 461: return NULL; /* ld4r --> NULL. */
18624 case 446: value = 447; break; /* ld4 --> ld1. */
18625 case 447: value = 448; break; /* ld1 --> ld2. */
18626 case 448: value = 449; break; /* ld2 --> ld3. */
18627 case 449: return NULL; /* ld3 --> NULL. */
18628 case 466: value = 468; break; /* ld1 --> ld1r. */
18629 case 468: return NULL; /* ld1r --> NULL. */
18630 case 467: value = 469; break; /* ld3 --> ld3r. */
18631 case 469: return NULL; /* ld3r --> NULL. */
18632 case 470: value = 472; break; /* ld2 --> ld2r. */
18633 case 472: return NULL; /* ld2r --> NULL. */
18634 case 471: value = 473; break; /* ld4 --> ld4r. */
18635 case 473: return NULL; /* ld4r --> NULL. */
18636 case 752: value = 753; break; /* fcvtzs --> fcvtzs. */
18637 case 753: return NULL; /* fcvtzs --> NULL. */
18638 case 748: value = 749; break; /* scvtf --> scvtf. */
18639 case 749: return NULL; /* scvtf --> NULL. */
18640 case 754: value = 755; break; /* fcvtzu --> fcvtzu. */
18641 case 755: return NULL; /* fcvtzu --> NULL. */
18642 case 750: value = 751; break; /* ucvtf --> ucvtf. */
18643 case 751: return NULL; /* ucvtf --> NULL. */
18644 case 756: value = 757; break; /* fcvtns --> fcvtns. */
18645 case 757: return NULL; /* fcvtns --> NULL. */
18646 case 776: value = 777; break; /* fcvtms --> fcvtms. */
18647 case 777: return NULL; /* fcvtms --> NULL. */
18648 case 772: value = 773; break; /* fcvtps --> fcvtps. */
18649 case 773: return NULL; /* fcvtps --> NULL. */
18650 case 780: value = 781; break; /* fcvtzs --> fcvtzs. */
18651 case 781: return NULL; /* fcvtzs --> NULL. */
18652 case 764: value = 765; break; /* fcvtas --> fcvtas. */
18653 case 765: return NULL; /* fcvtas --> NULL. */
18654 case 760: value = 761; break; /* scvtf --> scvtf. */
18655 case 761: return NULL; /* scvtf --> NULL. */
18656 case 768: value = 769; break; /* fmov --> fmov. */
18657 case 769: return NULL; /* fmov --> NULL. */
18658 case 758: value = 759; break; /* fcvtnu --> fcvtnu. */
18659 case 759: return NULL; /* fcvtnu --> NULL. */
18660 case 778: value = 779; break; /* fcvtmu --> fcvtmu. */
18661 case 779: return NULL; /* fcvtmu --> NULL. */
18662 case 774: value = 775; break; /* fcvtpu --> fcvtpu. */
18663 case 775: return NULL; /* fcvtpu --> NULL. */
18664 case 782: value = 783; break; /* fcvtzu --> fcvtzu. */
18665 case 783: return NULL; /* fcvtzu --> NULL. */
18666 case 766: value = 767; break; /* fcvtau --> fcvtau. */
18667 case 767: return NULL; /* fcvtau --> NULL. */
18668 case 762: value = 763; break; /* ucvtf --> ucvtf. */
18669 case 763: return NULL; /* ucvtf --> NULL. */
18670 case 770: value = 771; break; /* fmov --> fmov. */
18671 case 771: return NULL; /* fmov --> NULL. */
18672 case 799: value = 800; break; /* fmov --> fmov. */
18673 case 800: return NULL; /* fmov --> NULL. */
18674 case 808: value = 809; break; /* frintn --> frintn. */
18675 case 809: return NULL; /* frintn --> NULL. */
18676 case 803: value = 804; break; /* fneg --> fneg. */
18677 case 804: return NULL; /* fneg --> NULL. */
18678 case 812: value = 813; break; /* frintm --> frintm. */
18679 case 813: return NULL; /* frintm --> NULL. */
18680 case 801: value = 802; break; /* fabs --> fabs. */
18681 case 802: return NULL; /* fabs --> NULL. */
18682 case 810: value = 811; break; /* frintp --> frintp. */
18683 case 811: return NULL; /* frintp --> NULL. */
18684 case 805: value = 806; break; /* fsqrt --> fsqrt. */
18685 case 806: return NULL; /* fsqrt --> NULL. */
18686 case 814: value = 815; break; /* frintz --> frintz. */
18687 case 815: return NULL; /* frintz --> NULL. */
18688 case 816: value = 817; break; /* frinta --> frinta. */
18689 case 817: return NULL; /* frinta --> NULL. */
18690 case 818: value = 819; break; /* frintx --> frintx. */
18691 case 819: return NULL; /* frintx --> NULL. */
18692 case 820: value = 821; break; /* frinti --> frinti. */
18693 case 821: return NULL; /* frinti --> NULL. */
18694 case 791: value = 792; break; /* fcmp --> fcmp. */
18695 case 792: return NULL; /* fcmp --> NULL. */
18696 case 793: value = 794; break; /* fcmpe --> fcmpe. */
18697 case 794: return NULL; /* fcmpe --> NULL. */
18698 case 795: value = 796; break; /* fcmp --> fcmp. */
18699 case 796: return NULL; /* fcmp --> NULL. */
18700 case 797: value = 798; break; /* fcmpe --> fcmpe. */
18701 case 798: return NULL; /* fcmpe --> NULL. */
18702 case 848: value = 849; break; /* fmov --> fmov. */
18703 case 849: return NULL; /* fmov --> NULL. */
18704 case 822: value = 823; break; /* fmul --> fmul. */
18705 case 823: return NULL; /* fmul --> NULL. */
18706 case 838: value = 839; break; /* fnmul --> fnmul. */
18707 case 839: return NULL; /* fnmul --> NULL. */
18708 case 830: value = 831; break; /* fmax --> fmax. */
18709 case 831: return NULL; /* fmax --> NULL. */
18710 case 826: value = 827; break; /* fadd --> fadd. */
18711 case 827: return NULL; /* fadd --> NULL. */
18712 case 834: value = 835; break; /* fmaxnm --> fmaxnm. */
18713 case 835: return NULL; /* fmaxnm --> NULL. */
18714 case 824: value = 825; break; /* fdiv --> fdiv. */
18715 case 825: return NULL; /* fdiv --> NULL. */
18716 case 832: value = 833; break; /* fmin --> fmin. */
18717 case 833: return NULL; /* fmin --> NULL. */
18718 case 828: value = 829; break; /* fsub --> fsub. */
18719 case 829: return NULL; /* fsub --> NULL. */
18720 case 836: value = 837; break; /* fminnm --> fminnm. */
18721 case 837: return NULL; /* fminnm --> NULL. */
18722 case 787: value = 788; break; /* fccmp --> fccmp. */
18723 case 788: return NULL; /* fccmp --> NULL. */
18724 case 789: value = 790; break; /* fccmpe --> fccmpe. */
18725 case 790: return NULL; /* fccmpe --> NULL. */
18726 case 850: value = 851; break; /* fcsel --> fcsel. */
18727 case 851: return NULL; /* fcsel --> NULL. */
18728 case 131: value = 368; break; /* movi --> sshr. */
18729 case 368: value = 370; break; /* sshr --> srshr. */
18730 case 370: return NULL; /* srshr --> NULL. */
18731 case 139: value = 390; break; /* mvni --> ushr. */
18732 case 390: value = 392; break; /* ushr --> urshr. */
18733 case 392: value = 394; break; /* urshr --> sri. */
18734 case 394: value = 396; break; /* sri --> sqshlu. */
18735 case 396: return NULL; /* sqshlu --> NULL. */
18736 case 132: value = 369; break; /* orr --> ssra. */
18737 case 369: value = 371; break; /* ssra --> srsra. */
18738 case 371: value = 372; break; /* srsra --> shl. */
18739 case 372: value = 373; break; /* shl --> sqshl. */
18740 case 373: return NULL; /* sqshl --> NULL. */
18741 case 140: value = 391; break; /* bic --> usra. */
18742 case 391: value = 393; break; /* usra --> ursra. */
18743 case 393: value = 395; break; /* ursra --> sli. */
18744 case 395: value = 397; break; /* sli --> uqshl. */
18745 case 397: return NULL; /* uqshl --> NULL. */
18746 case 840: value = 841; break; /* fmadd --> fmadd. */
18747 case 841: return NULL; /* fmadd --> NULL. */
18748 case 844: value = 845; break; /* fnmadd --> fnmadd. */
18749 case 845: return NULL; /* fnmadd --> NULL. */
18750 case 133: value = 374; break; /* movi --> shrn. */
18751 case 374: value = 375; break; /* shrn --> shrn2. */
18752 case 375: value = 382; break; /* shrn2 --> sshll. */
18753 case 382: value = 384; break; /* sshll --> sshll2. */
18754 case 384: return NULL; /* sshll2 --> NULL. */
18755 case 141: value = 398; break; /* mvni --> sqshrun. */
18756 case 398: value = 399; break; /* sqshrun --> sqshrun2. */
18757 case 399: value = 406; break; /* sqshrun2 --> ushll. */
18758 case 406: value = 408; break; /* ushll --> ushll2. */
18759 case 408: return NULL; /* ushll2 --> NULL. */
18760 case 134: value = 378; break; /* orr --> sqshrn. */
18761 case 378: value = 379; break; /* sqshrn --> sqshrn2. */
18762 case 379: return NULL; /* sqshrn2 --> NULL. */
18763 case 142: value = 402; break; /* bic --> uqshrn. */
18764 case 402: value = 403; break; /* uqshrn --> uqshrn2. */
18765 case 403: return NULL; /* uqshrn2 --> NULL. */
18766 case 136: value = 386; break; /* movi --> scvtf. */
18767 case 386: value = 387; break; /* scvtf --> scvtf. */
65a55fbb
TC
18768 case 387: value = 1989; break; /* scvtf --> sdot. */
18769 case 1989: return NULL; /* sdot --> NULL. */
c2c4ff8d
SN
18770 case 144: value = 145; break; /* movi --> movi. */
18771 case 145: value = 410; break; /* movi --> ucvtf. */
18772 case 410: value = 411; break; /* ucvtf --> ucvtf. */
65a55fbb
TC
18773 case 411: value = 1988; break; /* ucvtf --> udot. */
18774 case 1988: return NULL; /* udot --> NULL. */
c2c4ff8d
SN
18775 case 138: value = 388; break; /* fmov --> fcvtzs. */
18776 case 388: value = 389; break; /* fcvtzs --> fcvtzs. */
18777 case 389: return NULL; /* fcvtzs --> NULL. */
18778 case 412: value = 413; break; /* fcvtzu --> fcvtzu. */
18779 case 413: return NULL; /* fcvtzu --> NULL. */
18780 case 842: value = 843; break; /* fmsub --> fmsub. */
18781 case 843: return NULL; /* fmsub --> NULL. */
18782 case 846: value = 847; break; /* fnmsub --> fnmsub. */
18783 case 847: return NULL; /* fnmsub --> NULL. */
18784 case 592: value = 593; break; /* scvtf --> scvtf. */
18785 case 593: return NULL; /* scvtf --> NULL. */
18786 case 594: value = 595; break; /* fcvtzs --> fcvtzs. */
18787 case 595: return NULL; /* fcvtzs --> NULL. */
18788 case 608: value = 609; break; /* ucvtf --> ucvtf. */
18789 case 609: return NULL; /* ucvtf --> NULL. */
18790 case 610: value = 611; break; /* fcvtzu --> fcvtzu. */
18791 case 611: return NULL; /* fcvtzu --> NULL. */
a06ea964
NC
18792 default: return NULL;
18793 }
18794
18795 return aarch64_opcode_table + value;
18796}
18797
18798const aarch64_opcode *
18799aarch64_find_alias_opcode (const aarch64_opcode *opcode)
18800{
18801 /* Use the index as the key to locate the alias opcode. */
18802 int key = opcode - aarch64_opcode_table;
18803 int value;
18804 switch (key)
18805 {
18806 case 2: value = 3; break; /* sbc --> ngc. */
18807 case 4: value = 5; break; /* sbcs --> ngcs. */
18808 case 7: value = 8; break; /* adds --> cmn. */
18809 case 10: value = 11; break; /* subs --> cmp. */
18810 case 12: value = 13; break; /* add --> mov. */
18811 case 14: value = 15; break; /* adds --> cmn. */
18812 case 17: value = 18; break; /* subs --> cmp. */
18813 case 20: value = 21; break; /* adds --> cmn. */
18814 case 22: value = 23; break; /* sub --> neg. */
18815 case 24: value = 26; break; /* subs --> negs. */
c2c4ff8d
SN
18816 case 150: value = 151; break; /* umov --> mov. */
18817 case 152: value = 153; break; /* ins --> mov. */
18818 case 154: value = 155; break; /* ins --> mov. */
18819 case 236: value = 237; break; /* not --> mvn. */
18820 case 311: value = 312; break; /* orr --> mov. */
18821 case 382: value = 383; break; /* sshll --> sxtl. */
18822 case 384: value = 385; break; /* sshll2 --> sxtl2. */
18823 case 406: value = 407; break; /* ushll --> uxtl. */
18824 case 408: value = 409; break; /* ushll2 --> uxtl2. */
18825 case 529: value = 530; break; /* dup --> mov. */
18826 case 612: value = 617; break; /* sbfm --> sxtw. */
18827 case 619: value = 621; break; /* bfm --> bfc. */
18828 case 623: value = 627; break; /* ubfm --> uxth. */
18829 case 657: value = 659; break; /* csinc --> cset. */
18830 case 660: value = 662; break; /* csinv --> csetm. */
18831 case 663: value = 664; break; /* csneg --> cneg. */
18832 case 682: value = 682; break; /* rev --> rev. */
18833 case 707: value = 708; break; /* lslv --> lsl. */
18834 case 709: value = 710; break; /* lsrv --> lsr. */
18835 case 711: value = 712; break; /* asrv --> asr. */
18836 case 713: value = 714; break; /* rorv --> ror. */
18837 case 724: value = 725; break; /* madd --> mul. */
18838 case 726: value = 727; break; /* msub --> mneg. */
18839 case 728: value = 729; break; /* smaddl --> smull. */
18840 case 730: value = 731; break; /* smsubl --> smnegl. */
18841 case 733: value = 734; break; /* umaddl --> umull. */
18842 case 735: value = 736; break; /* umsubl --> umnegl. */
18843 case 746: value = 747; break; /* extr --> ror. */
18844 case 959: value = 960; break; /* and --> bic. */
18845 case 961: value = 962; break; /* orr --> mov. */
18846 case 964: value = 965; break; /* ands --> tst. */
18847 case 968: value = 970; break; /* orr --> uxtw. */
18848 case 971: value = 972; break; /* orn --> mvn. */
18849 case 975: value = 976; break; /* ands --> tst. */
18850 case 1006: value = 1102; break; /* ldaddb --> staddb. */
18851 case 1007: value = 1103; break; /* ldaddh --> staddh. */
18852 case 1008: value = 1104; break; /* ldadd --> stadd. */
18853 case 1010: value = 1105; break; /* ldaddlb --> staddlb. */
18854 case 1013: value = 1106; break; /* ldaddlh --> staddlh. */
18855 case 1016: value = 1107; break; /* ldaddl --> staddl. */
18856 case 1018: value = 1108; break; /* ldclrb --> stclrb. */
18857 case 1019: value = 1109; break; /* ldclrh --> stclrh. */
18858 case 1020: value = 1110; break; /* ldclr --> stclr. */
18859 case 1022: value = 1111; break; /* ldclrlb --> stclrlb. */
18860 case 1025: value = 1112; break; /* ldclrlh --> stclrlh. */
18861 case 1028: value = 1113; break; /* ldclrl --> stclrl. */
18862 case 1030: value = 1114; break; /* ldeorb --> steorb. */
18863 case 1031: value = 1115; break; /* ldeorh --> steorh. */
18864 case 1032: value = 1116; break; /* ldeor --> steor. */
18865 case 1034: value = 1117; break; /* ldeorlb --> steorlb. */
18866 case 1037: value = 1118; break; /* ldeorlh --> steorlh. */
18867 case 1040: value = 1119; break; /* ldeorl --> steorl. */
18868 case 1042: value = 1120; break; /* ldsetb --> stsetb. */
18869 case 1043: value = 1121; break; /* ldseth --> stseth. */
18870 case 1044: value = 1122; break; /* ldset --> stset. */
18871 case 1046: value = 1123; break; /* ldsetlb --> stsetlb. */
18872 case 1049: value = 1124; break; /* ldsetlh --> stsetlh. */
18873 case 1052: value = 1125; break; /* ldsetl --> stsetl. */
18874 case 1054: value = 1126; break; /* ldsmaxb --> stsmaxb. */
18875 case 1055: value = 1127; break; /* ldsmaxh --> stsmaxh. */
18876 case 1056: value = 1128; break; /* ldsmax --> stsmax. */
18877 case 1058: value = 1129; break; /* ldsmaxlb --> stsmaxlb. */
18878 case 1061: value = 1130; break; /* ldsmaxlh --> stsmaxlh. */
18879 case 1064: value = 1131; break; /* ldsmaxl --> stsmaxl. */
18880 case 1066: value = 1132; break; /* ldsminb --> stsminb. */
18881 case 1067: value = 1133; break; /* ldsminh --> stsminh. */
18882 case 1068: value = 1134; break; /* ldsmin --> stsmin. */
18883 case 1070: value = 1135; break; /* ldsminlb --> stsminlb. */
18884 case 1073: value = 1136; break; /* ldsminlh --> stsminlh. */
18885 case 1076: value = 1137; break; /* ldsminl --> stsminl. */
18886 case 1078: value = 1138; break; /* ldumaxb --> stumaxb. */
18887 case 1079: value = 1139; break; /* ldumaxh --> stumaxh. */
18888 case 1080: value = 1140; break; /* ldumax --> stumax. */
18889 case 1082: value = 1141; break; /* ldumaxlb --> stumaxlb. */
18890 case 1085: value = 1142; break; /* ldumaxlh --> stumaxlh. */
18891 case 1088: value = 1143; break; /* ldumaxl --> stumaxl. */
18892 case 1090: value = 1144; break; /* lduminb --> stuminb. */
18893 case 1091: value = 1145; break; /* lduminh --> stuminh. */
18894 case 1092: value = 1146; break; /* ldumin --> stumin. */
18895 case 1094: value = 1147; break; /* lduminlb --> stuminlb. */
18896 case 1097: value = 1148; break; /* lduminlh --> stuminlh. */
18897 case 1100: value = 1149; break; /* lduminl --> stuminl. */
18898 case 1150: value = 1151; break; /* movn --> mov. */
18899 case 1152: value = 1153; break; /* movz --> mov. */
18900 case 1158: value = 1191; break; /* hint --> autibsp. */
18901 case 1176: value = 1180; break; /* sys --> tlbi. */
582e12bf 18902 case 1239: value = 1973; break; /* and --> bic. */
c2c4ff8d
SN
18903 case 1241: value = 1222; break; /* and --> mov. */
18904 case 1242: value = 1226; break; /* ands --> movs. */
582e12bf
RS
18905 case 1277: value = 1974; break; /* cmpge --> cmple. */
18906 case 1280: value = 1977; break; /* cmpgt --> cmplt. */
18907 case 1282: value = 1975; break; /* cmphi --> cmplo. */
18908 case 1285: value = 1976; break; /* cmphs --> cmpls. */
c2c4ff8d
SN
18909 case 1307: value = 1219; break; /* cpy --> mov. */
18910 case 1308: value = 1221; break; /* cpy --> mov. */
582e12bf 18911 case 1309: value = 1984; break; /* cpy --> fmov. */
c2c4ff8d
SN
18912 case 1321: value = 1214; break; /* dup --> mov. */
18913 case 1322: value = 1216; break; /* dup --> mov. */
582e12bf 18914 case 1323: value = 1983; break; /* dup --> fmov. */
c2c4ff8d 18915 case 1324: value = 1217; break; /* dupm --> mov. */
582e12bf 18916 case 1326: value = 1978; break; /* eor --> eon. */
c2c4ff8d
SN
18917 case 1328: value = 1227; break; /* eor --> not. */
18918 case 1329: value = 1228; break; /* eors --> nots. */
582e12bf
RS
18919 case 1334: value = 1979; break; /* facge --> facle. */
18920 case 1335: value = 1980; break; /* facgt --> faclt. */
18921 case 1348: value = 1981; break; /* fcmge --> fcmle. */
18922 case 1350: value = 1982; break; /* fcmgt --> fcmlt. */
18923 case 1356: value = 1211; break; /* fcpy --> fmov. */
18924 case 1379: value = 1210; break; /* fdup --> fmov. */
18925 case 1694: value = 1212; break; /* orr --> mov. */
18926 case 1695: value = 1985; break; /* orr --> orn. */
18927 case 1697: value = 1215; break; /* orr --> mov. */
18928 case 1698: value = 1225; break; /* orrs --> movs. */
18929 case 1760: value = 1220; break; /* sel --> mov. */
18930 case 1761: value = 1223; break; /* sel --> mov. */
a06ea964
NC
18931 default: return NULL;
18932 }
18933
18934 return aarch64_opcode_table + value;
18935}
18936
18937const aarch64_opcode *
18938aarch64_find_next_alias_opcode (const aarch64_opcode *opcode)
18939{
18940 /* Use the index as the key to locate the next opcode. */
18941 int key = opcode - aarch64_opcode_table;
18942 int value;
18943 switch (key)
18944 {
35822b38
MW
18945 case 3: value = 2; break; /* ngc --> sbc. */
18946 case 5: value = 4; break; /* ngcs --> sbcs. */
18947 case 8: value = 7; break; /* cmn --> adds. */
18948 case 11: value = 10; break; /* cmp --> subs. */
18949 case 13: value = 12; break; /* mov --> add. */
18950 case 15: value = 14; break; /* cmn --> adds. */
18951 case 18: value = 17; break; /* cmp --> subs. */
18952 case 21: value = 20; break; /* cmn --> adds. */
18953 case 23: value = 22; break; /* neg --> sub. */
a06ea964 18954 case 26: value = 25; break; /* negs --> cmp. */
35822b38 18955 case 25: value = 24; break; /* cmp --> subs. */
c2c4ff8d
SN
18956 case 151: value = 150; break; /* mov --> umov. */
18957 case 153: value = 152; break; /* mov --> ins. */
18958 case 155: value = 154; break; /* mov --> ins. */
18959 case 237: value = 236; break; /* mvn --> not. */
18960 case 312: value = 311; break; /* mov --> orr. */
18961 case 383: value = 382; break; /* sxtl --> sshll. */
18962 case 385: value = 384; break; /* sxtl2 --> sshll2. */
18963 case 407: value = 406; break; /* uxtl --> ushll. */
18964 case 409: value = 408; break; /* uxtl2 --> ushll2. */
18965 case 530: value = 529; break; /* mov --> dup. */
18966 case 617: value = 616; break; /* sxtw --> sxth. */
18967 case 616: value = 615; break; /* sxth --> sxtb. */
18968 case 615: value = 618; break; /* sxtb --> asr. */
18969 case 618: value = 614; break; /* asr --> sbfx. */
18970 case 614: value = 613; break; /* sbfx --> sbfiz. */
18971 case 613: value = 612; break; /* sbfiz --> sbfm. */
18972 case 621: value = 622; break; /* bfc --> bfxil. */
18973 case 622: value = 620; break; /* bfxil --> bfi. */
18974 case 620: value = 619; break; /* bfi --> bfm. */
18975 case 627: value = 626; break; /* uxth --> uxtb. */
18976 case 626: value = 629; break; /* uxtb --> lsr. */
18977 case 629: value = 628; break; /* lsr --> lsl. */
18978 case 628: value = 625; break; /* lsl --> ubfx. */
18979 case 625: value = 624; break; /* ubfx --> ubfiz. */
18980 case 624: value = 623; break; /* ubfiz --> ubfm. */
18981 case 659: value = 658; break; /* cset --> cinc. */
18982 case 658: value = 657; break; /* cinc --> csinc. */
18983 case 662: value = 661; break; /* csetm --> cinv. */
18984 case 661: value = 660; break; /* cinv --> csinv. */
18985 case 664: value = 663; break; /* cneg --> csneg. */
18986 case 682: value = 683; break; /* rev --> rev64. */
18987 case 708: value = 707; break; /* lsl --> lslv. */
18988 case 710: value = 709; break; /* lsr --> lsrv. */
18989 case 712: value = 711; break; /* asr --> asrv. */
18990 case 714: value = 713; break; /* ror --> rorv. */
18991 case 725: value = 724; break; /* mul --> madd. */
18992 case 727: value = 726; break; /* mneg --> msub. */
18993 case 729: value = 728; break; /* smull --> smaddl. */
18994 case 731: value = 730; break; /* smnegl --> smsubl. */
18995 case 734: value = 733; break; /* umull --> umaddl. */
18996 case 736: value = 735; break; /* umnegl --> umsubl. */
18997 case 747: value = 746; break; /* ror --> extr. */
18998 case 960: value = 959; break; /* bic --> and. */
18999 case 962: value = 961; break; /* mov --> orr. */
19000 case 965: value = 964; break; /* tst --> ands. */
19001 case 970: value = 969; break; /* uxtw --> mov. */
19002 case 969: value = 968; break; /* mov --> orr. */
19003 case 972: value = 971; break; /* mvn --> orn. */
19004 case 976: value = 975; break; /* tst --> ands. */
19005 case 1102: value = 1006; break; /* staddb --> ldaddb. */
19006 case 1103: value = 1007; break; /* staddh --> ldaddh. */
19007 case 1104: value = 1008; break; /* stadd --> ldadd. */
19008 case 1105: value = 1010; break; /* staddlb --> ldaddlb. */
19009 case 1106: value = 1013; break; /* staddlh --> ldaddlh. */
19010 case 1107: value = 1016; break; /* staddl --> ldaddl. */
19011 case 1108: value = 1018; break; /* stclrb --> ldclrb. */
19012 case 1109: value = 1019; break; /* stclrh --> ldclrh. */
19013 case 1110: value = 1020; break; /* stclr --> ldclr. */
19014 case 1111: value = 1022; break; /* stclrlb --> ldclrlb. */
19015 case 1112: value = 1025; break; /* stclrlh --> ldclrlh. */
19016 case 1113: value = 1028; break; /* stclrl --> ldclrl. */
19017 case 1114: value = 1030; break; /* steorb --> ldeorb. */
19018 case 1115: value = 1031; break; /* steorh --> ldeorh. */
19019 case 1116: value = 1032; break; /* steor --> ldeor. */
19020 case 1117: value = 1034; break; /* steorlb --> ldeorlb. */
19021 case 1118: value = 1037; break; /* steorlh --> ldeorlh. */
19022 case 1119: value = 1040; break; /* steorl --> ldeorl. */
19023 case 1120: value = 1042; break; /* stsetb --> ldsetb. */
19024 case 1121: value = 1043; break; /* stseth --> ldseth. */
19025 case 1122: value = 1044; break; /* stset --> ldset. */
19026 case 1123: value = 1046; break; /* stsetlb --> ldsetlb. */
19027 case 1124: value = 1049; break; /* stsetlh --> ldsetlh. */
19028 case 1125: value = 1052; break; /* stsetl --> ldsetl. */
19029 case 1126: value = 1054; break; /* stsmaxb --> ldsmaxb. */
19030 case 1127: value = 1055; break; /* stsmaxh --> ldsmaxh. */
19031 case 1128: value = 1056; break; /* stsmax --> ldsmax. */
19032 case 1129: value = 1058; break; /* stsmaxlb --> ldsmaxlb. */
19033 case 1130: value = 1061; break; /* stsmaxlh --> ldsmaxlh. */
19034 case 1131: value = 1064; break; /* stsmaxl --> ldsmaxl. */
19035 case 1132: value = 1066; break; /* stsminb --> ldsminb. */
19036 case 1133: value = 1067; break; /* stsminh --> ldsminh. */
19037 case 1134: value = 1068; break; /* stsmin --> ldsmin. */
19038 case 1135: value = 1070; break; /* stsminlb --> ldsminlb. */
19039 case 1136: value = 1073; break; /* stsminlh --> ldsminlh. */
19040 case 1137: value = 1076; break; /* stsminl --> ldsminl. */
19041 case 1138: value = 1078; break; /* stumaxb --> ldumaxb. */
19042 case 1139: value = 1079; break; /* stumaxh --> ldumaxh. */
19043 case 1140: value = 1080; break; /* stumax --> ldumax. */
19044 case 1141: value = 1082; break; /* stumaxlb --> ldumaxlb. */
19045 case 1142: value = 1085; break; /* stumaxlh --> ldumaxlh. */
19046 case 1143: value = 1088; break; /* stumaxl --> ldumaxl. */
19047 case 1144: value = 1090; break; /* stuminb --> lduminb. */
19048 case 1145: value = 1091; break; /* stuminh --> lduminh. */
19049 case 1146: value = 1092; break; /* stumin --> ldumin. */
19050 case 1147: value = 1094; break; /* stuminlb --> lduminlb. */
19051 case 1148: value = 1097; break; /* stuminlh --> lduminlh. */
19052 case 1149: value = 1100; break; /* stuminl --> lduminl. */
19053 case 1151: value = 1150; break; /* mov --> movn. */
19054 case 1153: value = 1152; break; /* mov --> movz. */
19055 case 1191: value = 1190; break; /* autibsp --> autibz. */
19056 case 1190: value = 1189; break; /* autibz --> autiasp. */
19057 case 1189: value = 1188; break; /* autiasp --> autiaz. */
19058 case 1188: value = 1187; break; /* autiaz --> pacibsp. */
19059 case 1187: value = 1186; break; /* pacibsp --> pacibz. */
19060 case 1186: value = 1185; break; /* pacibz --> paciasp. */
19061 case 1185: value = 1184; break; /* paciasp --> paciaz. */
19062 case 1184: value = 1171; break; /* paciaz --> psb. */
19063 case 1171: value = 1170; break; /* psb --> esb. */
19064 case 1170: value = 1169; break; /* esb --> autib1716. */
19065 case 1169: value = 1168; break; /* autib1716 --> autia1716. */
19066 case 1168: value = 1167; break; /* autia1716 --> pacib1716. */
19067 case 1167: value = 1166; break; /* pacib1716 --> pacia1716. */
19068 case 1166: value = 1165; break; /* pacia1716 --> xpaclri. */
19069 case 1165: value = 1164; break; /* xpaclri --> sevl. */
19070 case 1164: value = 1163; break; /* sevl --> sev. */
19071 case 1163: value = 1162; break; /* sev --> wfi. */
19072 case 1162: value = 1161; break; /* wfi --> wfe. */
19073 case 1161: value = 1160; break; /* wfe --> yield. */
19074 case 1160: value = 1159; break; /* yield --> nop. */
19075 case 1159: value = 1158; break; /* nop --> hint. */
19076 case 1180: value = 1179; break; /* tlbi --> ic. */
19077 case 1179: value = 1178; break; /* ic --> dc. */
19078 case 1178: value = 1177; break; /* dc --> at. */
19079 case 1177: value = 1176; break; /* at --> sys. */
582e12bf 19080 case 1973: value = 1239; break; /* bic --> and. */
c2c4ff8d
SN
19081 case 1222: value = 1241; break; /* mov --> and. */
19082 case 1226: value = 1242; break; /* movs --> ands. */
582e12bf
RS
19083 case 1974: value = 1277; break; /* cmple --> cmpge. */
19084 case 1977: value = 1280; break; /* cmplt --> cmpgt. */
19085 case 1975: value = 1282; break; /* cmplo --> cmphi. */
19086 case 1976: value = 1285; break; /* cmpls --> cmphs. */
c2c4ff8d
SN
19087 case 1219: value = 1307; break; /* mov --> cpy. */
19088 case 1221: value = 1308; break; /* mov --> cpy. */
582e12bf 19089 case 1984: value = 1224; break; /* fmov --> mov. */
c2c4ff8d
SN
19090 case 1224: value = 1309; break; /* mov --> cpy. */
19091 case 1214: value = 1321; break; /* mov --> dup. */
19092 case 1216: value = 1213; break; /* mov --> mov. */
19093 case 1213: value = 1322; break; /* mov --> dup. */
582e12bf 19094 case 1983: value = 1218; break; /* fmov --> mov. */
c2c4ff8d
SN
19095 case 1218: value = 1323; break; /* mov --> dup. */
19096 case 1217: value = 1324; break; /* mov --> dupm. */
582e12bf 19097 case 1978: value = 1326; break; /* eon --> eor. */
c2c4ff8d
SN
19098 case 1227: value = 1328; break; /* not --> eor. */
19099 case 1228: value = 1329; break; /* nots --> eors. */
582e12bf
RS
19100 case 1979: value = 1334; break; /* facle --> facge. */
19101 case 1980: value = 1335; break; /* faclt --> facgt. */
19102 case 1981: value = 1348; break; /* fcmle --> fcmge. */
19103 case 1982: value = 1350; break; /* fcmlt --> fcmgt. */
19104 case 1211: value = 1356; break; /* fmov --> fcpy. */
19105 case 1210: value = 1379; break; /* fmov --> fdup. */
19106 case 1212: value = 1694; break; /* mov --> orr. */
19107 case 1985: value = 1695; break; /* orn --> orr. */
19108 case 1215: value = 1697; break; /* mov --> orr. */
19109 case 1225: value = 1698; break; /* movs --> orrs. */
19110 case 1220: value = 1760; break; /* mov --> sel. */
19111 case 1223: value = 1761; break; /* mov --> sel. */
a06ea964
NC
19112 default: return NULL;
19113 }
19114
19115 return aarch64_opcode_table + value;
19116}
19117
19118int
19119aarch64_extract_operand (const aarch64_operand *self,
19120 aarch64_opnd_info *info,
19121 aarch64_insn code, const aarch64_inst *inst)
19122{
19123 /* Use the index as the key. */
19124 int key = self - aarch64_operands;
19125 switch (key)
19126 {
19127 case 1:
19128 case 2:
19129 case 3:
19130 case 4:
19131 case 5:
19132 case 6:
19133 case 7:
19134 case 9:
19135 case 10:
c84364ec 19136 case 11:
a06ea964
NC
19137 case 15:
19138 case 16:
ee804238 19139 case 17:
c84364ec 19140 case 18:
a06ea964
NC
19141 case 20:
19142 case 21:
19143 case 22:
19144 case 23:
19145 case 24:
19146 case 25:
19147 case 26:
ee804238 19148 case 27:
c84364ec 19149 case 28:
f42f1a1d 19150 case 29:
c2c4ff8d
SN
19151 case 151:
19152 case 152:
19153 case 153:
582e12bf
RS
19154 case 154:
19155 case 155:
19156 case 156:
f42f1a1d
TC
19157 case 157:
19158 case 158:
19159 case 159:
19160 case 160:
c2c4ff8d
SN
19161 case 173:
19162 case 174:
3f06e550 19163 case 175:
582e12bf
RS
19164 case 176:
19165 case 177:
f42f1a1d
TC
19166 case 178:
19167 case 179:
19168 case 180:
582e12bf 19169 case 181:
f42f1a1d
TC
19170 case 185:
19171 case 188:
a06ea964
NC
19172 return aarch64_ext_regno (self, info, code, inst);
19173 case 8:
19174 return aarch64_ext_regrt_sysins (self, info, code, inst);
a06ea964 19175 case 12:
c84364ec 19176 return aarch64_ext_regno_pair (self, info, code, inst);
ee804238 19177 case 13:
c84364ec
SN
19178 return aarch64_ext_reg_extended (self, info, code, inst);
19179 case 14:
a06ea964 19180 return aarch64_ext_reg_shifted (self, info, code, inst);
c84364ec 19181 case 19:
a06ea964 19182 return aarch64_ext_ft (self, info, code, inst);
a06ea964 19183 case 30:
a06ea964 19184 case 31:
a06ea964 19185 case 32:
f42f1a1d
TC
19186 case 190:
19187 return aarch64_ext_reglane (self, info, code, inst);
a06ea964 19188 case 33:
f42f1a1d 19189 return aarch64_ext_reglist (self, info, code, inst);
ee804238 19190 case 34:
f42f1a1d 19191 return aarch64_ext_ldst_reglist (self, info, code, inst);
c84364ec 19192 case 35:
f42f1a1d 19193 return aarch64_ext_ldst_reglist_r (self, info, code, inst);
a6a51754 19194 case 36:
f42f1a1d 19195 return aarch64_ext_ldst_elemlist (self, info, code, inst);
a6a51754 19196 case 37:
c84364ec 19197 case 38:
f42f1a1d
TC
19198 case 39:
19199 case 40:
a06ea964
NC
19200 case 50:
19201 case 51:
19202 case 52:
19203 case 53:
19204 case 54:
19205 case 55:
19206 case 56:
19207 case 57:
ee804238 19208 case 58:
e950b345 19209 case 59:
c84364ec 19210 case 60:
f42f1a1d
TC
19211 case 61:
19212 case 62:
19213 case 63:
c2c4ff8d
SN
19214 case 74:
19215 case 75:
f42f1a1d
TC
19216 case 76:
19217 case 77:
19218 case 78:
19219 case 148:
19220 case 150:
c2c4ff8d 19221 case 165:
582e12bf
RS
19222 case 166:
19223 case 167:
19224 case 168:
f42f1a1d
TC
19225 case 169:
19226 case 170:
19227 case 171:
19228 case 172:
a06ea964 19229 return aarch64_ext_imm (self, info, code, inst);
a06ea964 19230 case 41:
a06ea964 19231 case 42:
f42f1a1d 19232 return aarch64_ext_advsimd_imm_shift (self, info, code, inst);
ee804238 19233 case 43:
c84364ec 19234 case 44:
f42f1a1d
TC
19235 case 45:
19236 return aarch64_ext_advsimd_imm_modified (self, info, code, inst);
19237 case 46:
a06ea964 19238 return aarch64_ext_shll_imm (self, info, code, inst);
f42f1a1d
TC
19239 case 49:
19240 case 139:
aa2aa4c6 19241 return aarch64_ext_fpimm (self, info, code, inst);
f42f1a1d
TC
19242 case 64:
19243 case 146:
c84364ec 19244 return aarch64_ext_limm (self, info, code, inst);
f42f1a1d 19245 case 65:
c84364ec 19246 return aarch64_ext_aimm (self, info, code, inst);
e950b345 19247 case 66:
f42f1a1d 19248 return aarch64_ext_imm_half (self, info, code, inst);
c84364ec 19249 case 67:
f42f1a1d 19250 return aarch64_ext_fbits (self, info, code, inst);
c2c4ff8d
SN
19251 case 69:
19252 case 70:
f42f1a1d
TC
19253 case 144:
19254 return aarch64_ext_imm_rotate2 (self, info, code, inst);
19255 case 71:
19256 case 143:
19257 return aarch64_ext_imm_rotate1 (self, info, code, inst);
19258 case 72:
19259 case 73:
a06ea964 19260 return aarch64_ext_cond (self, info, code, inst);
3f06e550 19261 case 79:
f42f1a1d
TC
19262 case 86:
19263 return aarch64_ext_addr_simple (self, info, code, inst);
c2c4ff8d 19264 case 80:
f42f1a1d 19265 return aarch64_ext_addr_regoff (self, info, code, inst);
a06ea964
NC
19266 case 81:
19267 case 82:
f42f1a1d
TC
19268 case 83:
19269 return aarch64_ext_addr_simm (self, info, code, inst);
a06ea964 19270 case 84:
f42f1a1d 19271 return aarch64_ext_addr_simm10 (self, info, code, inst);
a06ea964 19272 case 85:
f42f1a1d 19273 return aarch64_ext_addr_uimm12 (self, info, code, inst);
ee804238 19274 case 87:
f42f1a1d 19275 return aarch64_ext_addr_offset (self, info, code, inst);
1e6f4800 19276 case 88:
f42f1a1d 19277 return aarch64_ext_simd_addr_post (self, info, code, inst);
4df068de 19278 case 89:
f42f1a1d 19279 return aarch64_ext_sysreg (self, info, code, inst);
2442d846 19280 case 90:
f42f1a1d 19281 return aarch64_ext_pstatefield (self, info, code, inst);
4df068de
RS
19282 case 91:
19283 case 92:
4df068de
RS
19284 case 93:
19285 case 94:
f42f1a1d 19286 return aarch64_ext_sysins_op (self, info, code, inst);
4df068de
RS
19287 case 95:
19288 case 96:
f42f1a1d 19289 return aarch64_ext_barrier (self, info, code, inst);
4df068de 19290 case 97:
f42f1a1d 19291 return aarch64_ext_prfop (self, info, code, inst);
4df068de 19292 case 98:
f42f1a1d 19293 return aarch64_ext_hint (self, info, code, inst);
4df068de 19294 case 99:
f42f1a1d 19295 return aarch64_ext_sve_addr_ri_s4 (self, info, code, inst);
4df068de
RS
19296 case 100:
19297 case 101:
19298 case 102:
19299 case 103:
f42f1a1d 19300 return aarch64_ext_sve_addr_ri_s4xvl (self, info, code, inst);
4df068de 19301 case 104:
f42f1a1d 19302 return aarch64_ext_sve_addr_ri_s6xvl (self, info, code, inst);
4df068de 19303 case 105:
f42f1a1d 19304 return aarch64_ext_sve_addr_ri_s9xvl (self, info, code, inst);
f11ad6bc 19305 case 106:
2442d846 19306 case 107:
4df068de 19307 case 108:
2442d846 19308 case 109:
f42f1a1d 19309 return aarch64_ext_sve_addr_ri_u6 (self, info, code, inst);
4df068de
RS
19310 case 110:
19311 case 111:
19312 case 112:
4df068de
RS
19313 case 113:
19314 case 114:
19315 case 115:
19316 case 116:
4df068de 19317 case 117:
4df068de 19318 case 118:
4df068de 19319 case 119:
98907a70 19320 case 120:
4df068de 19321 case 121:
f42f1a1d 19322 return aarch64_ext_sve_addr_rr_lsl (self, info, code, inst);
98907a70 19323 case 122:
98907a70 19324 case 123:
98907a70 19325 case 124:
98907a70 19326 case 125:
e950b345 19327 case 126:
98907a70 19328 case 127:
e950b345 19329 case 128:
c84364ec 19330 case 129:
f42f1a1d 19331 return aarch64_ext_sve_addr_rz_xtw (self, info, code, inst);
3f06e550 19332 case 130:
c2c4ff8d 19333 case 131:
165d4950 19334 case 132:
e950b345 19335 case 133:
f42f1a1d 19336 return aarch64_ext_sve_addr_zi_u5 (self, info, code, inst);
582e12bf 19337 case 134:
f42f1a1d
TC
19338 return aarch64_ext_sve_addr_zz_lsl (self, info, code, inst);
19339 case 135:
19340 return aarch64_ext_sve_addr_zz_sxtw (self, info, code, inst);
c2c4ff8d 19341 case 136:
f42f1a1d 19342 return aarch64_ext_sve_addr_zz_uxtw (self, info, code, inst);
c2c4ff8d 19343 case 137:
f42f1a1d 19344 return aarch64_ext_sve_aimm (self, info, code, inst);
c2c4ff8d 19345 case 138:
f42f1a1d
TC
19346 return aarch64_ext_sve_asimm (self, info, code, inst);
19347 case 140:
19348 return aarch64_ext_sve_float_half_one (self, info, code, inst);
582e12bf 19349 case 141:
f42f1a1d
TC
19350 return aarch64_ext_sve_float_half_two (self, info, code, inst);
19351 case 142:
19352 return aarch64_ext_sve_float_zero_one (self, info, code, inst);
19353 case 145:
165d4950 19354 return aarch64_ext_inv_limm (self, info, code, inst);
f42f1a1d 19355 case 147:
165d4950 19356 return aarch64_ext_sve_limm_mov (self, info, code, inst);
f42f1a1d 19357 case 149:
4df068de 19358 return aarch64_ext_sve_scale (self, info, code, inst);
f42f1a1d
TC
19359 case 161:
19360 case 162:
582e12bf 19361 return aarch64_ext_sve_shlimm (self, info, code, inst);
f42f1a1d
TC
19362 case 163:
19363 case 164:
e950b345 19364 return aarch64_ext_sve_shrimm (self, info, code, inst);
582e12bf 19365 case 182:
582e12bf 19366 case 183:
f42f1a1d
TC
19367 case 184:
19368 return aarch64_ext_sve_quad_index (self, info, code, inst);
19369 case 186:
19370 return aarch64_ext_sve_index (self, info, code, inst);
19371 case 187:
19372 case 189:
f11ad6bc 19373 return aarch64_ext_sve_reglist (self, info, code, inst);
a06ea964
NC
19374 default: assert (0); abort ();
19375 }
19376}