]> git.ipfire.org Git - thirdparty/binutils-gdb.git/blame - opcodes/aarch64-dis-2.c
aarch64: [SME] Add LD1x, ST1x, LDR and STR instructions
[thirdparty/binutils-gdb.git] / opcodes / aarch64-dis-2.c
CommitLineData
a06ea964 1/* This file is automatically generated by aarch64-gen. Do not edit! */
250d07de 2/* Copyright (C) 2012-2021 Free Software Foundation, Inc.
a06ea964
NC
3 Contributed by ARM Ltd.
4
5 This file is part of the GNU opcodes library.
6
7 This library is free software; you can redistribute it and/or modify
8 it under the terms of the GNU General Public License as published by
9 the Free Software Foundation; either version 3, or (at your option)
10 any later version.
11
12 It is distributed in the hope that it will be useful, but WITHOUT
13 ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
14 or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public
15 License for more details.
16
17 You should have received a copy of the GNU General Public License
18 along with this program; see the file COPYING3. If not,
19 see <http://www.gnu.org/licenses/>. */
20
21#include "sysdep.h"
22#include "aarch64-dis.h"
23
24/* Called by aarch64_opcode_lookup. */
25
26static int
27aarch64_opcode_lookup_1 (uint32_t word)
28{
29 if (((word >> 26) & 0x1) == 0)
30 {
31 if (((word >> 25) & 0x1) == 0)
32 {
33 if (((word >> 27) & 0x1) == 0)
34 {
35 if (((word >> 24) & 0x1) == 0)
36 {
09c1e68a 37 if (((word >> 28) & 0x1) == 0)
a06ea964 38 {
971eda73
PW
39 if (((word >> 21) & 0x1) == 0)
40 {
7bb5f07c 41 if (((word >> 29) & 0x1) == 0)
971eda73 42 {
7bb5f07c 43 if (((word >> 30) & 0x1) == 0)
971eda73 44 {
7bb5f07c 45 if (((word >> 22) & 0x1) == 0)
971eda73 46 {
7bb5f07c 47 if (((word >> 23) & 0x1) == 0)
971eda73 48 {
7bb5f07c
PW
49 /* 33222222222211111111110000000000
50 10987654321098765432109876543210
51 x0000000000xxxxxxxxxxxxxxxxxxxxx
52 udf. */
53 return 754;
54 }
55 else
56 {
57 if (((word >> 4) & 0x1) == 0)
971eda73
PW
58 {
59 /* 33222222222211111111110000000000
60 10987654321098765432109876543210
61 x0000000100xxxxxxxxxxxxxxxx0xxxx
62 fmopa. */
63 return 2354;
64 }
65 else
66 {
7bb5f07c
PW
67 /* 33222222222211111111110000000000
68 10987654321098765432109876543210
69 x0000000100xxxxxxxxxxxxxxxx1xxxx
70 fmops. */
71 return 2357;
971eda73
PW
72 }
73 }
971eda73
PW
74 }
75 else
76 {
7bb5f07c 77 if (((word >> 4) & 0x1) == 0)
971eda73
PW
78 {
79 /* 33222222222211111111110000000000
80 10987654321098765432109876543210
7bb5f07c
PW
81 x0000000x10xxxxxxxxxxxxxxxx0xxxx
82 fmopa. */
83 return 2355;
971eda73
PW
84 }
85 else
86 {
87 /* 33222222222211111111110000000000
88 10987654321098765432109876543210
7bb5f07c
PW
89 x0000000x10xxxxxxxxxxxxxxxx1xxxx
90 fmops. */
91 return 2358;
971eda73
PW
92 }
93 }
94 }
7bb5f07c 95 else
971eda73 96 {
7bb5f07c 97 if (((word >> 17) & 0x1) == 0)
971eda73 98 {
1cad938d 99 if (((word >> 19) & 0x1) == 0)
971eda73 100 {
1cad938d 101 if (((word >> 20) & 0x1) == 0)
971eda73 102 {
1cad938d
PW
103 /* 33222222222211111111110000000000
104 10987654321098765432109876543210
105 x1000000xx000x0xxxxxxxxxxxxxxxxx
106 mov. */
107 return 2377;
971eda73
PW
108 }
109 else
110 {
1cad938d 111 if (((word >> 16) & 0x1) == 0)
7bb5f07c 112 {
1cad938d
PW
113 if (((word >> 22) & 0x1) == 0)
114 {
115 /* 33222222222211111111110000000000
116 10987654321098765432109876543210
117 x1000000x0010x00xxxxxxxxxxxxxxxx
118 addha. */
119 return 2348;
120 }
121 else
122 {
123 /* 33222222222211111111110000000000
124 10987654321098765432109876543210
125 x1000000x1010x00xxxxxxxxxxxxxxxx
126 addha. */
127 return 2349;
128 }
7bb5f07c
PW
129 }
130 else
131 {
1cad938d
PW
132 if (((word >> 22) & 0x1) == 0)
133 {
134 /* 33222222222211111111110000000000
135 10987654321098765432109876543210
136 x1000000x0010x01xxxxxxxxxxxxxxxx
137 addva. */
138 return 2350;
139 }
140 else
141 {
142 /* 33222222222211111111110000000000
143 10987654321098765432109876543210
144 x1000000x1010x01xxxxxxxxxxxxxxxx
145 addva. */
146 return 2351;
147 }
7bb5f07c 148 }
971eda73
PW
149 }
150 }
1cad938d
PW
151 else
152 {
153 /* 33222222222211111111110000000000
154 10987654321098765432109876543210
155 x1000000xx0x1x0xxxxxxxxxxxxxxxxx
156 zero. */
157 return 2380;
158 }
971eda73 159 }
7bb5f07c
PW
160 else
161 {
162 /* 33222222222211111111110000000000
163 10987654321098765432109876543210
164 x1000000xx0xxx1xxxxxxxxxxxxxxxxx
165 mov. */
166 return 2376;
167 }
168 }
169 }
170 else
171 {
172 if (((word >> 4) & 0x1) == 0)
173 {
174 if (((word >> 22) & 0x1) == 0)
175 {
01a4d082
PW
176 if (((word >> 23) & 0x1) == 0)
177 {
178 /* 33222222222211111111110000000000
179 10987654321098765432109876543210
180 xx100000000xxxxxxxxxxxxxxxx0xxxx
181 ld1b. */
182 return 2381;
183 }
184 else
185 {
186 if (((word >> 30) & 0x1) == 0)
187 {
188 /* 33222222222211111111110000000000
189 10987654321098765432109876543210
190 x0100000100xxxxxxxxxxxxxxxx0xxxx
191 smopa. */
192 return 2360;
193 }
194 else
195 {
196 /* 33222222222211111111110000000000
197 10987654321098765432109876543210
198 x1100000100xxxxxxxxxxxxxxxx0xxxx
199 ld1w. */
200 return 2383;
201 }
202 }
7bb5f07c 203 }
971eda73
PW
204 else
205 {
01a4d082
PW
206 if (((word >> 23) & 0x1) == 0)
207 {
208 /* 33222222222211111111110000000000
209 10987654321098765432109876543210
210 xx100000010xxxxxxxxxxxxxxxx0xxxx
211 ld1h. */
212 return 2382;
213 }
214 else
215 {
216 if (((word >> 30) & 0x1) == 0)
217 {
218 /* 33222222222211111111110000000000
219 10987654321098765432109876543210
220 x0100000110xxxxxxxxxxxxxxxx0xxxx
221 smopa. */
222 return 2361;
223 }
224 else
225 {
226 /* 33222222222211111111110000000000
227 10987654321098765432109876543210
228 x1100000110xxxxxxxxxxxxxxxx0xxxx
229 ld1d. */
230 return 2384;
231 }
232 }
971eda73
PW
233 }
234 }
235 else
236 {
7bb5f07c 237 if (((word >> 22) & 0x1) == 0)
971eda73
PW
238 {
239 /* 33222222222211111111110000000000
240 10987654321098765432109876543210
7bb5f07c
PW
241 xx100000x00xxxxxxxxxxxxxxxx1xxxx
242 smops. */
243 return 2362;
971eda73
PW
244 }
245 else
246 {
247 /* 33222222222211111111110000000000
248 10987654321098765432109876543210
249 xx100000x10xxxxxxxxxxxxxxxx1xxxx
250 smops. */
251 return 2363;
252 }
253 }
254 }
255 }
256 else
257 {
258 if (((word >> 4) & 0x1) == 0)
259 {
260 if (((word >> 22) & 0x1) == 0)
261 {
01a4d082
PW
262 if (((word >> 23) & 0x1) == 0)
263 {
264 /* 33222222222211111111110000000000
265 10987654321098765432109876543210
266 xxx00000001xxxxxxxxxxxxxxxx0xxxx
267 st1b. */
268 return 2391;
269 }
270 else
271 {
272 if (((word >> 30) & 0x1) == 0)
273 {
274 /* 33222222222211111111110000000000
275 10987654321098765432109876543210
276 x0x00000101xxxxxxxxxxxxxxxx0xxxx
277 sumopa. */
278 return 2364;
279 }
280 else
281 {
282 /* 33222222222211111111110000000000
283 10987654321098765432109876543210
284 x1x00000101xxxxxxxxxxxxxxxx0xxxx
285 st1w. */
286 return 2393;
287 }
288 }
971eda73
PW
289 }
290 else
291 {
01a4d082
PW
292 if (((word >> 23) & 0x1) == 0)
293 {
294 /* 33222222222211111111110000000000
295 10987654321098765432109876543210
296 xxx00000011xxxxxxxxxxxxxxxx0xxxx
297 st1h. */
298 return 2392;
299 }
300 else
301 {
302 if (((word >> 30) & 0x1) == 0)
303 {
304 /* 33222222222211111111110000000000
305 10987654321098765432109876543210
306 x0x00000111xxxxxxxxxxxxxxxx0xxxx
307 sumopa. */
308 return 2365;
309 }
310 else
311 {
312 /* 33222222222211111111110000000000
313 10987654321098765432109876543210
314 x1x00000111xxxxxxxxxxxxxxxx0xxxx
315 st1d. */
316 return 2394;
317 }
318 }
971eda73
PW
319 }
320 }
321 else
322 {
323 if (((word >> 22) & 0x1) == 0)
324 {
325 /* 33222222222211111111110000000000
326 10987654321098765432109876543210
327 xxx00000x01xxxxxxxxxxxxxxxx1xxxx
328 sumops. */
329 return 2366;
330 }
331 else
332 {
333 /* 33222222222211111111110000000000
334 10987654321098765432109876543210
335 xxx00000x11xxxxxxxxxxxxxxxx1xxxx
336 sumops. */
337 return 2367;
338 }
339 }
340 }
a06ea964
NC
341 }
342 else
343 {
09c1e68a
AC
344 if (((word >> 31) & 0x1) == 0)
345 {
346 /* 33222222222211111111110000000000
347 10987654321098765432109876543210
348 0xx10000xxxxxxxxxxxxxxxxxxxxxxxx
349 adr. */
fd65497d 350 return 1191;
09c1e68a
AC
351 }
352 else
353 {
354 /* 33222222222211111111110000000000
355 10987654321098765432109876543210
356 1xx10000xxxxxxxxxxxxxxxxxxxxxxxx
357 adrp. */
fd65497d 358 return 1192;
09c1e68a 359 }
a06ea964
NC
360 }
361 }
362 else
363 {
971eda73 364 if (((word >> 28) & 0x1) == 0)
a06ea964 365 {
971eda73 366 if (((word >> 4) & 0x1) == 0)
a06ea964 367 {
971eda73
PW
368 if (((word >> 21) & 0x1) == 0)
369 {
370 if (((word >> 22) & 0x1) == 0)
371 {
01a4d082 372 if (((word >> 23) & 0x1) == 0)
971eda73
PW
373 {
374 /* 33222222222211111111110000000000
375 10987654321098765432109876543210
01a4d082
PW
376 xxx00001000xxxxxxxxxxxxxxxx0xxxx
377 ldr. */
378 return 2401;
971eda73
PW
379 }
380 else
381 {
01a4d082
PW
382 if (((word >> 29) & 0x1) == 0)
383 {
384 /* 33222222222211111111110000000000
385 10987654321098765432109876543210
386 xx000001100xxxxxxxxxxxxxxxx0xxxx
387 bfmopa. */
388 return 2352;
389 }
390 else
391 {
392 /* 33222222222211111111110000000000
393 10987654321098765432109876543210
394 xx100001100xxxxxxxxxxxxxxxx0xxxx
395 usmopa. */
396 return 2372;
397 }
971eda73
PW
398 }
399 }
400 else
401 {
01a4d082
PW
402 if (((word >> 30) & 0x1) == 0)
403 {
404 /* 33222222222211111111110000000000
405 10987654321098765432109876543210
406 x0x00001x10xxxxxxxxxxxxxxxx0xxxx
407 usmopa. */
408 return 2373;
409 }
410 else
411 {
412 /* 33222222222211111111110000000000
413 10987654321098765432109876543210
414 x1x00001x10xxxxxxxxxxxxxxxx0xxxx
415 ld1q. */
416 return 2385;
417 }
971eda73
PW
418 }
419 }
420 else
421 {
422 if (((word >> 22) & 0x1) == 0)
423 {
01a4d082 424 if (((word >> 23) & 0x1) == 0)
971eda73
PW
425 {
426 /* 33222222222211111111110000000000
427 10987654321098765432109876543210
01a4d082
PW
428 xxx00001001xxxxxxxxxxxxxxxx0xxxx
429 str. */
430 return 2402;
971eda73
PW
431 }
432 else
433 {
01a4d082
PW
434 if (((word >> 29) & 0x1) == 0)
435 {
436 /* 33222222222211111111110000000000
437 10987654321098765432109876543210
438 xx000001101xxxxxxxxxxxxxxxx0xxxx
439 fmopa. */
440 return 2356;
441 }
442 else
443 {
444 /* 33222222222211111111110000000000
445 10987654321098765432109876543210
446 xx100001101xxxxxxxxxxxxxxxx0xxxx
447 umopa. */
448 return 2368;
449 }
971eda73
PW
450 }
451 }
452 else
453 {
01a4d082
PW
454 if (((word >> 30) & 0x1) == 0)
455 {
456 /* 33222222222211111111110000000000
457 10987654321098765432109876543210
458 x0x00001x11xxxxxxxxxxxxxxxx0xxxx
459 umopa. */
460 return 2369;
461 }
462 else
463 {
464 /* 33222222222211111111110000000000
465 10987654321098765432109876543210
466 x1x00001x11xxxxxxxxxxxxxxxx0xxxx
467 st1q. */
468 return 2395;
469 }
971eda73
PW
470 }
471 }
a06ea964
NC
472 }
473 else
474 {
971eda73
PW
475 if (((word >> 21) & 0x1) == 0)
476 {
477 if (((word >> 22) & 0x1) == 0)
478 {
479 if (((word >> 29) & 0x1) == 0)
480 {
481 /* 33222222222211111111110000000000
482 10987654321098765432109876543210
483 xx000001x00xxxxxxxxxxxxxxxx1xxxx
484 bfmops. */
485 return 2353;
486 }
487 else
488 {
489 /* 33222222222211111111110000000000
490 10987654321098765432109876543210
491 xx100001x00xxxxxxxxxxxxxxxx1xxxx
492 usmops. */
493 return 2374;
494 }
495 }
496 else
497 {
498 /* 33222222222211111111110000000000
499 10987654321098765432109876543210
500 xxx00001x10xxxxxxxxxxxxxxxx1xxxx
501 usmops. */
502 return 2375;
503 }
504 }
505 else
506 {
507 if (((word >> 22) & 0x1) == 0)
508 {
509 if (((word >> 29) & 0x1) == 0)
510 {
511 /* 33222222222211111111110000000000
512 10987654321098765432109876543210
513 xx000001x01xxxxxxxxxxxxxxxx1xxxx
514 fmops. */
515 return 2359;
516 }
517 else
518 {
519 /* 33222222222211111111110000000000
520 10987654321098765432109876543210
521 xx100001x01xxxxxxxxxxxxxxxx1xxxx
522 umops. */
523 return 2370;
524 }
525 }
526 else
527 {
528 /* 33222222222211111111110000000000
529 10987654321098765432109876543210
530 xxx00001x11xxxxxxxxxxxxxxxx1xxxx
531 umops. */
532 return 2371;
533 }
534 }
a06ea964
NC
535 }
536 }
537 else
538 {
971eda73 539 if (((word >> 29) & 0x1) == 0)
a06ea964 540 {
971eda73
PW
541 if (((word >> 30) & 0x1) == 0)
542 {
543 /* 33222222222211111111110000000000
544 10987654321098765432109876543210
545 x0010001xxxxxxxxxxxxxxxxxxxxxxxx
546 add. */
547 return 12;
548 }
549 else
550 {
551 /* 33222222222211111111110000000000
552 10987654321098765432109876543210
553 x1010001xxxxxxxxxxxxxxxxxxxxxxxx
554 sub. */
555 return 16;
556 }
a06ea964
NC
557 }
558 else
559 {
971eda73
PW
560 if (((word >> 30) & 0x1) == 0)
561 {
562 /* 33222222222211111111110000000000
563 10987654321098765432109876543210
564 x0110001xxxxxxxxxxxxxxxxxxxxxxxx
565 adds. */
566 return 14;
567 }
568 else
569 {
570 /* 33222222222211111111110000000000
571 10987654321098765432109876543210
572 x1110001xxxxxxxxxxxxxxxxxxxxxxxx
573 subs. */
574 return 17;
575 }
a06ea964
NC
576 }
577 }
578 }
579 }
580 else
581 {
582 if (((word >> 28) & 0x1) == 0)
583 {
584 if (((word >> 22) & 0x1) == 0)
585 {
586 if (((word >> 23) & 0x1) == 0)
587 {
588 if (((word >> 29) & 0x1) == 0)
589 {
590 if (((word >> 15) & 0x1) == 0)
591 {
592 if (((word >> 21) & 0x1) == 0)
593 {
594 if (((word >> 31) & 0x1) == 0)
595 {
596 if (((word >> 30) & 0x1) == 0)
597 {
598 /* 33222222222211111111110000000000
599 10987654321098765432109876543210
7684e580 600 0000100x000xxxxx0xxxxxxxxxxxxxxx
a06ea964 601 stxrb. */
09c1e68a 602 return 937;
a06ea964
NC
603 }
604 else
605 {
606 /* 33222222222211111111110000000000
607 10987654321098765432109876543210
7684e580 608 0100100x000xxxxx0xxxxxxxxxxxxxxx
a06ea964 609 stxrh. */
09c1e68a 610 return 943;
a06ea964
NC
611 }
612 }
613 else
614 {
615 /* 33222222222211111111110000000000
616 10987654321098765432109876543210
7684e580 617 1x00100x000xxxxx0xxxxxxxxxxxxxxx
a06ea964 618 stxr. */
09c1e68a 619 return 949;
a06ea964
NC
620 }
621 }
622 else
623 {
ee804238
JW
624 if (((word >> 31) & 0x1) == 0)
625 {
626 /* 33222222222211111111110000000000
627 10987654321098765432109876543210
7684e580 628 0x00100x001xxxxx0xxxxxxxxxxxxxxx
ee804238 629 casp. */
fd65497d 630 return 1026;
ee804238
JW
631 }
632 else
633 {
634 /* 33222222222211111111110000000000
635 10987654321098765432109876543210
7684e580 636 1x00100x001xxxxx0xxxxxxxxxxxxxxx
ee804238 637 stxp. */
09c1e68a 638 return 951;
ee804238 639 }
a06ea964
NC
640 }
641 }
642 else
643 {
644 if (((word >> 21) & 0x1) == 0)
645 {
646 if (((word >> 31) & 0x1) == 0)
647 {
648 if (((word >> 30) & 0x1) == 0)
649 {
650 /* 33222222222211111111110000000000
651 10987654321098765432109876543210
7684e580 652 0000100x000xxxxx1xxxxxxxxxxxxxxx
a06ea964 653 stlxrb. */
09c1e68a 654 return 938;
a06ea964
NC
655 }
656 else
657 {
658 /* 33222222222211111111110000000000
659 10987654321098765432109876543210
7684e580 660 0100100x000xxxxx1xxxxxxxxxxxxxxx
a06ea964 661 stlxrh. */
09c1e68a 662 return 944;
a06ea964
NC
663 }
664 }
665 else
666 {
667 /* 33222222222211111111110000000000
668 10987654321098765432109876543210
7684e580 669 1x00100x000xxxxx1xxxxxxxxxxxxxxx
a06ea964 670 stlxr. */
09c1e68a 671 return 950;
a06ea964
NC
672 }
673 }
674 else
675 {
ee804238
JW
676 if (((word >> 31) & 0x1) == 0)
677 {
678 /* 33222222222211111111110000000000
679 10987654321098765432109876543210
7684e580 680 0x00100x001xxxxx1xxxxxxxxxxxxxxx
ee804238 681 caspl. */
fd65497d 682 return 1028;
ee804238
JW
683 }
684 else
685 {
686 /* 33222222222211111111110000000000
687 10987654321098765432109876543210
7684e580 688 1x00100x001xxxxx1xxxxxxxxxxxxxxx
ee804238 689 stlxp. */
09c1e68a 690 return 952;
ee804238 691 }
a06ea964
NC
692 }
693 }
694 }
695 else
696 {
fb3265b3
SD
697 if (((word >> 30) & 0x1) == 0)
698 {
699 /* 33222222222211111111110000000000
700 10987654321098765432109876543210
701 x010100x00xxxxxxxxxxxxxxxxxxxxxx
702 stnp. */
09c1e68a 703 return 971;
fb3265b3
SD
704 }
705 else
706 {
707 /* 33222222222211111111110000000000
708 10987654321098765432109876543210
709 x110100x00xxxxxxxxxxxxxxxxxxxxxx
710 stgp. */
09c1e68a 711 return 980;
fb3265b3 712 }
a06ea964
NC
713 }
714 }
715 else
716 {
717 if (((word >> 29) & 0x1) == 0)
718 {
ee804238 719 if (((word >> 15) & 0x1) == 0)
a06ea964 720 {
290806fd 721 if (((word >> 21) & 0x1) == 0)
a06ea964 722 {
290806fd 723 if (((word >> 31) & 0x1) == 0)
ee804238
JW
724 {
725 /* 33222222222211111111110000000000
726 10987654321098765432109876543210
7684e580 727 0x00100x100xxxxx0xxxxxxxxxxxxxxx
290806fd 728 stllrb. */
09c1e68a 729 return 969;
ee804238
JW
730 }
731 else
732 {
733 /* 33222222222211111111110000000000
734 10987654321098765432109876543210
7684e580 735 1x00100x100xxxxx0xxxxxxxxxxxxxxx
290806fd 736 stllr. */
09c1e68a 737 return 968;
ee804238 738 }
a06ea964
NC
739 }
740 else
741 {
290806fd
MW
742 if (((word >> 31) & 0x1) == 0)
743 {
744 if (((word >> 30) & 0x1) == 0)
745 {
746 /* 33222222222211111111110000000000
747 10987654321098765432109876543210
7684e580 748 0000100x101xxxxx0xxxxxxxxxxxxxxx
290806fd 749 casb. */
fd65497d 750 return 1014;
290806fd
MW
751 }
752 else
753 {
754 /* 33222222222211111111110000000000
755 10987654321098765432109876543210
7684e580 756 0100100x101xxxxx0xxxxxxxxxxxxxxx
290806fd 757 cash. */
fd65497d 758 return 1015;
290806fd
MW
759 }
760 }
761 else
762 {
763 /* 33222222222211111111110000000000
764 10987654321098765432109876543210
7684e580 765 1x00100x101xxxxx0xxxxxxxxxxxxxxx
290806fd 766 cas. */
fd65497d 767 return 1016;
290806fd 768 }
a06ea964
NC
769 }
770 }
771 else
772 {
ee804238
JW
773 if (((word >> 21) & 0x1) == 0)
774 {
775 if (((word >> 31) & 0x1) == 0)
776 {
777 if (((word >> 30) & 0x1) == 0)
778 {
779 /* 33222222222211111111110000000000
780 10987654321098765432109876543210
7684e580 781 0000100x100xxxxx1xxxxxxxxxxxxxxx
ee804238 782 stlrb. */
09c1e68a 783 return 941;
ee804238
JW
784 }
785 else
786 {
787 /* 33222222222211111111110000000000
788 10987654321098765432109876543210
7684e580 789 0100100x100xxxxx1xxxxxxxxxxxxxxx
ee804238 790 stlrh. */
09c1e68a 791 return 947;
ee804238
JW
792 }
793 }
794 else
795 {
796 /* 33222222222211111111110000000000
797 10987654321098765432109876543210
7684e580 798 1x00100x100xxxxx1xxxxxxxxxxxxxxx
ee804238 799 stlr. */
09c1e68a 800 return 957;
ee804238
JW
801 }
802 }
803 else
804 {
805 if (((word >> 31) & 0x1) == 0)
806 {
807 if (((word >> 30) & 0x1) == 0)
808 {
809 /* 33222222222211111111110000000000
810 10987654321098765432109876543210
7684e580 811 0000100x101xxxxx1xxxxxxxxxxxxxxx
ee804238 812 caslb. */
fd65497d 813 return 1018;
ee804238
JW
814 }
815 else
816 {
817 /* 33222222222211111111110000000000
818 10987654321098765432109876543210
7684e580 819 0100100x101xxxxx1xxxxxxxxxxxxxxx
ee804238 820 caslh. */
fd65497d 821 return 1021;
ee804238
JW
822 }
823 }
824 else
825 {
826 /* 33222222222211111111110000000000
827 10987654321098765432109876543210
7684e580 828 1x00100x101xxxxx1xxxxxxxxxxxxxxx
ee804238 829 casl. */
fd65497d 830 return 1024;
ee804238
JW
831 }
832 }
a06ea964
NC
833 }
834 }
835 else
836 {
fb3265b3
SD
837 if (((word >> 30) & 0x1) == 0)
838 {
839 /* 33222222222211111111110000000000
840 10987654321098765432109876543210
841 x010100x10xxxxxxxxxxxxxxxxxxxxxx
842 stp. */
09c1e68a 843 return 981;
fb3265b3
SD
844 }
845 else
846 {
847 /* 33222222222211111111110000000000
848 10987654321098765432109876543210
849 x110100x10xxxxxxxxxxxxxxxxxxxxxx
850 stgp. */
09c1e68a 851 return 986;
fb3265b3 852 }
a06ea964
NC
853 }
854 }
855 }
856 else
857 {
858 if (((word >> 23) & 0x1) == 0)
859 {
860 if (((word >> 29) & 0x1) == 0)
861 {
862 if (((word >> 15) & 0x1) == 0)
863 {
864 if (((word >> 21) & 0x1) == 0)
865 {
866 if (((word >> 31) & 0x1) == 0)
867 {
868 if (((word >> 30) & 0x1) == 0)
869 {
870 /* 33222222222211111111110000000000
871 10987654321098765432109876543210
7684e580 872 0000100x010xxxxx0xxxxxxxxxxxxxxx
a06ea964 873 ldxrb. */
09c1e68a 874 return 939;
a06ea964
NC
875 }
876 else
877 {
878 /* 33222222222211111111110000000000
879 10987654321098765432109876543210
7684e580 880 0100100x010xxxxx0xxxxxxxxxxxxxxx
a06ea964 881 ldxrh. */
09c1e68a 882 return 945;
a06ea964
NC
883 }
884 }
885 else
886 {
887 /* 33222222222211111111110000000000
888 10987654321098765432109876543210
7684e580 889 1x00100x010xxxxx0xxxxxxxxxxxxxxx
a06ea964 890 ldxr. */
09c1e68a 891 return 953;
a06ea964
NC
892 }
893 }
894 else
895 {
ee804238
JW
896 if (((word >> 31) & 0x1) == 0)
897 {
898 /* 33222222222211111111110000000000
899 10987654321098765432109876543210
7684e580 900 0x00100x011xxxxx0xxxxxxxxxxxxxxx
ee804238 901 caspa. */
fd65497d 902 return 1027;
ee804238
JW
903 }
904 else
905 {
906 /* 33222222222211111111110000000000
907 10987654321098765432109876543210
7684e580 908 1x00100x011xxxxx0xxxxxxxxxxxxxxx
ee804238 909 ldxp. */
09c1e68a 910 return 955;
ee804238 911 }
a06ea964
NC
912 }
913 }
914 else
915 {
916 if (((word >> 21) & 0x1) == 0)
917 {
918 if (((word >> 31) & 0x1) == 0)
919 {
920 if (((word >> 30) & 0x1) == 0)
921 {
922 /* 33222222222211111111110000000000
923 10987654321098765432109876543210
7684e580 924 0000100x010xxxxx1xxxxxxxxxxxxxxx
a06ea964 925 ldaxrb. */
09c1e68a 926 return 940;
a06ea964
NC
927 }
928 else
929 {
930 /* 33222222222211111111110000000000
931 10987654321098765432109876543210
7684e580 932 0100100x010xxxxx1xxxxxxxxxxxxxxx
a06ea964 933 ldaxrh. */
09c1e68a 934 return 946;
a06ea964
NC
935 }
936 }
937 else
938 {
939 /* 33222222222211111111110000000000
940 10987654321098765432109876543210
7684e580 941 1x00100x010xxxxx1xxxxxxxxxxxxxxx
a06ea964 942 ldaxr. */
09c1e68a 943 return 954;
a06ea964
NC
944 }
945 }
946 else
947 {
ee804238
JW
948 if (((word >> 31) & 0x1) == 0)
949 {
950 /* 33222222222211111111110000000000
951 10987654321098765432109876543210
7684e580 952 0x00100x011xxxxx1xxxxxxxxxxxxxxx
ee804238 953 caspal. */
fd65497d 954 return 1029;
ee804238
JW
955 }
956 else
957 {
958 /* 33222222222211111111110000000000
959 10987654321098765432109876543210
7684e580 960 1x00100x011xxxxx1xxxxxxxxxxxxxxx
ee804238 961 ldaxp. */
09c1e68a 962 return 956;
ee804238 963 }
a06ea964
NC
964 }
965 }
966 }
967 else
968 {
969 if (((word >> 30) & 0x1) == 0)
970 {
971 /* 33222222222211111111110000000000
972 10987654321098765432109876543210
7684e580 973 x010100x01xxxxxxxxxxxxxxxxxxxxxx
a06ea964 974 ldnp. */
09c1e68a 975 return 972;
a06ea964
NC
976 }
977 else
978 {
979 /* 33222222222211111111110000000000
980 10987654321098765432109876543210
7684e580 981 x110100x01xxxxxxxxxxxxxxxxxxxxxx
a06ea964 982 ldpsw. */
09c1e68a 983 return 979;
a06ea964
NC
984 }
985 }
986 }
987 else
988 {
989 if (((word >> 29) & 0x1) == 0)
990 {
ee804238 991 if (((word >> 15) & 0x1) == 0)
a06ea964 992 {
290806fd 993 if (((word >> 21) & 0x1) == 0)
a06ea964 994 {
290806fd 995 if (((word >> 31) & 0x1) == 0)
ee804238 996 {
290806fd
MW
997 if (((word >> 30) & 0x1) == 0)
998 {
999 /* 33222222222211111111110000000000
1000 10987654321098765432109876543210
7684e580 1001 0000100x110xxxxx0xxxxxxxxxxxxxxx
290806fd 1002 ldlarb. */
09c1e68a 1003 return 966;
290806fd
MW
1004 }
1005 else
1006 {
1007 /* 33222222222211111111110000000000
1008 10987654321098765432109876543210
7684e580 1009 0100100x110xxxxx0xxxxxxxxxxxxxxx
290806fd 1010 ldlarh. */
09c1e68a 1011 return 967;
290806fd 1012 }
ee804238
JW
1013 }
1014 else
1015 {
1016 /* 33222222222211111111110000000000
1017 10987654321098765432109876543210
7684e580 1018 1x00100x110xxxxx0xxxxxxxxxxxxxxx
290806fd 1019 ldlar. */
09c1e68a 1020 return 965;
ee804238 1021 }
a06ea964
NC
1022 }
1023 else
1024 {
290806fd
MW
1025 if (((word >> 31) & 0x1) == 0)
1026 {
1027 if (((word >> 30) & 0x1) == 0)
1028 {
1029 /* 33222222222211111111110000000000
1030 10987654321098765432109876543210
7684e580 1031 0000100x111xxxxx0xxxxxxxxxxxxxxx
290806fd 1032 casab. */
fd65497d 1033 return 1017;
290806fd
MW
1034 }
1035 else
1036 {
1037 /* 33222222222211111111110000000000
1038 10987654321098765432109876543210
7684e580 1039 0100100x111xxxxx0xxxxxxxxxxxxxxx
290806fd 1040 casah. */
fd65497d 1041 return 1020;
290806fd
MW
1042 }
1043 }
1044 else
1045 {
1046 /* 33222222222211111111110000000000
1047 10987654321098765432109876543210
7684e580 1048 1x00100x111xxxxx0xxxxxxxxxxxxxxx
290806fd 1049 casa. */
fd65497d 1050 return 1023;
290806fd 1051 }
a06ea964
NC
1052 }
1053 }
1054 else
1055 {
ee804238
JW
1056 if (((word >> 21) & 0x1) == 0)
1057 {
1058 if (((word >> 31) & 0x1) == 0)
1059 {
1060 if (((word >> 30) & 0x1) == 0)
1061 {
1062 /* 33222222222211111111110000000000
1063 10987654321098765432109876543210
7684e580 1064 0000100x110xxxxx1xxxxxxxxxxxxxxx
ee804238 1065 ldarb. */
09c1e68a 1066 return 942;
ee804238
JW
1067 }
1068 else
1069 {
1070 /* 33222222222211111111110000000000
1071 10987654321098765432109876543210
7684e580 1072 0100100x110xxxxx1xxxxxxxxxxxxxxx
ee804238 1073 ldarh. */
09c1e68a 1074 return 948;
ee804238
JW
1075 }
1076 }
1077 else
1078 {
1079 /* 33222222222211111111110000000000
1080 10987654321098765432109876543210
7684e580 1081 1x00100x110xxxxx1xxxxxxxxxxxxxxx
ee804238 1082 ldar. */
09c1e68a 1083 return 958;
ee804238
JW
1084 }
1085 }
1086 else
1087 {
1088 if (((word >> 31) & 0x1) == 0)
1089 {
1090 if (((word >> 30) & 0x1) == 0)
1091 {
1092 /* 33222222222211111111110000000000
1093 10987654321098765432109876543210
7684e580 1094 0000100x111xxxxx1xxxxxxxxxxxxxxx
ee804238 1095 casalb. */
fd65497d 1096 return 1019;
ee804238
JW
1097 }
1098 else
1099 {
1100 /* 33222222222211111111110000000000
1101 10987654321098765432109876543210
7684e580 1102 0100100x111xxxxx1xxxxxxxxxxxxxxx
ee804238 1103 casalh. */
fd65497d 1104 return 1022;
ee804238
JW
1105 }
1106 }
1107 else
1108 {
1109 /* 33222222222211111111110000000000
1110 10987654321098765432109876543210
7684e580 1111 1x00100x111xxxxx1xxxxxxxxxxxxxxx
ee804238 1112 casal. */
fd65497d 1113 return 1025;
ee804238
JW
1114 }
1115 }
a06ea964
NC
1116 }
1117 }
1118 else
1119 {
1120 if (((word >> 30) & 0x1) == 0)
1121 {
1122 /* 33222222222211111111110000000000
1123 10987654321098765432109876543210
7684e580 1124 x010100x11xxxxxxxxxxxxxxxxxxxxxx
a06ea964 1125 ldp. */
09c1e68a 1126 return 982;
a06ea964
NC
1127 }
1128 else
1129 {
1130 /* 33222222222211111111110000000000
1131 10987654321098765432109876543210
7684e580 1132 x110100x11xxxxxxxxxxxxxxxxxxxxxx
a06ea964 1133 ldpsw. */
09c1e68a 1134 return 985;
a06ea964
NC
1135 }
1136 }
1137 }
1138 }
1139 }
1140 else
1141 {
1142 if (((word >> 24) & 0x1) == 0)
1143 {
1144 if (((word >> 29) & 0x1) == 0)
1145 {
1146 if (((word >> 31) & 0x1) == 0)
1147 {
1148 /* 33222222222211111111110000000000
1149 10987654321098765432109876543210
7684e580 1150 0x011000xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 1151 ldr. */
09c1e68a 1152 return 987;
a06ea964
NC
1153 }
1154 else
1155 {
1156 if (((word >> 30) & 0x1) == 0)
1157 {
1158 /* 33222222222211111111110000000000
1159 10987654321098765432109876543210
7684e580 1160 10011000xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 1161 ldrsw. */
09c1e68a 1162 return 989;
a06ea964
NC
1163 }
1164 else
1165 {
1166 /* 33222222222211111111110000000000
1167 10987654321098765432109876543210
7684e580 1168 11011000xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 1169 prfm. */
09c1e68a 1170 return 990;
a06ea964
NC
1171 }
1172 }
1173 }
1174 else
1175 {
1176 if (((word >> 10) & 0x1) == 0)
1177 {
1178 if (((word >> 11) & 0x1) == 0)
1179 {
ee804238 1180 if (((word >> 21) & 0x1) == 0)
a06ea964 1181 {
ee804238 1182 if (((word >> 23) & 0x1) == 0)
a06ea964 1183 {
ee804238 1184 if (((word >> 22) & 0x1) == 0)
a06ea964 1185 {
ee804238 1186 if (((word >> 31) & 0x1) == 0)
a06ea964 1187 {
ee804238
JW
1188 if (((word >> 30) & 0x1) == 0)
1189 {
1190 /* 33222222222211111111110000000000
1191 10987654321098765432109876543210
7684e580 1192 00111000000xxxxxxxxx00xxxxxxxxxx
ee804238 1193 sturb. */
09c1e68a 1194 return 922;
ee804238
JW
1195 }
1196 else
1197 {
1198 /* 33222222222211111111110000000000
1199 10987654321098765432109876543210
7684e580 1200 01111000000xxxxxxxxx00xxxxxxxxxx
ee804238 1201 sturh. */
09c1e68a 1202 return 927;
ee804238 1203 }
a06ea964
NC
1204 }
1205 else
1206 {
1207 /* 33222222222211111111110000000000
1208 10987654321098765432109876543210
7684e580 1209 1x111000000xxxxxxxxx00xxxxxxxxxx
ee804238 1210 stur. */
09c1e68a 1211 return 930;
a06ea964
NC
1212 }
1213 }
1214 else
1215 {
ee804238
JW
1216 if (((word >> 31) & 0x1) == 0)
1217 {
1218 if (((word >> 30) & 0x1) == 0)
1219 {
1220 /* 33222222222211111111110000000000
1221 10987654321098765432109876543210
7684e580 1222 00111000010xxxxxxxxx00xxxxxxxxxx
ee804238 1223 ldurb. */
09c1e68a 1224 return 923;
ee804238
JW
1225 }
1226 else
1227 {
1228 /* 33222222222211111111110000000000
1229 10987654321098765432109876543210
7684e580 1230 01111000010xxxxxxxxx00xxxxxxxxxx
ee804238 1231 ldurh. */
09c1e68a 1232 return 928;
ee804238
JW
1233 }
1234 }
1235 else
1236 {
1237 /* 33222222222211111111110000000000
1238 10987654321098765432109876543210
7684e580 1239 1x111000010xxxxxxxxx00xxxxxxxxxx
ee804238 1240 ldur. */
09c1e68a 1241 return 931;
ee804238 1242 }
a06ea964
NC
1243 }
1244 }
1245 else
1246 {
ee804238 1247 if (((word >> 30) & 0x1) == 0)
a06ea964 1248 {
ee804238
JW
1249 if (((word >> 31) & 0x1) == 0)
1250 {
1251 /* 33222222222211111111110000000000
1252 10987654321098765432109876543210
7684e580 1253 001110001x0xxxxxxxxx00xxxxxxxxxx
ee804238 1254 ldursb. */
09c1e68a 1255 return 924;
ee804238
JW
1256 }
1257 else
1258 {
1259 /* 33222222222211111111110000000000
1260 10987654321098765432109876543210
7684e580 1261 101110001x0xxxxxxxxx00xxxxxxxxxx
ee804238 1262 ldursw. */
09c1e68a 1263 return 932;
ee804238
JW
1264 }
1265 }
1266 else
1267 {
1268 if (((word >> 31) & 0x1) == 0)
1269 {
1270 /* 33222222222211111111110000000000
1271 10987654321098765432109876543210
7684e580 1272 011110001x0xxxxxxxxx00xxxxxxxxxx
ee804238 1273 ldursh. */
09c1e68a 1274 return 929;
ee804238
JW
1275 }
1276 else
a06ea964
NC
1277 {
1278 /* 33222222222211111111110000000000
1279 10987654321098765432109876543210
7684e580 1280 111110001x0xxxxxxxxx00xxxxxxxxxx
ee804238 1281 prfum. */
09c1e68a 1282 return 933;
ee804238
JW
1283 }
1284 }
1285 }
1286 }
1287 else
1288 {
1289 if (((word >> 12) & 0x1) == 0)
1290 {
1291 if (((word >> 13) & 0x1) == 0)
1292 {
1293 if (((word >> 14) & 0x1) == 0)
1294 {
1295 if (((word >> 15) & 0x1) == 0)
1296 {
1297 if (((word >> 22) & 0x1) == 0)
1298 {
1299 if (((word >> 23) & 0x1) == 0)
1300 {
1301 if (((word >> 31) & 0x1) == 0)
1302 {
1303 if (((word >> 30) & 0x1) == 0)
1304 {
1305 /* 33222222222211111111110000000000
1306 10987654321098765432109876543210
7684e580 1307 00111000001xxxxx000000xxxxxxxxxx
ee804238 1308 ldaddb. */
fd65497d 1309 return 1042;
ee804238
JW
1310 }
1311 else
1312 {
1313 /* 33222222222211111111110000000000
1314 10987654321098765432109876543210
7684e580 1315 01111000001xxxxx000000xxxxxxxxxx
ee804238 1316 ldaddh. */
fd65497d 1317 return 1043;
ee804238
JW
1318 }
1319 }
1320 else
1321 {
1322 /* 33222222222211111111110000000000
1323 10987654321098765432109876543210
7684e580 1324 1x111000001xxxxx000000xxxxxxxxxx
ee804238 1325 ldadd. */
fd65497d 1326 return 1044;
ee804238
JW
1327 }
1328 }
1329 else
1330 {
1331 if (((word >> 31) & 0x1) == 0)
1332 {
1333 if (((word >> 30) & 0x1) == 0)
1334 {
1335 /* 33222222222211111111110000000000
1336 10987654321098765432109876543210
7684e580 1337 00111000101xxxxx000000xxxxxxxxxx
ee804238 1338 ldaddab. */
fd65497d 1339 return 1045;
ee804238
JW
1340 }
1341 else
1342 {
1343 /* 33222222222211111111110000000000
1344 10987654321098765432109876543210
7684e580 1345 01111000101xxxxx000000xxxxxxxxxx
ee804238 1346 ldaddah. */
fd65497d 1347 return 1048;
ee804238
JW
1348 }
1349 }
1350 else
1351 {
1352 /* 33222222222211111111110000000000
1353 10987654321098765432109876543210
7684e580 1354 1x111000101xxxxx000000xxxxxxxxxx
ee804238 1355 ldadda. */
fd65497d 1356 return 1051;
ee804238
JW
1357 }
1358 }
1359 }
1360 else
1361 {
1362 if (((word >> 23) & 0x1) == 0)
1363 {
1364 if (((word >> 31) & 0x1) == 0)
1365 {
1366 if (((word >> 30) & 0x1) == 0)
1367 {
1368 /* 33222222222211111111110000000000
1369 10987654321098765432109876543210
7684e580 1370 00111000011xxxxx000000xxxxxxxxxx
ee804238 1371 ldaddlb. */
fd65497d 1372 return 1046;
ee804238
JW
1373 }
1374 else
1375 {
1376 /* 33222222222211111111110000000000
1377 10987654321098765432109876543210
7684e580 1378 01111000011xxxxx000000xxxxxxxxxx
ee804238 1379 ldaddlh. */
fd65497d 1380 return 1049;
ee804238
JW
1381 }
1382 }
1383 else
1384 {
1385 /* 33222222222211111111110000000000
1386 10987654321098765432109876543210
7684e580 1387 1x111000011xxxxx000000xxxxxxxxxx
ee804238 1388 ldaddl. */
fd65497d 1389 return 1052;
ee804238
JW
1390 }
1391 }
1392 else
1393 {
1394 if (((word >> 31) & 0x1) == 0)
1395 {
1396 if (((word >> 30) & 0x1) == 0)
1397 {
1398 /* 33222222222211111111110000000000
1399 10987654321098765432109876543210
7684e580 1400 00111000111xxxxx000000xxxxxxxxxx
ee804238 1401 ldaddalb. */
fd65497d 1402 return 1047;
ee804238
JW
1403 }
1404 else
1405 {
1406 /* 33222222222211111111110000000000
1407 10987654321098765432109876543210
7684e580 1408 01111000111xxxxx000000xxxxxxxxxx
ee804238 1409 ldaddalh. */
fd65497d 1410 return 1050;
ee804238
JW
1411 }
1412 }
1413 else
1414 {
1415 /* 33222222222211111111110000000000
1416 10987654321098765432109876543210
7684e580 1417 1x111000111xxxxx000000xxxxxxxxxx
ee804238 1418 ldaddal. */
fd65497d 1419 return 1053;
ee804238
JW
1420 }
1421 }
1422 }
1423 }
1424 else
1425 {
1426 if (((word >> 22) & 0x1) == 0)
1427 {
1428 if (((word >> 23) & 0x1) == 0)
1429 {
1430 if (((word >> 31) & 0x1) == 0)
1431 {
1432 if (((word >> 30) & 0x1) == 0)
1433 {
1434 /* 33222222222211111111110000000000
1435 10987654321098765432109876543210
7684e580 1436 00111000001xxxxx100000xxxxxxxxxx
ee804238 1437 swpb. */
fd65497d 1438 return 1030;
ee804238
JW
1439 }
1440 else
1441 {
1442 /* 33222222222211111111110000000000
1443 10987654321098765432109876543210
7684e580 1444 01111000001xxxxx100000xxxxxxxxxx
ee804238 1445 swph. */
fd65497d 1446 return 1031;
ee804238
JW
1447 }
1448 }
1449 else
1450 {
1451 /* 33222222222211111111110000000000
1452 10987654321098765432109876543210
7684e580 1453 1x111000001xxxxx100000xxxxxxxxxx
ee804238 1454 swp. */
fd65497d 1455 return 1032;
ee804238
JW
1456 }
1457 }
1458 else
1459 {
1460 if (((word >> 31) & 0x1) == 0)
1461 {
1462 if (((word >> 30) & 0x1) == 0)
1463 {
1464 /* 33222222222211111111110000000000
1465 10987654321098765432109876543210
7684e580 1466 00111000101xxxxx100000xxxxxxxxxx
ee804238 1467 swpab. */
fd65497d 1468 return 1033;
ee804238
JW
1469 }
1470 else
1471 {
1472 /* 33222222222211111111110000000000
1473 10987654321098765432109876543210
7684e580 1474 01111000101xxxxx100000xxxxxxxxxx
ee804238 1475 swpah. */
fd65497d 1476 return 1036;
ee804238
JW
1477 }
1478 }
1479 else
1480 {
1481 /* 33222222222211111111110000000000
1482 10987654321098765432109876543210
7684e580 1483 1x111000101xxxxx100000xxxxxxxxxx
ee804238 1484 swpa. */
fd65497d 1485 return 1039;
ee804238
JW
1486 }
1487 }
1488 }
1489 else
1490 {
1491 if (((word >> 23) & 0x1) == 0)
1492 {
1493 if (((word >> 31) & 0x1) == 0)
1494 {
1495 if (((word >> 30) & 0x1) == 0)
1496 {
1497 /* 33222222222211111111110000000000
1498 10987654321098765432109876543210
7684e580 1499 00111000011xxxxx100000xxxxxxxxxx
ee804238 1500 swplb. */
fd65497d 1501 return 1034;
ee804238
JW
1502 }
1503 else
1504 {
1505 /* 33222222222211111111110000000000
1506 10987654321098765432109876543210
7684e580 1507 01111000011xxxxx100000xxxxxxxxxx
ee804238 1508 swplh. */
fd65497d 1509 return 1037;
ee804238
JW
1510 }
1511 }
1512 else
1513 {
1514 /* 33222222222211111111110000000000
1515 10987654321098765432109876543210
7684e580 1516 1x111000011xxxxx100000xxxxxxxxxx
ee804238 1517 swpl. */
fd65497d 1518 return 1040;
ee804238
JW
1519 }
1520 }
1521 else
1522 {
1523 if (((word >> 31) & 0x1) == 0)
1524 {
1525 if (((word >> 30) & 0x1) == 0)
1526 {
1527 /* 33222222222211111111110000000000
1528 10987654321098765432109876543210
7684e580 1529 00111000111xxxxx100000xxxxxxxxxx
ee804238 1530 swpalb. */
fd65497d 1531 return 1035;
ee804238
JW
1532 }
1533 else
1534 {
1535 /* 33222222222211111111110000000000
1536 10987654321098765432109876543210
7684e580 1537 01111000111xxxxx100000xxxxxxxxxx
ee804238 1538 swpalh. */
fd65497d 1539 return 1038;
ee804238
JW
1540 }
1541 }
1542 else
1543 {
1544 /* 33222222222211111111110000000000
1545 10987654321098765432109876543210
7684e580 1546 1x111000111xxxxx100000xxxxxxxxxx
ee804238 1547 swpal. */
fd65497d 1548 return 1041;
ee804238
JW
1549 }
1550 }
1551 }
1552 }
1553 }
1554 else
1555 {
28617675 1556 if (((word >> 15) & 0x1) == 0)
ee804238 1557 {
28617675 1558 if (((word >> 22) & 0x1) == 0)
ee804238 1559 {
28617675 1560 if (((word >> 23) & 0x1) == 0)
ee804238 1561 {
28617675 1562 if (((word >> 31) & 0x1) == 0)
ee804238 1563 {
28617675
SN
1564 if (((word >> 30) & 0x1) == 0)
1565 {
1566 /* 33222222222211111111110000000000
1567 10987654321098765432109876543210
7684e580 1568 00111000001xxxxx010000xxxxxxxxxx
28617675 1569 ldsmaxb. */
fd65497d 1570 return 1090;
28617675
SN
1571 }
1572 else
1573 {
1574 /* 33222222222211111111110000000000
1575 10987654321098765432109876543210
7684e580 1576 01111000001xxxxx010000xxxxxxxxxx
28617675 1577 ldsmaxh. */
fd65497d 1578 return 1091;
28617675 1579 }
ee804238
JW
1580 }
1581 else
1582 {
1583 /* 33222222222211111111110000000000
1584 10987654321098765432109876543210
7684e580 1585 1x111000001xxxxx010000xxxxxxxxxx
28617675 1586 ldsmax. */
fd65497d 1587 return 1092;
ee804238
JW
1588 }
1589 }
1590 else
1591 {
28617675 1592 if (((word >> 31) & 0x1) == 0)
ee804238 1593 {
28617675
SN
1594 if (((word >> 30) & 0x1) == 0)
1595 {
1596 /* 33222222222211111111110000000000
1597 10987654321098765432109876543210
7684e580 1598 00111000101xxxxx010000xxxxxxxxxx
28617675 1599 ldsmaxab. */
fd65497d 1600 return 1093;
28617675
SN
1601 }
1602 else
1603 {
1604 /* 33222222222211111111110000000000
1605 10987654321098765432109876543210
7684e580 1606 01111000101xxxxx010000xxxxxxxxxx
28617675 1607 ldsmaxah. */
fd65497d 1608 return 1096;
28617675 1609 }
ee804238
JW
1610 }
1611 else
1612 {
1613 /* 33222222222211111111110000000000
1614 10987654321098765432109876543210
7684e580 1615 1x111000101xxxxx010000xxxxxxxxxx
28617675 1616 ldsmaxa. */
fd65497d 1617 return 1099;
ee804238
JW
1618 }
1619 }
ee804238 1620 }
28617675 1621 else
ee804238 1622 {
28617675 1623 if (((word >> 23) & 0x1) == 0)
ee804238 1624 {
28617675 1625 if (((word >> 31) & 0x1) == 0)
ee804238 1626 {
28617675
SN
1627 if (((word >> 30) & 0x1) == 0)
1628 {
1629 /* 33222222222211111111110000000000
1630 10987654321098765432109876543210
7684e580 1631 00111000011xxxxx010000xxxxxxxxxx
28617675 1632 ldsmaxlb. */
fd65497d 1633 return 1094;
28617675
SN
1634 }
1635 else
1636 {
1637 /* 33222222222211111111110000000000
1638 10987654321098765432109876543210
7684e580 1639 01111000011xxxxx010000xxxxxxxxxx
28617675 1640 ldsmaxlh. */
fd65497d 1641 return 1097;
28617675 1642 }
ee804238
JW
1643 }
1644 else
1645 {
1646 /* 33222222222211111111110000000000
1647 10987654321098765432109876543210
7684e580 1648 1x111000011xxxxx010000xxxxxxxxxx
28617675 1649 ldsmaxl. */
fd65497d 1650 return 1100;
ee804238
JW
1651 }
1652 }
1653 else
1654 {
28617675 1655 if (((word >> 31) & 0x1) == 0)
ee804238 1656 {
28617675
SN
1657 if (((word >> 30) & 0x1) == 0)
1658 {
1659 /* 33222222222211111111110000000000
1660 10987654321098765432109876543210
7684e580 1661 00111000111xxxxx010000xxxxxxxxxx
28617675 1662 ldsmaxalb. */
fd65497d 1663 return 1095;
28617675
SN
1664 }
1665 else
1666 {
1667 /* 33222222222211111111110000000000
1668 10987654321098765432109876543210
7684e580 1669 01111000111xxxxx010000xxxxxxxxxx
28617675 1670 ldsmaxalh. */
fd65497d 1671 return 1098;
28617675 1672 }
ee804238
JW
1673 }
1674 else
1675 {
1676 /* 33222222222211111111110000000000
1677 10987654321098765432109876543210
7684e580 1678 1x111000111xxxxx010000xxxxxxxxxx
28617675 1679 ldsmaxal. */
fd65497d 1680 return 1101;
ee804238
JW
1681 }
1682 }
28617675
SN
1683 }
1684 }
1685 else
1686 {
1687 if (((word >> 31) & 0x1) == 0)
1688 {
1689 if (((word >> 30) & 0x1) == 0)
1690 {
1691 /* 33222222222211111111110000000000
1692 10987654321098765432109876543210
7684e580 1693 00111000xx1xxxxx110000xxxxxxxxxx
28617675 1694 ldaprb. */
09c1e68a 1695 return 959;
28617675 1696 }
ee804238
JW
1697 else
1698 {
1699 /* 33222222222211111111110000000000
1700 10987654321098765432109876543210
7684e580 1701 01111000xx1xxxxx110000xxxxxxxxxx
28617675 1702 ldaprh. */
09c1e68a 1703 return 960;
ee804238
JW
1704 }
1705 }
28617675
SN
1706 else
1707 {
1708 /* 33222222222211111111110000000000
1709 10987654321098765432109876543210
7684e580 1710 1x111000xx1xxxxx110000xxxxxxxxxx
28617675 1711 ldapr. */
09c1e68a 1712 return 961;
28617675 1713 }
ee804238
JW
1714 }
1715 }
1716 }
1717 else
1718 {
1719 if (((word >> 14) & 0x1) == 0)
1720 {
fd65497d 1721 if (((word >> 15) & 0x1) == 0)
ee804238 1722 {
fd65497d 1723 if (((word >> 22) & 0x1) == 0)
ee804238 1724 {
fd65497d 1725 if (((word >> 23) & 0x1) == 0)
ee804238 1726 {
fd65497d 1727 if (((word >> 31) & 0x1) == 0)
ee804238 1728 {
fd65497d
PW
1729 if (((word >> 30) & 0x1) == 0)
1730 {
1731 /* 33222222222211111111110000000000
1732 10987654321098765432109876543210
1733 00111000001xxxxx001000xxxxxxxxxx
1734 ldeorb. */
1735 return 1066;
1736 }
1737 else
1738 {
1739 /* 33222222222211111111110000000000
1740 10987654321098765432109876543210
1741 01111000001xxxxx001000xxxxxxxxxx
1742 ldeorh. */
1743 return 1067;
1744 }
ee804238
JW
1745 }
1746 else
1747 {
1748 /* 33222222222211111111110000000000
1749 10987654321098765432109876543210
fd65497d
PW
1750 1x111000001xxxxx001000xxxxxxxxxx
1751 ldeor. */
1752 return 1068;
ee804238
JW
1753 }
1754 }
1755 else
1756 {
fd65497d 1757 if (((word >> 31) & 0x1) == 0)
ee804238 1758 {
fd65497d
PW
1759 if (((word >> 30) & 0x1) == 0)
1760 {
1761 /* 33222222222211111111110000000000
1762 10987654321098765432109876543210
1763 00111000101xxxxx001000xxxxxxxxxx
1764 ldeorab. */
1765 return 1069;
1766 }
1767 else
1768 {
1769 /* 33222222222211111111110000000000
1770 10987654321098765432109876543210
1771 01111000101xxxxx001000xxxxxxxxxx
1772 ldeorah. */
1773 return 1072;
1774 }
ee804238
JW
1775 }
1776 else
1777 {
1778 /* 33222222222211111111110000000000
1779 10987654321098765432109876543210
fd65497d
PW
1780 1x111000101xxxxx001000xxxxxxxxxx
1781 ldeora. */
1782 return 1075;
ee804238
JW
1783 }
1784 }
ee804238 1785 }
fd65497d 1786 else
ee804238 1787 {
fd65497d 1788 if (((word >> 23) & 0x1) == 0)
ee804238 1789 {
fd65497d 1790 if (((word >> 31) & 0x1) == 0)
ee804238 1791 {
fd65497d
PW
1792 if (((word >> 30) & 0x1) == 0)
1793 {
1794 /* 33222222222211111111110000000000
1795 10987654321098765432109876543210
1796 00111000011xxxxx001000xxxxxxxxxx
1797 ldeorlb. */
1798 return 1070;
1799 }
1800 else
1801 {
1802 /* 33222222222211111111110000000000
1803 10987654321098765432109876543210
1804 01111000011xxxxx001000xxxxxxxxxx
1805 ldeorlh. */
1806 return 1073;
1807 }
ee804238
JW
1808 }
1809 else
1810 {
1811 /* 33222222222211111111110000000000
1812 10987654321098765432109876543210
fd65497d
PW
1813 1x111000011xxxxx001000xxxxxxxxxx
1814 ldeorl. */
1815 return 1076;
ee804238
JW
1816 }
1817 }
1818 else
1819 {
fd65497d 1820 if (((word >> 31) & 0x1) == 0)
ee804238 1821 {
fd65497d
PW
1822 if (((word >> 30) & 0x1) == 0)
1823 {
1824 /* 33222222222211111111110000000000
1825 10987654321098765432109876543210
1826 00111000111xxxxx001000xxxxxxxxxx
1827 ldeoralb. */
1828 return 1071;
1829 }
1830 else
1831 {
1832 /* 33222222222211111111110000000000
1833 10987654321098765432109876543210
1834 01111000111xxxxx001000xxxxxxxxxx
1835 ldeoralh. */
1836 return 1074;
1837 }
ee804238
JW
1838 }
1839 else
1840 {
1841 /* 33222222222211111111110000000000
1842 10987654321098765432109876543210
fd65497d
PW
1843 1x111000111xxxxx001000xxxxxxxxxx
1844 ldeoral. */
1845 return 1077;
ee804238
JW
1846 }
1847 }
ee804238
JW
1848 }
1849 }
fd65497d
PW
1850 else
1851 {
1852 /* 33222222222211111111110000000000
1853 10987654321098765432109876543210
1854 xx111000xx1xxxxx101000xxxxxxxxxx
1855 st64bv0. */
1856 return 994;
1857 }
ee804238
JW
1858 }
1859 else
1860 {
1861 if (((word >> 22) & 0x1) == 0)
1862 {
1863 if (((word >> 23) & 0x1) == 0)
1864 {
1865 if (((word >> 31) & 0x1) == 0)
1866 {
1867 if (((word >> 30) & 0x1) == 0)
1868 {
1869 /* 33222222222211111111110000000000
1870 10987654321098765432109876543210
7684e580 1871 00111000001xxxxxx11000xxxxxxxxxx
ee804238 1872 ldumaxb. */
fd65497d 1873 return 1114;
ee804238
JW
1874 }
1875 else
1876 {
1877 /* 33222222222211111111110000000000
1878 10987654321098765432109876543210
7684e580 1879 01111000001xxxxxx11000xxxxxxxxxx
ee804238 1880 ldumaxh. */
fd65497d 1881 return 1115;
ee804238
JW
1882 }
1883 }
1884 else
1885 {
1886 /* 33222222222211111111110000000000
1887 10987654321098765432109876543210
7684e580 1888 1x111000001xxxxxx11000xxxxxxxxxx
ee804238 1889 ldumax. */
fd65497d 1890 return 1116;
ee804238
JW
1891 }
1892 }
1893 else
1894 {
1895 if (((word >> 31) & 0x1) == 0)
1896 {
1897 if (((word >> 30) & 0x1) == 0)
1898 {
1899 /* 33222222222211111111110000000000
1900 10987654321098765432109876543210
7684e580 1901 00111000101xxxxxx11000xxxxxxxxxx
ee804238 1902 ldumaxab. */
fd65497d 1903 return 1117;
ee804238
JW
1904 }
1905 else
1906 {
1907 /* 33222222222211111111110000000000
1908 10987654321098765432109876543210
7684e580 1909 01111000101xxxxxx11000xxxxxxxxxx
ee804238 1910 ldumaxah. */
fd65497d 1911 return 1120;
ee804238
JW
1912 }
1913 }
1914 else
1915 {
1916 /* 33222222222211111111110000000000
1917 10987654321098765432109876543210
7684e580 1918 1x111000101xxxxxx11000xxxxxxxxxx
ee804238 1919 ldumaxa. */
fd65497d 1920 return 1123;
ee804238
JW
1921 }
1922 }
1923 }
1924 else
1925 {
1926 if (((word >> 23) & 0x1) == 0)
1927 {
1928 if (((word >> 31) & 0x1) == 0)
1929 {
1930 if (((word >> 30) & 0x1) == 0)
1931 {
1932 /* 33222222222211111111110000000000
1933 10987654321098765432109876543210
7684e580 1934 00111000011xxxxxx11000xxxxxxxxxx
ee804238 1935 ldumaxlb. */
fd65497d 1936 return 1118;
ee804238
JW
1937 }
1938 else
1939 {
1940 /* 33222222222211111111110000000000
1941 10987654321098765432109876543210
7684e580 1942 01111000011xxxxxx11000xxxxxxxxxx
ee804238 1943 ldumaxlh. */
fd65497d 1944 return 1121;
ee804238
JW
1945 }
1946 }
1947 else
1948 {
1949 /* 33222222222211111111110000000000
1950 10987654321098765432109876543210
7684e580 1951 1x111000011xxxxxx11000xxxxxxxxxx
ee804238 1952 ldumaxl. */
fd65497d 1953 return 1124;
ee804238
JW
1954 }
1955 }
1956 else
1957 {
1958 if (((word >> 31) & 0x1) == 0)
1959 {
1960 if (((word >> 30) & 0x1) == 0)
1961 {
1962 /* 33222222222211111111110000000000
1963 10987654321098765432109876543210
7684e580 1964 00111000111xxxxxx11000xxxxxxxxxx
ee804238 1965 ldumaxalb. */
fd65497d 1966 return 1119;
ee804238
JW
1967 }
1968 else
1969 {
1970 /* 33222222222211111111110000000000
1971 10987654321098765432109876543210
7684e580 1972 01111000111xxxxxx11000xxxxxxxxxx
ee804238 1973 ldumaxalh. */
fd65497d 1974 return 1122;
ee804238
JW
1975 }
1976 }
1977 else
1978 {
1979 /* 33222222222211111111110000000000
1980 10987654321098765432109876543210
7684e580 1981 1x111000111xxxxxx11000xxxxxxxxxx
ee804238 1982 ldumaxal. */
fd65497d 1983 return 1125;
ee804238
JW
1984 }
1985 }
1986 }
1987 }
1988 }
1989 }
1990 else
1991 {
1992 if (((word >> 13) & 0x1) == 0)
1993 {
1994 if (((word >> 14) & 0x1) == 0)
1995 {
fd65497d 1996 if (((word >> 15) & 0x1) == 0)
ee804238 1997 {
fd65497d 1998 if (((word >> 22) & 0x1) == 0)
ee804238 1999 {
fd65497d 2000 if (((word >> 23) & 0x1) == 0)
ee804238 2001 {
fd65497d 2002 if (((word >> 31) & 0x1) == 0)
ee804238 2003 {
fd65497d
PW
2004 if (((word >> 30) & 0x1) == 0)
2005 {
2006 /* 33222222222211111111110000000000
2007 10987654321098765432109876543210
2008 00111000001xxxxx000100xxxxxxxxxx
2009 ldclrb. */
2010 return 1054;
2011 }
2012 else
2013 {
2014 /* 33222222222211111111110000000000
2015 10987654321098765432109876543210
2016 01111000001xxxxx000100xxxxxxxxxx
2017 ldclrh. */
2018 return 1055;
2019 }
ee804238
JW
2020 }
2021 else
2022 {
2023 /* 33222222222211111111110000000000
2024 10987654321098765432109876543210
fd65497d
PW
2025 1x111000001xxxxx000100xxxxxxxxxx
2026 ldclr. */
2027 return 1056;
ee804238
JW
2028 }
2029 }
2030 else
2031 {
fd65497d 2032 if (((word >> 31) & 0x1) == 0)
ee804238 2033 {
fd65497d
PW
2034 if (((word >> 30) & 0x1) == 0)
2035 {
2036 /* 33222222222211111111110000000000
2037 10987654321098765432109876543210
2038 00111000101xxxxx000100xxxxxxxxxx
2039 ldclrab. */
2040 return 1057;
2041 }
2042 else
2043 {
2044 /* 33222222222211111111110000000000
2045 10987654321098765432109876543210
2046 01111000101xxxxx000100xxxxxxxxxx
2047 ldclrah. */
2048 return 1060;
2049 }
ee804238
JW
2050 }
2051 else
2052 {
2053 /* 33222222222211111111110000000000
2054 10987654321098765432109876543210
fd65497d
PW
2055 1x111000101xxxxx000100xxxxxxxxxx
2056 ldclra. */
2057 return 1063;
ee804238
JW
2058 }
2059 }
ee804238 2060 }
fd65497d 2061 else
ee804238 2062 {
fd65497d 2063 if (((word >> 23) & 0x1) == 0)
ee804238 2064 {
fd65497d 2065 if (((word >> 31) & 0x1) == 0)
ee804238 2066 {
fd65497d
PW
2067 if (((word >> 30) & 0x1) == 0)
2068 {
2069 /* 33222222222211111111110000000000
2070 10987654321098765432109876543210
2071 00111000011xxxxx000100xxxxxxxxxx
2072 ldclrlb. */
2073 return 1058;
2074 }
2075 else
2076 {
2077 /* 33222222222211111111110000000000
2078 10987654321098765432109876543210
2079 01111000011xxxxx000100xxxxxxxxxx
2080 ldclrlh. */
2081 return 1061;
2082 }
ee804238
JW
2083 }
2084 else
2085 {
2086 /* 33222222222211111111110000000000
2087 10987654321098765432109876543210
fd65497d
PW
2088 1x111000011xxxxx000100xxxxxxxxxx
2089 ldclrl. */
2090 return 1064;
ee804238
JW
2091 }
2092 }
2093 else
2094 {
fd65497d 2095 if (((word >> 31) & 0x1) == 0)
ee804238 2096 {
fd65497d
PW
2097 if (((word >> 30) & 0x1) == 0)
2098 {
2099 /* 33222222222211111111110000000000
2100 10987654321098765432109876543210
2101 00111000111xxxxx000100xxxxxxxxxx
2102 ldclralb. */
2103 return 1059;
2104 }
2105 else
2106 {
2107 /* 33222222222211111111110000000000
2108 10987654321098765432109876543210
2109 01111000111xxxxx000100xxxxxxxxxx
2110 ldclralh. */
2111 return 1062;
2112 }
ee804238
JW
2113 }
2114 else
2115 {
2116 /* 33222222222211111111110000000000
2117 10987654321098765432109876543210
fd65497d
PW
2118 1x111000111xxxxx000100xxxxxxxxxx
2119 ldclral. */
2120 return 1065;
ee804238
JW
2121 }
2122 }
ee804238
JW
2123 }
2124 }
fd65497d
PW
2125 else
2126 {
2127 /* 33222222222211111111110000000000
2128 10987654321098765432109876543210
2129 xx111000xx1xxxxx100100xxxxxxxxxx
2130 st64b. */
2131 return 992;
2132 }
ee804238
JW
2133 }
2134 else
2135 {
fd65497d 2136 if (((word >> 15) & 0x1) == 0)
ee804238 2137 {
fd65497d 2138 if (((word >> 22) & 0x1) == 0)
ee804238 2139 {
fd65497d 2140 if (((word >> 23) & 0x1) == 0)
ee804238 2141 {
fd65497d 2142 if (((word >> 31) & 0x1) == 0)
ee804238 2143 {
fd65497d
PW
2144 if (((word >> 30) & 0x1) == 0)
2145 {
2146 /* 33222222222211111111110000000000
2147 10987654321098765432109876543210
2148 00111000001xxxxx010100xxxxxxxxxx
2149 ldsminb. */
2150 return 1102;
2151 }
2152 else
2153 {
2154 /* 33222222222211111111110000000000
2155 10987654321098765432109876543210
2156 01111000001xxxxx010100xxxxxxxxxx
2157 ldsminh. */
2158 return 1103;
2159 }
ee804238
JW
2160 }
2161 else
2162 {
2163 /* 33222222222211111111110000000000
2164 10987654321098765432109876543210
fd65497d
PW
2165 1x111000001xxxxx010100xxxxxxxxxx
2166 ldsmin. */
2167 return 1104;
ee804238
JW
2168 }
2169 }
2170 else
2171 {
fd65497d 2172 if (((word >> 31) & 0x1) == 0)
ee804238 2173 {
fd65497d
PW
2174 if (((word >> 30) & 0x1) == 0)
2175 {
2176 /* 33222222222211111111110000000000
2177 10987654321098765432109876543210
2178 00111000101xxxxx010100xxxxxxxxxx
2179 ldsminab. */
2180 return 1105;
2181 }
2182 else
2183 {
2184 /* 33222222222211111111110000000000
2185 10987654321098765432109876543210
2186 01111000101xxxxx010100xxxxxxxxxx
2187 ldsminah. */
2188 return 1108;
2189 }
ee804238
JW
2190 }
2191 else
2192 {
2193 /* 33222222222211111111110000000000
2194 10987654321098765432109876543210
fd65497d
PW
2195 1x111000101xxxxx010100xxxxxxxxxx
2196 ldsmina. */
2197 return 1111;
ee804238
JW
2198 }
2199 }
ee804238 2200 }
fd65497d 2201 else
ee804238 2202 {
fd65497d 2203 if (((word >> 23) & 0x1) == 0)
ee804238 2204 {
fd65497d 2205 if (((word >> 31) & 0x1) == 0)
ee804238 2206 {
fd65497d
PW
2207 if (((word >> 30) & 0x1) == 0)
2208 {
2209 /* 33222222222211111111110000000000
2210 10987654321098765432109876543210
2211 00111000011xxxxx010100xxxxxxxxxx
2212 ldsminlb. */
2213 return 1106;
2214 }
2215 else
2216 {
2217 /* 33222222222211111111110000000000
2218 10987654321098765432109876543210
2219 01111000011xxxxx010100xxxxxxxxxx
2220 ldsminlh. */
2221 return 1109;
2222 }
ee804238
JW
2223 }
2224 else
2225 {
2226 /* 33222222222211111111110000000000
2227 10987654321098765432109876543210
fd65497d
PW
2228 1x111000011xxxxx010100xxxxxxxxxx
2229 ldsminl. */
2230 return 1112;
ee804238
JW
2231 }
2232 }
2233 else
2234 {
fd65497d 2235 if (((word >> 31) & 0x1) == 0)
ee804238 2236 {
fd65497d
PW
2237 if (((word >> 30) & 0x1) == 0)
2238 {
2239 /* 33222222222211111111110000000000
2240 10987654321098765432109876543210
2241 00111000111xxxxx010100xxxxxxxxxx
2242 ldsminalb. */
2243 return 1107;
2244 }
2245 else
2246 {
2247 /* 33222222222211111111110000000000
2248 10987654321098765432109876543210
2249 01111000111xxxxx010100xxxxxxxxxx
2250 ldsminalh. */
2251 return 1110;
2252 }
ee804238
JW
2253 }
2254 else
2255 {
2256 /* 33222222222211111111110000000000
2257 10987654321098765432109876543210
fd65497d
PW
2258 1x111000111xxxxx010100xxxxxxxxxx
2259 ldsminal. */
2260 return 1113;
ee804238
JW
2261 }
2262 }
ee804238
JW
2263 }
2264 }
fd65497d
PW
2265 else
2266 {
2267 /* 33222222222211111111110000000000
2268 10987654321098765432109876543210
2269 xx111000xx1xxxxx110100xxxxxxxxxx
2270 ld64b. */
2271 return 991;
2272 }
ee804238
JW
2273 }
2274 }
2275 else
2276 {
2277 if (((word >> 14) & 0x1) == 0)
2278 {
fd65497d 2279 if (((word >> 15) & 0x1) == 0)
ee804238 2280 {
fd65497d 2281 if (((word >> 22) & 0x1) == 0)
ee804238 2282 {
fd65497d 2283 if (((word >> 23) & 0x1) == 0)
ee804238 2284 {
fd65497d 2285 if (((word >> 31) & 0x1) == 0)
ee804238 2286 {
fd65497d
PW
2287 if (((word >> 30) & 0x1) == 0)
2288 {
2289 /* 33222222222211111111110000000000
2290 10987654321098765432109876543210
2291 00111000001xxxxx001100xxxxxxxxxx
2292 ldsetb. */
2293 return 1078;
2294 }
2295 else
2296 {
2297 /* 33222222222211111111110000000000
2298 10987654321098765432109876543210
2299 01111000001xxxxx001100xxxxxxxxxx
2300 ldseth. */
2301 return 1079;
2302 }
ee804238
JW
2303 }
2304 else
2305 {
2306 /* 33222222222211111111110000000000
2307 10987654321098765432109876543210
fd65497d
PW
2308 1x111000001xxxxx001100xxxxxxxxxx
2309 ldset. */
2310 return 1080;
ee804238
JW
2311 }
2312 }
2313 else
2314 {
fd65497d 2315 if (((word >> 31) & 0x1) == 0)
ee804238 2316 {
fd65497d
PW
2317 if (((word >> 30) & 0x1) == 0)
2318 {
2319 /* 33222222222211111111110000000000
2320 10987654321098765432109876543210
2321 00111000101xxxxx001100xxxxxxxxxx
2322 ldsetab. */
2323 return 1081;
2324 }
2325 else
2326 {
2327 /* 33222222222211111111110000000000
2328 10987654321098765432109876543210
2329 01111000101xxxxx001100xxxxxxxxxx
2330 ldsetah. */
2331 return 1084;
2332 }
ee804238
JW
2333 }
2334 else
2335 {
2336 /* 33222222222211111111110000000000
2337 10987654321098765432109876543210
fd65497d
PW
2338 1x111000101xxxxx001100xxxxxxxxxx
2339 ldseta. */
2340 return 1087;
ee804238
JW
2341 }
2342 }
ee804238 2343 }
fd65497d 2344 else
ee804238 2345 {
fd65497d 2346 if (((word >> 23) & 0x1) == 0)
ee804238 2347 {
fd65497d 2348 if (((word >> 31) & 0x1) == 0)
ee804238 2349 {
fd65497d
PW
2350 if (((word >> 30) & 0x1) == 0)
2351 {
2352 /* 33222222222211111111110000000000
2353 10987654321098765432109876543210
2354 00111000011xxxxx001100xxxxxxxxxx
2355 ldsetlb. */
2356 return 1082;
2357 }
2358 else
2359 {
2360 /* 33222222222211111111110000000000
2361 10987654321098765432109876543210
2362 01111000011xxxxx001100xxxxxxxxxx
2363 ldsetlh. */
2364 return 1085;
2365 }
ee804238
JW
2366 }
2367 else
2368 {
2369 /* 33222222222211111111110000000000
2370 10987654321098765432109876543210
fd65497d
PW
2371 1x111000011xxxxx001100xxxxxxxxxx
2372 ldsetl. */
2373 return 1088;
ee804238
JW
2374 }
2375 }
2376 else
2377 {
fd65497d 2378 if (((word >> 31) & 0x1) == 0)
ee804238 2379 {
fd65497d
PW
2380 if (((word >> 30) & 0x1) == 0)
2381 {
2382 /* 33222222222211111111110000000000
2383 10987654321098765432109876543210
2384 00111000111xxxxx001100xxxxxxxxxx
2385 ldsetalb. */
2386 return 1083;
2387 }
2388 else
2389 {
2390 /* 33222222222211111111110000000000
2391 10987654321098765432109876543210
2392 01111000111xxxxx001100xxxxxxxxxx
2393 ldsetalh. */
2394 return 1086;
2395 }
ee804238
JW
2396 }
2397 else
2398 {
2399 /* 33222222222211111111110000000000
2400 10987654321098765432109876543210
fd65497d
PW
2401 1x111000111xxxxx001100xxxxxxxxxx
2402 ldsetal. */
2403 return 1089;
ee804238
JW
2404 }
2405 }
ee804238
JW
2406 }
2407 }
fd65497d
PW
2408 else
2409 {
2410 /* 33222222222211111111110000000000
2411 10987654321098765432109876543210
2412 xx111000xx1xxxxx101100xxxxxxxxxx
2413 st64bv. */
2414 return 993;
2415 }
a06ea964
NC
2416 }
2417 else
2418 {
ee804238
JW
2419 if (((word >> 22) & 0x1) == 0)
2420 {
2421 if (((word >> 23) & 0x1) == 0)
2422 {
2423 if (((word >> 31) & 0x1) == 0)
2424 {
2425 if (((word >> 30) & 0x1) == 0)
2426 {
2427 /* 33222222222211111111110000000000
2428 10987654321098765432109876543210
7684e580 2429 00111000001xxxxxx11100xxxxxxxxxx
ee804238 2430 lduminb. */
fd65497d 2431 return 1126;
ee804238
JW
2432 }
2433 else
2434 {
2435 /* 33222222222211111111110000000000
2436 10987654321098765432109876543210
7684e580 2437 01111000001xxxxxx11100xxxxxxxxxx
ee804238 2438 lduminh. */
fd65497d 2439 return 1127;
ee804238
JW
2440 }
2441 }
2442 else
2443 {
2444 /* 33222222222211111111110000000000
2445 10987654321098765432109876543210
7684e580 2446 1x111000001xxxxxx11100xxxxxxxxxx
ee804238 2447 ldumin. */
fd65497d 2448 return 1128;
ee804238
JW
2449 }
2450 }
2451 else
2452 {
2453 if (((word >> 31) & 0x1) == 0)
2454 {
2455 if (((word >> 30) & 0x1) == 0)
2456 {
2457 /* 33222222222211111111110000000000
2458 10987654321098765432109876543210
7684e580 2459 00111000101xxxxxx11100xxxxxxxxxx
ee804238 2460 lduminab. */
fd65497d 2461 return 1129;
ee804238
JW
2462 }
2463 else
2464 {
2465 /* 33222222222211111111110000000000
2466 10987654321098765432109876543210
7684e580 2467 01111000101xxxxxx11100xxxxxxxxxx
ee804238 2468 lduminah. */
fd65497d 2469 return 1132;
ee804238
JW
2470 }
2471 }
2472 else
2473 {
2474 /* 33222222222211111111110000000000
2475 10987654321098765432109876543210
7684e580 2476 1x111000101xxxxxx11100xxxxxxxxxx
ee804238 2477 ldumina. */
fd65497d 2478 return 1135;
ee804238
JW
2479 }
2480 }
2481 }
2482 else
2483 {
2484 if (((word >> 23) & 0x1) == 0)
2485 {
2486 if (((word >> 31) & 0x1) == 0)
2487 {
2488 if (((word >> 30) & 0x1) == 0)
2489 {
2490 /* 33222222222211111111110000000000
2491 10987654321098765432109876543210
7684e580 2492 00111000011xxxxxx11100xxxxxxxxxx
ee804238 2493 lduminlb. */
fd65497d 2494 return 1130;
ee804238
JW
2495 }
2496 else
2497 {
2498 /* 33222222222211111111110000000000
2499 10987654321098765432109876543210
7684e580 2500 01111000011xxxxxx11100xxxxxxxxxx
ee804238 2501 lduminlh. */
fd65497d 2502 return 1133;
ee804238
JW
2503 }
2504 }
2505 else
2506 {
2507 /* 33222222222211111111110000000000
2508 10987654321098765432109876543210
7684e580 2509 1x111000011xxxxxx11100xxxxxxxxxx
ee804238 2510 lduminl. */
fd65497d 2511 return 1136;
ee804238
JW
2512 }
2513 }
2514 else
2515 {
2516 if (((word >> 31) & 0x1) == 0)
2517 {
2518 if (((word >> 30) & 0x1) == 0)
2519 {
2520 /* 33222222222211111111110000000000
2521 10987654321098765432109876543210
7684e580 2522 00111000111xxxxxx11100xxxxxxxxxx
ee804238 2523 lduminalb. */
fd65497d 2524 return 1131;
ee804238
JW
2525 }
2526 else
2527 {
2528 /* 33222222222211111111110000000000
2529 10987654321098765432109876543210
7684e580 2530 01111000111xxxxxx11100xxxxxxxxxx
ee804238 2531 lduminalh. */
fd65497d 2532 return 1134;
ee804238
JW
2533 }
2534 }
2535 else
2536 {
2537 /* 33222222222211111111110000000000
2538 10987654321098765432109876543210
7684e580 2539 1x111000111xxxxxx11100xxxxxxxxxx
ee804238 2540 lduminal. */
fd65497d 2541 return 1137;
ee804238
JW
2542 }
2543 }
2544 }
a06ea964
NC
2545 }
2546 }
a06ea964
NC
2547 }
2548 }
2549 }
2550 else
2551 {
2552 if (((word >> 21) & 0x1) == 0)
2553 {
2554 if (((word >> 23) & 0x1) == 0)
2555 {
2556 if (((word >> 22) & 0x1) == 0)
2557 {
2558 if (((word >> 31) & 0x1) == 0)
2559 {
2560 if (((word >> 30) & 0x1) == 0)
2561 {
2562 /* 33222222222211111111110000000000
2563 10987654321098765432109876543210
7684e580 2564 00111000000xxxxxxxxx10xxxxxxxxxx
a06ea964 2565 sttrb. */
09c1e68a 2566 return 913;
a06ea964
NC
2567 }
2568 else
2569 {
2570 /* 33222222222211111111110000000000
2571 10987654321098765432109876543210
7684e580 2572 01111000000xxxxxxxxx10xxxxxxxxxx
a06ea964 2573 sttrh. */
09c1e68a 2574 return 916;
a06ea964
NC
2575 }
2576 }
2577 else
2578 {
2579 /* 33222222222211111111110000000000
2580 10987654321098765432109876543210
7684e580 2581 1x111000000xxxxxxxxx10xxxxxxxxxx
a06ea964 2582 sttr. */
09c1e68a 2583 return 919;
a06ea964
NC
2584 }
2585 }
2586 else
2587 {
2588 if (((word >> 31) & 0x1) == 0)
2589 {
2590 if (((word >> 30) & 0x1) == 0)
2591 {
2592 /* 33222222222211111111110000000000
2593 10987654321098765432109876543210
7684e580 2594 00111000010xxxxxxxxx10xxxxxxxxxx
a06ea964 2595 ldtrb. */
09c1e68a 2596 return 914;
a06ea964
NC
2597 }
2598 else
2599 {
2600 /* 33222222222211111111110000000000
2601 10987654321098765432109876543210
7684e580 2602 01111000010xxxxxxxxx10xxxxxxxxxx
a06ea964 2603 ldtrh. */
09c1e68a 2604 return 917;
a06ea964
NC
2605 }
2606 }
2607 else
2608 {
2609 /* 33222222222211111111110000000000
2610 10987654321098765432109876543210
7684e580 2611 1x111000010xxxxxxxxx10xxxxxxxxxx
a06ea964 2612 ldtr. */
09c1e68a 2613 return 920;
a06ea964
NC
2614 }
2615 }
2616 }
2617 else
2618 {
2619 if (((word >> 30) & 0x1) == 0)
2620 {
2621 if (((word >> 31) & 0x1) == 0)
2622 {
2623 /* 33222222222211111111110000000000
2624 10987654321098765432109876543210
7684e580 2625 001110001x0xxxxxxxxx10xxxxxxxxxx
a06ea964 2626 ldtrsb. */
09c1e68a 2627 return 915;
a06ea964
NC
2628 }
2629 else
2630 {
2631 /* 33222222222211111111110000000000
2632 10987654321098765432109876543210
7684e580 2633 101110001x0xxxxxxxxx10xxxxxxxxxx
a06ea964 2634 ldtrsw. */
09c1e68a 2635 return 921;
a06ea964
NC
2636 }
2637 }
2638 else
2639 {
2640 /* 33222222222211111111110000000000
2641 10987654321098765432109876543210
7684e580 2642 x11110001x0xxxxxxxxx10xxxxxxxxxx
a06ea964 2643 ldtrsh. */
09c1e68a 2644 return 918;
a06ea964
NC
2645 }
2646 }
2647 }
2648 else
2649 {
2650 if (((word >> 23) & 0x1) == 0)
2651 {
2652 if (((word >> 22) & 0x1) == 0)
2653 {
2654 if (((word >> 31) & 0x1) == 0)
2655 {
2656 if (((word >> 30) & 0x1) == 0)
2657 {
2658 /* 33222222222211111111110000000000
2659 10987654321098765432109876543210
7684e580 2660 00111000001xxxxxxxxx10xxxxxxxxxx
a06ea964 2661 strb. */
09c1e68a 2662 return 901;
a06ea964
NC
2663 }
2664 else
2665 {
2666 /* 33222222222211111111110000000000
2667 10987654321098765432109876543210
7684e580 2668 01111000001xxxxxxxxx10xxxxxxxxxx
a06ea964 2669 strh. */
09c1e68a 2670 return 906;
a06ea964
NC
2671 }
2672 }
2673 else
2674 {
2675 /* 33222222222211111111110000000000
2676 10987654321098765432109876543210
7684e580 2677 1x111000001xxxxxxxxx10xxxxxxxxxx
a06ea964 2678 str. */
09c1e68a 2679 return 909;
a06ea964
NC
2680 }
2681 }
2682 else
2683 {
2684 if (((word >> 31) & 0x1) == 0)
2685 {
2686 if (((word >> 30) & 0x1) == 0)
2687 {
2688 /* 33222222222211111111110000000000
2689 10987654321098765432109876543210
7684e580 2690 00111000011xxxxxxxxx10xxxxxxxxxx
a06ea964 2691 ldrb. */
09c1e68a 2692 return 902;
a06ea964
NC
2693 }
2694 else
2695 {
2696 /* 33222222222211111111110000000000
2697 10987654321098765432109876543210
7684e580 2698 01111000011xxxxxxxxx10xxxxxxxxxx
a06ea964 2699 ldrh. */
09c1e68a 2700 return 907;
a06ea964
NC
2701 }
2702 }
2703 else
2704 {
2705 /* 33222222222211111111110000000000
2706 10987654321098765432109876543210
7684e580 2707 1x111000011xxxxxxxxx10xxxxxxxxxx
a06ea964 2708 ldr. */
09c1e68a 2709 return 910;
a06ea964
NC
2710 }
2711 }
2712 }
2713 else
2714 {
2715 if (((word >> 30) & 0x1) == 0)
2716 {
2717 if (((word >> 31) & 0x1) == 0)
2718 {
2719 /* 33222222222211111111110000000000
2720 10987654321098765432109876543210
7684e580 2721 001110001x1xxxxxxxxx10xxxxxxxxxx
a06ea964 2722 ldrsb. */
09c1e68a 2723 return 903;
a06ea964
NC
2724 }
2725 else
2726 {
2727 /* 33222222222211111111110000000000
2728 10987654321098765432109876543210
7684e580 2729 101110001x1xxxxxxxxx10xxxxxxxxxx
a06ea964 2730 ldrsw. */
09c1e68a 2731 return 911;
a06ea964
NC
2732 }
2733 }
2734 else
2735 {
2736 if (((word >> 31) & 0x1) == 0)
2737 {
2738 /* 33222222222211111111110000000000
2739 10987654321098765432109876543210
7684e580 2740 011110001x1xxxxxxxxx10xxxxxxxxxx
a06ea964 2741 ldrsh. */
09c1e68a 2742 return 908;
a06ea964
NC
2743 }
2744 else
2745 {
2746 /* 33222222222211111111110000000000
2747 10987654321098765432109876543210
7684e580 2748 111110001x1xxxxxxxxx10xxxxxxxxxx
a06ea964 2749 prfm. */
09c1e68a 2750 return 912;
a06ea964
NC
2751 }
2752 }
2753 }
2754 }
2755 }
2756 }
2757 else
2758 {
3f06e550 2759 if (((word >> 21) & 0x1) == 0)
a06ea964 2760 {
3f06e550 2761 if (((word >> 23) & 0x1) == 0)
a06ea964 2762 {
3f06e550 2763 if (((word >> 22) & 0x1) == 0)
a06ea964 2764 {
3f06e550 2765 if (((word >> 31) & 0x1) == 0)
a06ea964 2766 {
3f06e550
SN
2767 if (((word >> 30) & 0x1) == 0)
2768 {
2769 /* 33222222222211111111110000000000
2770 10987654321098765432109876543210
7684e580 2771 00111000000xxxxxxxxxx1xxxxxxxxxx
3f06e550 2772 strb. */
09c1e68a 2773 return 870;
3f06e550
SN
2774 }
2775 else
2776 {
2777 /* 33222222222211111111110000000000
2778 10987654321098765432109876543210
7684e580 2779 01111000000xxxxxxxxxx1xxxxxxxxxx
3f06e550 2780 strh. */
09c1e68a 2781 return 875;
3f06e550 2782 }
a06ea964
NC
2783 }
2784 else
2785 {
2786 /* 33222222222211111111110000000000
2787 10987654321098765432109876543210
7684e580 2788 1x111000000xxxxxxxxxx1xxxxxxxxxx
3f06e550 2789 str. */
09c1e68a 2790 return 878;
a06ea964
NC
2791 }
2792 }
2793 else
2794 {
3f06e550
SN
2795 if (((word >> 31) & 0x1) == 0)
2796 {
2797 if (((word >> 30) & 0x1) == 0)
2798 {
2799 /* 33222222222211111111110000000000
2800 10987654321098765432109876543210
7684e580 2801 00111000010xxxxxxxxxx1xxxxxxxxxx
3f06e550 2802 ldrb. */
09c1e68a 2803 return 871;
3f06e550
SN
2804 }
2805 else
2806 {
2807 /* 33222222222211111111110000000000
2808 10987654321098765432109876543210
7684e580 2809 01111000010xxxxxxxxxx1xxxxxxxxxx
3f06e550 2810 ldrh. */
09c1e68a 2811 return 876;
3f06e550
SN
2812 }
2813 }
2814 else
2815 {
2816 /* 33222222222211111111110000000000
2817 10987654321098765432109876543210
7684e580 2818 1x111000010xxxxxxxxxx1xxxxxxxxxx
3f06e550 2819 ldr. */
09c1e68a 2820 return 879;
3f06e550 2821 }
a06ea964
NC
2822 }
2823 }
2824 else
2825 {
3f06e550 2826 if (((word >> 30) & 0x1) == 0)
a06ea964 2827 {
3f06e550 2828 if (((word >> 31) & 0x1) == 0)
a06ea964
NC
2829 {
2830 /* 33222222222211111111110000000000
2831 10987654321098765432109876543210
7684e580 2832 001110001x0xxxxxxxxxx1xxxxxxxxxx
3f06e550 2833 ldrsb. */
09c1e68a 2834 return 872;
a06ea964
NC
2835 }
2836 else
2837 {
2838 /* 33222222222211111111110000000000
2839 10987654321098765432109876543210
7684e580 2840 101110001x0xxxxxxxxxx1xxxxxxxxxx
3f06e550 2841 ldrsw. */
09c1e68a 2842 return 880;
a06ea964
NC
2843 }
2844 }
2845 else
2846 {
2847 /* 33222222222211111111110000000000
2848 10987654321098765432109876543210
7684e580 2849 x11110001x0xxxxxxxxxx1xxxxxxxxxx
3f06e550 2850 ldrsh. */
09c1e68a 2851 return 877;
a06ea964
NC
2852 }
2853 }
2854 }
2855 else
2856 {
3f06e550 2857 if (((word >> 23) & 0x1) == 0)
a06ea964 2858 {
3f06e550
SN
2859 /* 33222222222211111111110000000000
2860 10987654321098765432109876543210
7684e580 2861 xx1110000x1xxxxxxxxxx1xxxxxxxxxx
3f06e550 2862 ldraa. */
09c1e68a 2863 return 935;
a06ea964
NC
2864 }
2865 else
2866 {
2867 /* 33222222222211111111110000000000
2868 10987654321098765432109876543210
7684e580 2869 xx1110001x1xxxxxxxxxx1xxxxxxxxxx
3f06e550 2870 ldrab. */
09c1e68a 2871 return 936;
a06ea964
NC
2872 }
2873 }
2874 }
2875 }
2876 }
2877 else
2878 {
2879 if (((word >> 23) & 0x1) == 0)
2880 {
2881 if (((word >> 22) & 0x1) == 0)
2882 {
e9dbdd80 2883 if (((word >> 29) & 0x1) == 0)
a06ea964 2884 {
fb3265b3 2885 if (((word >> 10) & 0x1) == 0)
a06ea964 2886 {
fb3265b3 2887 if (((word >> 11) & 0x1) == 0)
e9dbdd80 2888 {
20a4ca55 2889 if (((word >> 21) & 0x1) == 0)
fb3265b3 2890 {
20a4ca55 2891 if (((word >> 30) & 0x1) == 0)
fb3265b3 2892 {
20a4ca55
SD
2893 if (((word >> 31) & 0x1) == 0)
2894 {
2895 /* 33222222222211111111110000000000
2896 10987654321098765432109876543210
2897 00011001000xxxxxxxxx00xxxxxxxxxx
2898 stlurb. */
01a4d082 2899 return 2443;
20a4ca55
SD
2900 }
2901 else
2902 {
2903 /* 33222222222211111111110000000000
2904 10987654321098765432109876543210
2905 10011001000xxxxxxxxx00xxxxxxxxxx
2906 stlur. */
01a4d082 2907 return 2451;
20a4ca55 2908 }
fb3265b3
SD
2909 }
2910 else
2911 {
20a4ca55
SD
2912 if (((word >> 31) & 0x1) == 0)
2913 {
2914 /* 33222222222211111111110000000000
2915 10987654321098765432109876543210
2916 01011001000xxxxxxxxx00xxxxxxxxxx
2917 stlurh. */
01a4d082 2918 return 2447;
20a4ca55
SD
2919 }
2920 else
2921 {
2922 /* 33222222222211111111110000000000
2923 10987654321098765432109876543210
2924 11011001000xxxxxxxxx00xxxxxxxxxx
2925 stlur. */
01a4d082 2926 return 2454;
20a4ca55 2927 }
fb3265b3
SD
2928 }
2929 }
2930 else
2931 {
20a4ca55
SD
2932 /* 33222222222211111111110000000000
2933 10987654321098765432109876543210
2934 xx011001001xxxxxxxxx00xxxxxxxxxx
2935 stzgm. */
09c1e68a 2936 return 964;
fb3265b3 2937 }
e9dbdd80
TC
2938 }
2939 else
2940 {
2941 /* 33222222222211111111110000000000
2942 10987654321098765432109876543210
fb3265b3
SD
2943 xx01100100xxxxxxxxxx10xxxxxxxxxx
2944 stg. */
09c1e68a 2945 return 881;
e9dbdd80 2946 }
a06ea964
NC
2947 }
2948 else
2949 {
fb3265b3
SD
2950 /* 33222222222211111111110000000000
2951 10987654321098765432109876543210
2952 xx01100100xxxxxxxxxxx1xxxxxxxxxx
2953 stg. */
09c1e68a 2954 return 885;
a06ea964
NC
2955 }
2956 }
2957 else
2958 {
e9dbdd80
TC
2959 if (((word >> 31) & 0x1) == 0)
2960 {
2961 if (((word >> 30) & 0x1) == 0)
2962 {
2963 /* 33222222222211111111110000000000
2964 10987654321098765432109876543210
2965 0011100100xxxxxxxxxxxxxxxxxxxxxx
2966 strb. */
09c1e68a 2967 return 889;
e9dbdd80
TC
2968 }
2969 else
2970 {
2971 /* 33222222222211111111110000000000
2972 10987654321098765432109876543210
2973 0111100100xxxxxxxxxxxxxxxxxxxxxx
2974 strh. */
09c1e68a 2975 return 894;
e9dbdd80
TC
2976 }
2977 }
2978 else
2979 {
2980 /* 33222222222211111111110000000000
2981 10987654321098765432109876543210
2982 1x11100100xxxxxxxxxxxxxxxxxxxxxx
2983 str. */
09c1e68a 2984 return 897;
e9dbdd80 2985 }
a06ea964
NC
2986 }
2987 }
2988 else
2989 {
e9dbdd80 2990 if (((word >> 29) & 0x1) == 0)
a06ea964 2991 {
fb3265b3 2992 if (((word >> 10) & 0x1) == 0)
a06ea964 2993 {
fb3265b3 2994 if (((word >> 11) & 0x1) == 0)
e9dbdd80 2995 {
e6025b54 2996 if (((word >> 21) & 0x1) == 0)
fb3265b3 2997 {
e6025b54 2998 if (((word >> 30) & 0x1) == 0)
fb3265b3 2999 {
e6025b54
SD
3000 if (((word >> 31) & 0x1) == 0)
3001 {
3002 /* 33222222222211111111110000000000
3003 10987654321098765432109876543210
3004 00011001010xxxxxxxxx00xxxxxxxxxx
3005 ldapurb. */
01a4d082 3006 return 2444;
e6025b54
SD
3007 }
3008 else
3009 {
3010 /* 33222222222211111111110000000000
3011 10987654321098765432109876543210
3012 10011001010xxxxxxxxx00xxxxxxxxxx
3013 ldapur. */
01a4d082 3014 return 2452;
e6025b54 3015 }
fb3265b3
SD
3016 }
3017 else
3018 {
e6025b54
SD
3019 if (((word >> 31) & 0x1) == 0)
3020 {
3021 /* 33222222222211111111110000000000
3022 10987654321098765432109876543210
3023 01011001010xxxxxxxxx00xxxxxxxxxx
3024 ldapurh. */
01a4d082 3025 return 2448;
e6025b54
SD
3026 }
3027 else
3028 {
3029 /* 33222222222211111111110000000000
3030 10987654321098765432109876543210
3031 11011001010xxxxxxxxx00xxxxxxxxxx
3032 ldapur. */
01a4d082 3033 return 2455;
e6025b54 3034 }
fb3265b3
SD
3035 }
3036 }
3037 else
3038 {
e6025b54
SD
3039 /* 33222222222211111111110000000000
3040 10987654321098765432109876543210
3041 xx011001011xxxxxxxxx00xxxxxxxxxx
3042 ldg. */
09c1e68a 3043 return 934;
fb3265b3 3044 }
e9dbdd80
TC
3045 }
3046 else
3047 {
3048 /* 33222222222211111111110000000000
3049 10987654321098765432109876543210
fb3265b3
SD
3050 xx01100101xxxxxxxxxx10xxxxxxxxxx
3051 stzg. */
09c1e68a 3052 return 882;
e9dbdd80 3053 }
a06ea964
NC
3054 }
3055 else
3056 {
fb3265b3
SD
3057 /* 33222222222211111111110000000000
3058 10987654321098765432109876543210
3059 xx01100101xxxxxxxxxxx1xxxxxxxxxx
3060 stzg. */
09c1e68a 3061 return 886;
a06ea964
NC
3062 }
3063 }
3064 else
3065 {
e9dbdd80
TC
3066 if (((word >> 31) & 0x1) == 0)
3067 {
3068 if (((word >> 30) & 0x1) == 0)
3069 {
3070 /* 33222222222211111111110000000000
3071 10987654321098765432109876543210
3072 0011100101xxxxxxxxxxxxxxxxxxxxxx
3073 ldrb. */
09c1e68a 3074 return 890;
e9dbdd80
TC
3075 }
3076 else
3077 {
3078 /* 33222222222211111111110000000000
3079 10987654321098765432109876543210
3080 0111100101xxxxxxxxxxxxxxxxxxxxxx
3081 ldrh. */
09c1e68a 3082 return 895;
e9dbdd80
TC
3083 }
3084 }
3085 else
3086 {
3087 /* 33222222222211111111110000000000
3088 10987654321098765432109876543210
3089 1x11100101xxxxxxxxxxxxxxxxxxxxxx
3090 ldr. */
09c1e68a 3091 return 898;
fb3265b3
SD
3092 }
3093 }
3094 }
3095 }
3096 else
3097 {
3098 if (((word >> 29) & 0x1) == 0)
3099 {
3100 if (((word >> 10) & 0x1) == 0)
3101 {
3102 if (((word >> 11) & 0x1) == 0)
3103 {
e54010f1 3104 if (((word >> 21) & 0x1) == 0)
fb3265b3 3105 {
e54010f1 3106 if (((word >> 22) & 0x1) == 0)
fb3265b3 3107 {
e54010f1 3108 if (((word >> 30) & 0x1) == 0)
503ba600 3109 {
e54010f1
SD
3110 if (((word >> 31) & 0x1) == 0)
3111 {
3112 /* 33222222222211111111110000000000
3113 10987654321098765432109876543210
3114 00011001100xxxxxxxxx00xxxxxxxxxx
3115 ldapursb. */
01a4d082 3116 return 2446;
e54010f1
SD
3117 }
3118 else
3119 {
3120 /* 33222222222211111111110000000000
3121 10987654321098765432109876543210
3122 10011001100xxxxxxxxx00xxxxxxxxxx
3123 ldapursw. */
01a4d082 3124 return 2453;
e54010f1 3125 }
503ba600
SD
3126 }
3127 else
3128 {
3129 /* 33222222222211111111110000000000
3130 10987654321098765432109876543210
e54010f1
SD
3131 x1011001100xxxxxxxxx00xxxxxxxxxx
3132 ldapursh. */
01a4d082 3133 return 2450;
503ba600 3134 }
fb3265b3 3135 }
550fd7bf
SD
3136 else
3137 {
e54010f1
SD
3138 if (((word >> 30) & 0x1) == 0)
3139 {
3140 /* 33222222222211111111110000000000
3141 10987654321098765432109876543210
3142 x0011001110xxxxxxxxx00xxxxxxxxxx
3143 ldapursb. */
01a4d082 3144 return 2445;
e54010f1
SD
3145 }
3146 else
3147 {
3148 /* 33222222222211111111110000000000
3149 10987654321098765432109876543210
3150 x1011001110xxxxxxxxx00xxxxxxxxxx
3151 ldapursh. */
01a4d082 3152 return 2449;
e54010f1 3153 }
550fd7bf 3154 }
fb3265b3
SD
3155 }
3156 else
3157 {
e54010f1 3158 if (((word >> 22) & 0x1) == 0)
fb3265b3
SD
3159 {
3160 /* 33222222222211111111110000000000
3161 10987654321098765432109876543210
e54010f1
SD
3162 xx011001101xxxxxxxxx00xxxxxxxxxx
3163 stgm. */
09c1e68a 3164 return 963;
fb3265b3
SD
3165 }
3166 else
3167 {
3168 /* 33222222222211111111110000000000
3169 10987654321098765432109876543210
e54010f1
SD
3170 xx011001111xxxxxxxxx00xxxxxxxxxx
3171 ldgm. */
09c1e68a 3172 return 962;
fb3265b3
SD
3173 }
3174 }
e9dbdd80 3175 }
fb3265b3 3176 else
e9dbdd80 3177 {
fb3265b3 3178 if (((word >> 22) & 0x1) == 0)
e9dbdd80
TC
3179 {
3180 /* 33222222222211111111110000000000
3181 10987654321098765432109876543210
fb3265b3
SD
3182 xx01100110xxxxxxxxxx10xxxxxxxxxx
3183 st2g. */
09c1e68a 3184 return 883;
e9dbdd80
TC
3185 }
3186 else
3187 {
3188 /* 33222222222211111111110000000000
3189 10987654321098765432109876543210
fb3265b3
SD
3190 xx01100111xxxxxxxxxx10xxxxxxxxxx
3191 stz2g. */
09c1e68a 3192 return 884;
e9dbdd80
TC
3193 }
3194 }
a06ea964
NC
3195 }
3196 else
3197 {
fb3265b3 3198 if (((word >> 22) & 0x1) == 0)
e9dbdd80
TC
3199 {
3200 /* 33222222222211111111110000000000
3201 10987654321098765432109876543210
fb3265b3
SD
3202 xx01100110xxxxxxxxxxx1xxxxxxxxxx
3203 st2g. */
09c1e68a 3204 return 887;
e9dbdd80
TC
3205 }
3206 else
3207 {
3208 /* 33222222222211111111110000000000
3209 10987654321098765432109876543210
fb3265b3
SD
3210 xx01100111xxxxxxxxxxx1xxxxxxxxxx
3211 stz2g. */
09c1e68a 3212 return 888;
e9dbdd80 3213 }
a06ea964
NC
3214 }
3215 }
3216 else
3217 {
e9dbdd80 3218 if (((word >> 30) & 0x1) == 0)
a06ea964 3219 {
e9dbdd80
TC
3220 if (((word >> 31) & 0x1) == 0)
3221 {
3222 /* 33222222222211111111110000000000
3223 10987654321098765432109876543210
3224 001110011xxxxxxxxxxxxxxxxxxxxxxx
3225 ldrsb. */
09c1e68a 3226 return 891;
e9dbdd80
TC
3227 }
3228 else
3229 {
3230 /* 33222222222211111111110000000000
3231 10987654321098765432109876543210
3232 101110011xxxxxxxxxxxxxxxxxxxxxxx
3233 ldrsw. */
09c1e68a 3234 return 899;
e9dbdd80 3235 }
a06ea964
NC
3236 }
3237 else
3238 {
e9dbdd80
TC
3239 if (((word >> 31) & 0x1) == 0)
3240 {
3241 /* 33222222222211111111110000000000
3242 10987654321098765432109876543210
3243 011110011xxxxxxxxxxxxxxxxxxxxxxx
3244 ldrsh. */
09c1e68a 3245 return 896;
e9dbdd80
TC
3246 }
3247 else
3248 {
3249 /* 33222222222211111111110000000000
3250 10987654321098765432109876543210
3251 111110011xxxxxxxxxxxxxxxxxxxxxxx
3252 prfm. */
09c1e68a 3253 return 900;
e9dbdd80 3254 }
a06ea964
NC
3255 }
3256 }
3257 }
3258 }
3259 }
3260 }
3261 }
3262 else
3263 {
3264 if (((word >> 24) & 0x1) == 0)
3265 {
3266 if (((word >> 27) & 0x1) == 0)
3267 {
3268 if (((word >> 23) & 0x1) == 0)
3269 {
3270 if (((word >> 29) & 0x1) == 0)
3271 {
3272 if (((word >> 30) & 0x1) == 0)
3273 {
3274 /* 33222222222211111111110000000000
3275 10987654321098765432109876543210
7684e580 3276 x00x00100xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 3277 and. */
fd65497d 3278 return 995;
a06ea964
NC
3279 }
3280 else
3281 {
3282 /* 33222222222211111111110000000000
3283 10987654321098765432109876543210
7684e580 3284 x10x00100xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 3285 eor. */
fd65497d 3286 return 999;
a06ea964
NC
3287 }
3288 }
3289 else
3290 {
3291 if (((word >> 30) & 0x1) == 0)
3292 {
3293 /* 33222222222211111111110000000000
3294 10987654321098765432109876543210
7684e580 3295 x01x00100xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 3296 orr. */
fd65497d 3297 return 997;
a06ea964
NC
3298 }
3299 else
3300 {
3301 /* 33222222222211111111110000000000
3302 10987654321098765432109876543210
7684e580 3303 x11x00100xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 3304 ands. */
fd65497d 3305 return 1000;
a06ea964
NC
3306 }
3307 }
3308 }
3309 else
3310 {
3311 if (((word >> 29) & 0x1) == 0)
3312 {
3313 if (((word >> 30) & 0x1) == 0)
3314 {
3315 /* 33222222222211111111110000000000
3316 10987654321098765432109876543210
7684e580 3317 x00x00101xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 3318 movn. */
fd65497d 3319 return 1186;
a06ea964
NC
3320 }
3321 else
3322 {
3323 /* 33222222222211111111110000000000
3324 10987654321098765432109876543210
7684e580 3325 x10x00101xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 3326 movz. */
fd65497d 3327 return 1188;
a06ea964
NC
3328 }
3329 }
3330 else
3331 {
3332 /* 33222222222211111111110000000000
3333 10987654321098765432109876543210
7684e580 3334 xx1x00101xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 3335 movk. */
fd65497d 3336 return 1190;
a06ea964
NC
3337 }
3338 }
3339 }
3340 else
3341 {
3342 if (((word >> 21) & 0x1) == 0)
3343 {
3344 if (((word >> 28) & 0x1) == 0)
3345 {
3346 if (((word >> 29) & 0x1) == 0)
3347 {
3348 if (((word >> 30) & 0x1) == 0)
3349 {
3350 /* 33222222222211111111110000000000
3351 10987654321098765432109876543210
7684e580 3352 x0001010xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 3353 and. */
fd65497d 3354 return 1002;
a06ea964
NC
3355 }
3356 else
3357 {
3358 /* 33222222222211111111110000000000
3359 10987654321098765432109876543210
7684e580 3360 x1001010xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 3361 eor. */
fd65497d 3362 return 1009;
a06ea964
NC
3363 }
3364 }
3365 else
3366 {
3367 if (((word >> 30) & 0x1) == 0)
3368 {
3369 /* 33222222222211111111110000000000
3370 10987654321098765432109876543210
7684e580 3371 x0101010xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 3372 orr. */
fd65497d 3373 return 1004;
a06ea964
NC
3374 }
3375 else
3376 {
3377 /* 33222222222211111111110000000000
3378 10987654321098765432109876543210
7684e580 3379 x1101010xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 3380 ands. */
fd65497d 3381 return 1011;
a06ea964
NC
3382 }
3383 }
3384 }
3385 else
3386 {
3387 if (((word >> 10) & 0x1) == 0)
3388 {
3389 if (((word >> 11) & 0x1) == 0)
3390 {
3391 if (((word >> 22) & 0x1) == 0)
3392 {
3393 if (((word >> 23) & 0x1) == 0)
3394 {
3395 if (((word >> 29) & 0x1) == 0)
3396 {
3397 if (((word >> 30) & 0x1) == 0)
3398 {
3399 /* 33222222222211111111110000000000
3400 10987654321098765432109876543210
7684e580 3401 x0011010000xxxxxxxxx00xxxxxxxxxx
a06ea964
NC
3402 adc. */
3403 return 0;
3404 }
3405 else
3406 {
3407 /* 33222222222211111111110000000000
3408 10987654321098765432109876543210
7684e580 3409 x1011010000xxxxxxxxx00xxxxxxxxxx
a06ea964
NC
3410 sbc. */
3411 return 2;
3412 }
3413 }
3414 else
3415 {
3416 if (((word >> 30) & 0x1) == 0)
3417 {
3418 /* 33222222222211111111110000000000
3419 10987654321098765432109876543210
7684e580 3420 x0111010000xxxxxxxxx00xxxxxxxxxx
a06ea964
NC
3421 adcs. */
3422 return 1;
3423 }
3424 else
3425 {
3426 /* 33222222222211111111110000000000
3427 10987654321098765432109876543210
7684e580 3428 x1111010000xxxxxxxxx00xxxxxxxxxx
a06ea964
NC
3429 sbcs. */
3430 return 4;
3431 }
3432 }
3433 }
3434 else
3435 {
3436 if (((word >> 30) & 0x1) == 0)
3437 {
3438 /* 33222222222211111111110000000000
3439 10987654321098765432109876543210
7684e580 3440 x0x11010100xxxxxxxxx00xxxxxxxxxx
a06ea964 3441 csel. */
193614f2 3442 return 662;
a06ea964
NC
3443 }
3444 else
3445 {
3446 /* 33222222222211111111110000000000
3447 10987654321098765432109876543210
7684e580 3448 x1x11010100xxxxxxxxx00xxxxxxxxxx
a06ea964 3449 csinv. */
193614f2 3450 return 666;
a06ea964
NC
3451 }
3452 }
3453 }
3454 else
3455 {
3456 if (((word >> 23) & 0x1) == 0)
3457 {
3458 if (((word >> 30) & 0x1) == 0)
3459 {
3460 /* 33222222222211111111110000000000
3461 10987654321098765432109876543210
7684e580 3462 x0x11010010xxxxxxxxx00xxxxxxxxxx
a06ea964 3463 ccmn. */
193614f2 3464 return 660;
a06ea964
NC
3465 }
3466 else
3467 {
3468 /* 33222222222211111111110000000000
3469 10987654321098765432109876543210
7684e580 3470 x1x11010010xxxxxxxxx00xxxxxxxxxx
a06ea964 3471 ccmp. */
193614f2 3472 return 661;
a06ea964
NC
3473 }
3474 }
3475 else
3476 {
3477 if (((word >> 12) & 0x1) == 0)
3478 {
3479 if (((word >> 13) & 0x1) == 0)
3480 {
e60bb1dd 3481 if (((word >> 14) & 0x1) == 0)
a2cfc830 3482 {
b731bc3b 3483 if (((word >> 29) & 0x1) == 0)
a2cfc830 3484 {
b731bc3b
SD
3485 if (((word >> 30) & 0x1) == 0)
3486 {
3487 /* 33222222222211111111110000000000
3488 10987654321098765432109876543210
3489 x0011010110xxxxxx00000xxxxxxxxxx
3490 subp. */
3491 return 721;
3492 }
3493 else
3494 {
3495 if (((word >> 16) & 0x1) == 0)
3496 {
3497 /* 33222222222211111111110000000000
3498 10987654321098765432109876543210
3499 x1011010110xxxx0x00000xxxxxxxxxx
3500 rbit. */
3501 return 685;
3502 }
3503 else
3504 {
3505 /* 33222222222211111111110000000000
3506 10987654321098765432109876543210
3507 x1011010110xxxx1x00000xxxxxxxxxx
3508 pacia. */
3509 return 693;
3510 }
3511 }
a2cfc830
SN
3512 }
3513 else
3514 {
3515 /* 33222222222211111111110000000000
3516 10987654321098765432109876543210
b731bc3b
SD
3517 xx111010110xxxxxx00000xxxxxxxxxx
3518 subps. */
3519 return 722;
a2cfc830
SN
3520 }
3521 }
3522 else
3523 {
3524 if (((word >> 30) & 0x1) == 0)
3525 {
3526 /* 33222222222211111111110000000000
3527 10987654321098765432109876543210
7684e580 3528 x0x11010110xxxxxx10000xxxxxxxxxx
a2cfc830 3529 crc32b. */
b731bc3b 3530 return 727;
a2cfc830
SN
3531 }
3532 else
3533 {
3534 /* 33222222222211111111110000000000
3535 10987654321098765432109876543210
7684e580 3536 x1x11010110xxxxxx10000xxxxxxxxxx
a2cfc830 3537 xpaci. */
193614f2 3538 return 709;
a2cfc830
SN
3539 }
3540 }
3541 }
3542 else
3543 {
3544 if (((word >> 30) & 0x1) == 0)
e60bb1dd
YZ
3545 {
3546 /* 33222222222211111111110000000000
3547 10987654321098765432109876543210
7684e580 3548 x0x11010110xxxxxxx1000xxxxxxxxxx
a2cfc830 3549 lslv. */
193614f2 3550 return 713;
e60bb1dd
YZ
3551 }
3552 else
3553 {
3554 /* 33222222222211111111110000000000
3555 10987654321098765432109876543210
7684e580 3556 x1x11010110xxxxxxx1000xxxxxxxxxx
a2cfc830 3557 paciza. */
193614f2 3558 return 701;
e60bb1dd 3559 }
a06ea964 3560 }
a06ea964
NC
3561 }
3562 else
3563 {
a2cfc830 3564 if (((word >> 13) & 0x1) == 0)
e60bb1dd 3565 {
a2cfc830
SN
3566 if (((word >> 14) & 0x1) == 0)
3567 {
193614f2 3568 if (((word >> 30) & 0x1) == 0)
a2cfc830
SN
3569 {
3570 /* 33222222222211111111110000000000
3571 10987654321098765432109876543210
193614f2
SD
3572 x0x11010110xxxxxx00100xxxxxxxxxx
3573 irg. */
b731bc3b 3574 return 724;
a2cfc830
SN
3575 }
3576 else
3577 {
193614f2
SD
3578 if (((word >> 16) & 0x1) == 0)
3579 {
3580 /* 33222222222211111111110000000000
3581 10987654321098765432109876543210
3582 x1x11010110xxxx0x00100xxxxxxxxxx
3583 clz. */
3584 return 690;
3585 }
3586 else
3587 {
3588 /* 33222222222211111111110000000000
3589 10987654321098765432109876543210
3590 x1x11010110xxxx1x00100xxxxxxxxxx
3591 autia. */
3592 return 697;
3593 }
a2cfc830
SN
3594 }
3595 }
3596 else
3597 {
3598 /* 33222222222211111111110000000000
3599 10987654321098765432109876543210
7684e580 3600 xxx11010110xxxxxx10100xxxxxxxxxx
a2cfc830 3601 crc32cb. */
b731bc3b 3602 return 731;
a2cfc830 3603 }
e60bb1dd
YZ
3604 }
3605 else
3606 {
c84364ec
SN
3607 if (((word >> 30) & 0x1) == 0)
3608 {
3609 /* 33222222222211111111110000000000
3610 10987654321098765432109876543210
7684e580 3611 x0x11010110xxxxxxx1100xxxxxxxxxx
c84364ec 3612 pacga. */
b731bc3b 3613 return 726;
c84364ec
SN
3614 }
3615 else
3616 {
3617 /* 33222222222211111111110000000000
3618 10987654321098765432109876543210
7684e580 3619 x1x11010110xxxxxxx1100xxxxxxxxxx
c84364ec 3620 autiza. */
193614f2 3621 return 705;
c84364ec 3622 }
e60bb1dd 3623 }
a06ea964
NC
3624 }
3625 }
3626 }
3627 }
3628 else
3629 {
e9dbdd80 3630 if (((word >> 22) & 0x1) == 0)
a06ea964 3631 {
e9dbdd80 3632 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
3633 {
3634 /* 33222222222211111111110000000000
3635 10987654321098765432109876543210
e9dbdd80
TC
3636 xxx11010x00xxxxxx0xx10xxxxxxxxxx
3637 setf8. */
01a4d082 3638 return 2441;
a06ea964
NC
3639 }
3640 else
3641 {
3642 /* 33222222222211111111110000000000
3643 10987654321098765432109876543210
e9dbdd80
TC
3644 xxx11010x00xxxxxx1xx10xxxxxxxxxx
3645 setf16. */
01a4d082 3646 return 2442;
a06ea964
NC
3647 }
3648 }
3649 else
3650 {
e9dbdd80 3651 if (((word >> 23) & 0x1) == 0)
a06ea964 3652 {
e9dbdd80 3653 if (((word >> 30) & 0x1) == 0)
a06ea964 3654 {
e9dbdd80
TC
3655 /* 33222222222211111111110000000000
3656 10987654321098765432109876543210
3657 x0x11010010xxxxxxxxx10xxxxxxxxxx
3658 ccmn. */
193614f2 3659 return 658;
e9dbdd80
TC
3660 }
3661 else
3662 {
3663 /* 33222222222211111111110000000000
3664 10987654321098765432109876543210
3665 x1x11010010xxxxxxxxx10xxxxxxxxxx
3666 ccmp. */
193614f2 3667 return 659;
e9dbdd80
TC
3668 }
3669 }
3670 else
3671 {
3672 if (((word >> 12) & 0x1) == 0)
3673 {
3674 if (((word >> 13) & 0x1) == 0)
a06ea964 3675 {
e9dbdd80 3676 if (((word >> 14) & 0x1) == 0)
e60bb1dd 3677 {
e9dbdd80 3678 if (((word >> 30) & 0x1) == 0)
e60bb1dd 3679 {
e9dbdd80
TC
3680 /* 33222222222211111111110000000000
3681 10987654321098765432109876543210
3682 x0x11010110xxxxxx00010xxxxxxxxxx
3683 udiv. */
193614f2 3684 return 711;
e9dbdd80
TC
3685 }
3686 else
3687 {
3688 if (((word >> 16) & 0x1) == 0)
a2cfc830 3689 {
e9dbdd80
TC
3690 if (((word >> 31) & 0x1) == 0)
3691 {
3692 /* 33222222222211111111110000000000
3693 10987654321098765432109876543210
3694 01x11010110xxxx0x00010xxxxxxxxxx
3695 rev. */
193614f2 3696 return 687;
e9dbdd80
TC
3697 }
3698 else
3699 {
3700 /* 33222222222211111111110000000000
3701 10987654321098765432109876543210
3702 11x11010110xxxx0x00010xxxxxxxxxx
3703 rev32. */
193614f2 3704 return 692;
e9dbdd80 3705 }
a2cfc830
SN
3706 }
3707 else
3708 {
3709 /* 33222222222211111111110000000000
3710 10987654321098765432109876543210
e9dbdd80
TC
3711 x1x11010110xxxx1x00010xxxxxxxxxx
3712 pacda. */
193614f2 3713 return 695;
a2cfc830 3714 }
e60bb1dd 3715 }
e9dbdd80
TC
3716 }
3717 else
3718 {
3719 /* 33222222222211111111110000000000
3720 10987654321098765432109876543210
3721 xxx11010110xxxxxx10010xxxxxxxxxx
3722 crc32w. */
b731bc3b 3723 return 729;
e60bb1dd 3724 }
a06ea964
NC
3725 }
3726 else
3727 {
e9dbdd80
TC
3728 if (((word >> 30) & 0x1) == 0)
3729 {
3730 /* 33222222222211111111110000000000
3731 10987654321098765432109876543210
3732 x0x11010110xxxxxxx1010xxxxxxxxxx
3733 asrv. */
193614f2 3734 return 717;
e9dbdd80
TC
3735 }
3736 else
3737 {
3738 /* 33222222222211111111110000000000
3739 10987654321098765432109876543210
3740 x1x11010110xxxxxxx1010xxxxxxxxxx
3741 pacdza. */
193614f2 3742 return 703;
e9dbdd80 3743 }
a06ea964
NC
3744 }
3745 }
e60bb1dd
YZ
3746 else
3747 {
e9dbdd80 3748 if (((word >> 13) & 0x1) == 0)
a2cfc830 3749 {
e9dbdd80
TC
3750 if (((word >> 14) & 0x1) == 0)
3751 {
3752 /* 33222222222211111111110000000000
3753 10987654321098765432109876543210
3754 xxx11010110xxxxxx00110xxxxxxxxxx
3755 autda. */
193614f2 3756 return 699;
e9dbdd80
TC
3757 }
3758 else
3759 {
3760 /* 33222222222211111111110000000000
3761 10987654321098765432109876543210
3762 xxx11010110xxxxxx10110xxxxxxxxxx
3763 crc32cw. */
b731bc3b 3764 return 733;
e9dbdd80 3765 }
a2cfc830
SN
3766 }
3767 else
3768 {
3769 /* 33222222222211111111110000000000
3770 10987654321098765432109876543210
e9dbdd80
TC
3771 xxx11010110xxxxxxx1110xxxxxxxxxx
3772 autdza. */
193614f2 3773 return 707;
a2cfc830 3774 }
e60bb1dd 3775 }
a06ea964 3776 }
a06ea964
NC
3777 }
3778 }
3779 }
3780 else
3781 {
3782 if (((word >> 11) & 0x1) == 0)
3783 {
3784 if (((word >> 22) & 0x1) == 0)
3785 {
e9dbdd80 3786 if (((word >> 23) & 0x1) == 0)
a06ea964
NC
3787 {
3788 /* 33222222222211111111110000000000
3789 10987654321098765432109876543210
e9dbdd80
TC
3790 xxx11010000xxxxxxxxx01xxxxxxxxxx
3791 rmif. */
01a4d082 3792 return 2440;
a06ea964
NC
3793 }
3794 else
3795 {
e9dbdd80
TC
3796 if (((word >> 30) & 0x1) == 0)
3797 {
3798 /* 33222222222211111111110000000000
3799 10987654321098765432109876543210
3800 x0x11010100xxxxxxxxx01xxxxxxxxxx
3801 csinc. */
193614f2 3802 return 663;
e9dbdd80
TC
3803 }
3804 else
3805 {
3806 /* 33222222222211111111110000000000
3807 10987654321098765432109876543210
3808 x1x11010100xxxxxxxxx01xxxxxxxxxx
3809 csneg. */
193614f2 3810 return 669;
e9dbdd80 3811 }
a06ea964
NC
3812 }
3813 }
3814 else
3815 {
3816 if (((word >> 12) & 0x1) == 0)
3817 {
3818 if (((word >> 13) & 0x1) == 0)
3819 {
e60bb1dd 3820 if (((word >> 14) & 0x1) == 0)
a2cfc830
SN
3821 {
3822 if (((word >> 16) & 0x1) == 0)
3823 {
3824 /* 33222222222211111111110000000000
3825 10987654321098765432109876543210
7684e580 3826 xxx11010x10xxxx0x00001xxxxxxxxxx
a2cfc830 3827 rev16. */
193614f2 3828 return 686;
a2cfc830
SN
3829 }
3830 else
3831 {
3832 /* 33222222222211111111110000000000
3833 10987654321098765432109876543210
7684e580 3834 xxx11010x10xxxx1x00001xxxxxxxxxx
a2cfc830 3835 pacib. */
193614f2 3836 return 694;
a2cfc830
SN
3837 }
3838 }
3839 else
3840 {
3841 if (((word >> 30) & 0x1) == 0)
3842 {
3843 /* 33222222222211111111110000000000
3844 10987654321098765432109876543210
7684e580 3845 x0x11010x10xxxxxx10001xxxxxxxxxx
a2cfc830 3846 crc32h. */
b731bc3b 3847 return 728;
a2cfc830
SN
3848 }
3849 else
3850 {
3851 /* 33222222222211111111110000000000
3852 10987654321098765432109876543210
7684e580 3853 x1x11010x10xxxxxx10001xxxxxxxxxx
a2cfc830 3854 xpacd. */
193614f2 3855 return 710;
a2cfc830
SN
3856 }
3857 }
3858 }
3859 else
3860 {
3861 if (((word >> 30) & 0x1) == 0)
e60bb1dd
YZ
3862 {
3863 /* 33222222222211111111110000000000
3864 10987654321098765432109876543210
7684e580 3865 x0x11010x10xxxxxxx1001xxxxxxxxxx
a2cfc830 3866 lsrv. */
193614f2 3867 return 715;
e60bb1dd
YZ
3868 }
3869 else
3870 {
3871 /* 33222222222211111111110000000000
3872 10987654321098765432109876543210
7684e580 3873 x1x11010x10xxxxxxx1001xxxxxxxxxx
a2cfc830 3874 pacizb. */
193614f2 3875 return 702;
e60bb1dd 3876 }
a06ea964 3877 }
a06ea964
NC
3878 }
3879 else
3880 {
a2cfc830 3881 if (((word >> 13) & 0x1) == 0)
e60bb1dd 3882 {
a2cfc830
SN
3883 if (((word >> 14) & 0x1) == 0)
3884 {
193614f2 3885 if (((word >> 30) & 0x1) == 0)
a2cfc830
SN
3886 {
3887 /* 33222222222211111111110000000000
3888 10987654321098765432109876543210
193614f2
SD
3889 x0x11010x10xxxxxx00101xxxxxxxxxx
3890 gmi. */
b731bc3b 3891 return 725;
a2cfc830
SN
3892 }
3893 else
3894 {
193614f2
SD
3895 if (((word >> 16) & 0x1) == 0)
3896 {
3897 /* 33222222222211111111110000000000
3898 10987654321098765432109876543210
3899 x1x11010x10xxxx0x00101xxxxxxxxxx
3900 cls. */
3901 return 691;
3902 }
3903 else
3904 {
3905 /* 33222222222211111111110000000000
3906 10987654321098765432109876543210
3907 x1x11010x10xxxx1x00101xxxxxxxxxx
3908 autib. */
3909 return 698;
3910 }
a2cfc830
SN
3911 }
3912 }
3913 else
3914 {
3915 /* 33222222222211111111110000000000
3916 10987654321098765432109876543210
7684e580 3917 xxx11010x10xxxxxx10101xxxxxxxxxx
a2cfc830 3918 crc32ch. */
b731bc3b 3919 return 732;
a2cfc830 3920 }
e60bb1dd
YZ
3921 }
3922 else
3923 {
3924 /* 33222222222211111111110000000000
3925 10987654321098765432109876543210
7684e580 3926 xxx11010x10xxxxxxx1101xxxxxxxxxx
a2cfc830 3927 autizb. */
193614f2 3928 return 706;
e60bb1dd 3929 }
a06ea964
NC
3930 }
3931 }
3932 }
3933 else
3934 {
e60bb1dd 3935 if (((word >> 12) & 0x1) == 0)
a06ea964 3936 {
e60bb1dd 3937 if (((word >> 13) & 0x1) == 0)
a06ea964 3938 {
e60bb1dd
YZ
3939 if (((word >> 14) & 0x1) == 0)
3940 {
3941 if (((word >> 30) & 0x1) == 0)
3942 {
3943 /* 33222222222211111111110000000000
3944 10987654321098765432109876543210
7684e580 3945 x0x11010xx0xxxxxx00011xxxxxxxxxx
e60bb1dd 3946 sdiv. */
193614f2 3947 return 712;
e60bb1dd
YZ
3948 }
3949 else
3950 {
a2cfc830
SN
3951 if (((word >> 16) & 0x1) == 0)
3952 {
3953 /* 33222222222211111111110000000000
3954 10987654321098765432109876543210
7684e580 3955 x1x11010xx0xxxx0x00011xxxxxxxxxx
a2cfc830 3956 rev. */
193614f2 3957 return 688;
a2cfc830
SN
3958 }
3959 else
3960 {
3961 /* 33222222222211111111110000000000
3962 10987654321098765432109876543210
7684e580 3963 x1x11010xx0xxxx1x00011xxxxxxxxxx
a2cfc830 3964 pacdb. */
193614f2 3965 return 696;
a2cfc830 3966 }
e60bb1dd
YZ
3967 }
3968 }
3969 else
3970 {
3971 /* 33222222222211111111110000000000
3972 10987654321098765432109876543210
7684e580 3973 xxx11010xx0xxxxxx10011xxxxxxxxxx
e60bb1dd 3974 crc32x. */
b731bc3b 3975 return 730;
e60bb1dd 3976 }
a06ea964
NC
3977 }
3978 else
3979 {
a2cfc830
SN
3980 if (((word >> 30) & 0x1) == 0)
3981 {
3982 /* 33222222222211111111110000000000
3983 10987654321098765432109876543210
7684e580 3984 x0x11010xx0xxxxxxx1011xxxxxxxxxx
a2cfc830 3985 rorv. */
193614f2 3986 return 719;
a2cfc830
SN
3987 }
3988 else
3989 {
3990 /* 33222222222211111111110000000000
3991 10987654321098765432109876543210
7684e580 3992 x1x11010xx0xxxxxxx1011xxxxxxxxxx
a2cfc830 3993 pacdzb. */
193614f2 3994 return 704;
a2cfc830 3995 }
a06ea964
NC
3996 }
3997 }
3998 else
3999 {
a2cfc830
SN
4000 if (((word >> 13) & 0x1) == 0)
4001 {
4002 if (((word >> 14) & 0x1) == 0)
4003 {
4004 /* 33222222222211111111110000000000
4005 10987654321098765432109876543210
7684e580 4006 xxx11010xx0xxxxxx00111xxxxxxxxxx
a2cfc830 4007 autdb. */
193614f2 4008 return 700;
a2cfc830
SN
4009 }
4010 else
4011 {
4012 /* 33222222222211111111110000000000
4013 10987654321098765432109876543210
7684e580 4014 xxx11010xx0xxxxxx10111xxxxxxxxxx
a2cfc830 4015 crc32cx. */
b731bc3b 4016 return 734;
a2cfc830
SN
4017 }
4018 }
4019 else
4020 {
4021 /* 33222222222211111111110000000000
4022 10987654321098765432109876543210
7684e580 4023 xxx11010xx0xxxxxxx1111xxxxxxxxxx
a2cfc830 4024 autdzb. */
193614f2 4025 return 708;
a2cfc830 4026 }
a06ea964
NC
4027 }
4028 }
4029 }
4030 }
4031 }
4032 else
4033 {
4034 if (((word >> 29) & 0x1) == 0)
4035 {
4036 if (((word >> 30) & 0x1) == 0)
4037 {
4038 /* 33222222222211111111110000000000
4039 10987654321098765432109876543210
7684e580 4040 x00x1010xx1xxxxxxxxxxxxxxxxxxxxx
a06ea964 4041 bic. */
fd65497d 4042 return 1003;
a06ea964
NC
4043 }
4044 else
4045 {
4046 /* 33222222222211111111110000000000
4047 10987654321098765432109876543210
7684e580 4048 x10x1010xx1xxxxxxxxxxxxxxxxxxxxx
a06ea964 4049 eon. */
fd65497d 4050 return 1010;
a06ea964
NC
4051 }
4052 }
4053 else
4054 {
4055 if (((word >> 30) & 0x1) == 0)
4056 {
4057 /* 33222222222211111111110000000000
4058 10987654321098765432109876543210
7684e580 4059 x01x1010xx1xxxxxxxxxxxxxxxxxxxxx
a06ea964 4060 orn. */
fd65497d 4061 return 1007;
a06ea964
NC
4062 }
4063 else
4064 {
4065 /* 33222222222211111111110000000000
4066 10987654321098765432109876543210
7684e580 4067 x11x1010xx1xxxxxxxxxxxxxxxxxxxxx
a06ea964 4068 bics. */
fd65497d 4069 return 1013;
a06ea964
NC
4070 }
4071 }
4072 }
4073 }
4074 }
4075 else
4076 {
4077 if (((word >> 27) & 0x1) == 0)
4078 {
4079 if (((word >> 23) & 0x1) == 0)
4080 {
4081 if (((word >> 29) & 0x1) == 0)
4082 {
4083 if (((word >> 30) & 0x1) == 0)
4084 {
4085 /* 33222222222211111111110000000000
4086 10987654321098765432109876543210
7684e580 4087 x00x00110xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 4088 sbfm. */
193614f2 4089 return 618;
a06ea964
NC
4090 }
4091 else
4092 {
4093 /* 33222222222211111111110000000000
4094 10987654321098765432109876543210
7684e580 4095 x10x00110xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 4096 ubfm. */
193614f2 4097 return 629;
a06ea964
NC
4098 }
4099 }
4100 else
4101 {
4102 /* 33222222222211111111110000000000
4103 10987654321098765432109876543210
7684e580 4104 xx1x00110xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 4105 bfm. */
193614f2 4106 return 625;
a06ea964
NC
4107 }
4108 }
4109 else
4110 {
4111 /* 33222222222211111111110000000000
4112 10987654321098765432109876543210
7684e580 4113 xxxx00111xxxxxxxxxxxxxxxxxxxxxxx
a06ea964 4114 extr. */
09c1e68a 4115 return 758;
a06ea964
NC
4116 }
4117 }
4118 else
4119 {
4120 if (((word >> 21) & 0x1) == 0)
4121 {
4122 if (((word >> 28) & 0x1) == 0)
4123 {
4124 if (((word >> 29) & 0x1) == 0)
4125 {
4126 if (((word >> 30) & 0x1) == 0)
4127 {
4128 /* 33222222222211111111110000000000
4129 10987654321098765432109876543210
7684e580 4130 x0001011xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 4131 add. */
193614f2 4132 return 21;
a06ea964
NC
4133 }
4134 else
4135 {
4136 /* 33222222222211111111110000000000
4137 10987654321098765432109876543210
7684e580 4138 x1001011xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 4139 sub. */
193614f2 4140 return 24;
a06ea964
NC
4141 }
4142 }
4143 else
4144 {
4145 if (((word >> 30) & 0x1) == 0)
4146 {
4147 /* 33222222222211111111110000000000
4148 10987654321098765432109876543210
7684e580 4149 x0101011xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 4150 adds. */
193614f2 4151 return 22;
a06ea964
NC
4152 }
4153 else
4154 {
4155 /* 33222222222211111111110000000000
4156 10987654321098765432109876543210
7684e580 4157 x1101011xx0xxxxxxxxxxxxxxxxxxxxx
a06ea964 4158 subs. */
193614f2 4159 return 26;
a06ea964
NC
4160 }
4161 }
4162 }
4163 else
4164 {
4165 if (((word >> 15) & 0x1) == 0)
4166 {
4167 if (((word >> 22) & 0x1) == 0)
4168 {
4169 /* 33222222222211111111110000000000
4170 10987654321098765432109876543210
7684e580 4171 xxx11011x00xxxxx0xxxxxxxxxxxxxxx
a06ea964 4172 madd. */
b731bc3b 4173 return 735;
a06ea964
NC
4174 }
4175 else
4176 {
4177 if (((word >> 23) & 0x1) == 0)
4178 {
4179 /* 33222222222211111111110000000000
4180 10987654321098765432109876543210
7684e580 4181 xxx11011010xxxxx0xxxxxxxxxxxxxxx
a06ea964 4182 smulh. */
b731bc3b 4183 return 743;
a06ea964
NC
4184 }
4185 else
4186 {
4187 /* 33222222222211111111110000000000
4188 10987654321098765432109876543210
7684e580 4189 xxx11011110xxxxx0xxxxxxxxxxxxxxx
a06ea964 4190 umulh. */
b731bc3b 4191 return 748;
a06ea964
NC
4192 }
4193 }
4194 }
4195 else
4196 {
4197 /* 33222222222211111111110000000000
4198 10987654321098765432109876543210
7684e580 4199 xxx11011xx0xxxxx1xxxxxxxxxxxxxxx
a06ea964 4200 msub. */
b731bc3b 4201 return 737;
a06ea964
NC
4202 }
4203 }
4204 }
4205 else
4206 {
4207 if (((word >> 23) & 0x1) == 0)
4208 {
4209 if (((word >> 28) & 0x1) == 0)
4210 {
4211 if (((word >> 29) & 0x1) == 0)
4212 {
4213 if (((word >> 30) & 0x1) == 0)
4214 {
4215 /* 33222222222211111111110000000000
4216 10987654321098765432109876543210
7684e580 4217 x00010110x1xxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
4218 add. */
4219 return 6;
4220 }
4221 else
4222 {
4223 /* 33222222222211111111110000000000
4224 10987654321098765432109876543210
7684e580 4225 x10010110x1xxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
4226 sub. */
4227 return 9;
4228 }
4229 }
4230 else
4231 {
4232 if (((word >> 30) & 0x1) == 0)
4233 {
4234 /* 33222222222211111111110000000000
4235 10987654321098765432109876543210
7684e580 4236 x01010110x1xxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
4237 adds. */
4238 return 7;
4239 }
4240 else
4241 {
4242 /* 33222222222211111111110000000000
4243 10987654321098765432109876543210
7684e580 4244 x11010110x1xxxxxxxxxxxxxxxxxxxxx
a06ea964
NC
4245 subs. */
4246 return 10;
4247 }
4248 }
4249 }
4250 else
4251 {
4252 if (((word >> 15) & 0x1) == 0)
4253 {
4254 /* 33222222222211111111110000000000
4255 10987654321098765432109876543210
7684e580 4256 xxx110110x1xxxxx0xxxxxxxxxxxxxxx
a06ea964 4257 smaddl. */
b731bc3b 4258 return 739;
a06ea964
NC
4259 }
4260 else
4261 {
4262 /* 33222222222211111111110000000000
4263 10987654321098765432109876543210
7684e580 4264 xxx110110x1xxxxx1xxxxxxxxxxxxxxx
a06ea964 4265 smsubl. */
b731bc3b 4266 return 741;
a06ea964
NC
4267 }
4268 }
4269 }
4270 else
4271 {
4272 if (((word >> 15) & 0x1) == 0)
4273 {
4274 /* 33222222222211111111110000000000
4275 10987654321098765432109876543210
7684e580 4276 xxxx10111x1xxxxx0xxxxxxxxxxxxxxx
a06ea964 4277 umaddl. */
b731bc3b 4278 return 744;
a06ea964
NC
4279 }
4280 else
4281 {
4282 /* 33222222222211111111110000000000
4283 10987654321098765432109876543210
7684e580 4284 xxxx10111x1xxxxx1xxxxxxxxxxxxxxx
a06ea964 4285 umsubl. */
b731bc3b 4286 return 746;
a06ea964
NC
4287 }
4288 }
4289 }
4290 }
4291 }
4292 }
4293 }
4294 else
4295 {
4296 if (((word >> 27) & 0x1) == 0)
4297 {
c0890d26 4298 if (((word >> 28) & 0x1) == 0)
a06ea964 4299 {
c0890d26
RS
4300 if (((word >> 24) & 0x1) == 0)
4301 {
582e12bf 4302 if (((word >> 29) & 0x1) == 0)
c0890d26 4303 {
582e12bf 4304 if (((word >> 13) & 0x1) == 0)
c0890d26
RS
4305 {
4306 if (((word >> 14) & 0x1) == 0)
4307 {
4308 if (((word >> 15) & 0x1) == 0)
4309 {
4310 if (((word >> 21) & 0x1) == 0)
4311 {
4312 if (((word >> 30) & 0x1) == 0)
4313 {
4314 if (((word >> 31) & 0x1) == 0)
4315 {
4316 if (((word >> 16) & 0x1) == 0)
4317 {
4318 if (((word >> 17) & 0x1) == 0)
4319 {
4320 if (((word >> 18) & 0x1) == 0)
4321 {
4322 if (((word >> 19) & 0x1) == 0)
4323 {
4324 if (((word >> 20) & 0x1) == 0)
4325 {
4326 /* 33222222222211111111110000000000
4327 10987654321098765432109876543210
7684e580 4328 000001x0xx000000000xxxxxxxxxxxxx
c0890d26 4329 add. */
82c70b08 4330 return 1287;
c0890d26
RS
4331 }
4332 else
4333 {
4334 /* 33222222222211111111110000000000
4335 10987654321098765432109876543210
7684e580 4336 000001x0xx010000000xxxxxxxxxxxxx
c0890d26 4337 mul. */
82c70b08 4338 return 1756;
c0890d26
RS
4339 }
4340 }
4341 else
4342 {
4343 if (((word >> 20) & 0x1) == 0)
4344 {
4345 /* 33222222222211111111110000000000
4346 10987654321098765432109876543210
7684e580 4347 000001x0xx001000000xxxxxxxxxxxxx
c0890d26 4348 smax. */
82c70b08 4349 return 1835;
c0890d26
RS
4350 }
4351 else
4352 {
4353 /* 33222222222211111111110000000000
4354 10987654321098765432109876543210
7684e580 4355 000001x0xx011000000xxxxxxxxxxxxx
c0890d26 4356 orr. */
82c70b08 4357 return 1767;
c0890d26
RS
4358 }
4359 }
4360 }
4361 else
4362 {
4363 if (((word >> 19) & 0x1) == 0)
4364 {
4365 /* 33222222222211111111110000000000
4366 10987654321098765432109876543210
7684e580 4367 000001x0xx0x0100000xxxxxxxxxxxxx
c0890d26 4368 sdiv. */
82c70b08 4369 return 1826;
c0890d26
RS
4370 }
4371 else
4372 {
4373 /* 33222222222211111111110000000000
4374 10987654321098765432109876543210
7684e580 4375 000001x0xx0x1100000xxxxxxxxxxxxx
c0890d26 4376 sabd. */
82c70b08 4377 return 1817;
c0890d26
RS
4378 }
4379 }
4380 }
4381 else
4382 {
4383 if (((word >> 18) & 0x1) == 0)
4384 {
4385 if (((word >> 19) & 0x1) == 0)
4386 {
4387 /* 33222222222211111111110000000000
4388 10987654321098765432109876543210
7684e580 4389 000001x0xx0x0010000xxxxxxxxxxxxx
c0890d26 4390 smulh. */
82c70b08 4391 return 1840;
c0890d26
RS
4392 }
4393 else
4394 {
4395 if (((word >> 20) & 0x1) == 0)
4396 {
4397 /* 33222222222211111111110000000000
4398 10987654321098765432109876543210
7684e580 4399 000001x0xx001010000xxxxxxxxxxxxx
c0890d26 4400 smin. */
82c70b08 4401 return 1838;
c0890d26
RS
4402 }
4403 else
4404 {
4405 /* 33222222222211111111110000000000
4406 10987654321098765432109876543210
7684e580 4407 000001x0xx011010000xxxxxxxxxxxxx
c0890d26 4408 and. */
82c70b08 4409 return 1295;
c0890d26
RS
4410 }
4411 }
4412 }
4413 else
4414 {
4415 /* 33222222222211111111110000000000
4416 10987654321098765432109876543210
7684e580 4417 000001x0xx0xx110000xxxxxxxxxxxxx
c0890d26 4418 sdivr. */
82c70b08 4419 return 1827;
c0890d26
RS
4420 }
4421 }
4422 }
4423 else
4424 {
4425 if (((word >> 17) & 0x1) == 0)
4426 {
4427 if (((word >> 18) & 0x1) == 0)
4428 {
4429 if (((word >> 19) & 0x1) == 0)
4430 {
4431 /* 33222222222211111111110000000000
4432 10987654321098765432109876543210
7684e580 4433 000001x0xx0x0001000xxxxxxxxxxxxx
c0890d26 4434 sub. */
82c70b08 4435 return 1956;
c0890d26
RS
4436 }
4437 else
4438 {
4439 if (((word >> 20) & 0x1) == 0)
4440 {
4441 /* 33222222222211111111110000000000
4442 10987654321098765432109876543210
7684e580 4443 000001x0xx001001000xxxxxxxxxxxxx
c0890d26 4444 umax. */
82c70b08 4445 return 1984;
c0890d26
RS
4446 }
4447 else
4448 {
4449 /* 33222222222211111111110000000000
4450 10987654321098765432109876543210
7684e580 4451 000001x0xx011001000xxxxxxxxxxxxx
c0890d26 4452 eor. */
82c70b08 4453 return 1382;
c0890d26
RS
4454 }
4455 }
4456 }
4457 else
4458 {
4459 if (((word >> 19) & 0x1) == 0)
4460 {
4461 /* 33222222222211111111110000000000
4462 10987654321098765432109876543210
7684e580 4463 000001x0xx0x0101000xxxxxxxxxxxxx
c0890d26 4464 udiv. */
82c70b08 4465 return 1978;
c0890d26
RS
4466 }
4467 else
4468 {
4469 /* 33222222222211111111110000000000
4470 10987654321098765432109876543210
7684e580 4471 000001x0xx0x1101000xxxxxxxxxxxxx
c0890d26 4472 uabd. */
82c70b08 4473 return 1969;
c0890d26
RS
4474 }
4475 }
4476 }
4477 else
4478 {
4479 if (((word >> 18) & 0x1) == 0)
4480 {
4481 if (((word >> 19) & 0x1) == 0)
4482 {
4483 if (((word >> 20) & 0x1) == 0)
4484 {
4485 /* 33222222222211111111110000000000
4486 10987654321098765432109876543210
7684e580 4487 000001x0xx000011000xxxxxxxxxxxxx
c0890d26 4488 subr. */
82c70b08 4489 return 1958;
c0890d26
RS
4490 }
4491 else
4492 {
4493 /* 33222222222211111111110000000000
4494 10987654321098765432109876543210
7684e580 4495 000001x0xx010011000xxxxxxxxxxxxx
c0890d26 4496 umulh. */
82c70b08 4497 return 1989;
c0890d26
RS
4498 }
4499 }
4500 else
4501 {
4502 if (((word >> 20) & 0x1) == 0)
4503 {
4504 /* 33222222222211111111110000000000
4505 10987654321098765432109876543210
7684e580 4506 000001x0xx001011000xxxxxxxxxxxxx
c0890d26 4507 umin. */
82c70b08 4508 return 1987;
c0890d26
RS
4509 }
4510 else
4511 {
4512 /* 33222222222211111111110000000000
4513 10987654321098765432109876543210
7684e580 4514 000001x0xx011011000xxxxxxxxxxxxx
c0890d26 4515 bic. */
82c70b08 4516 return 1307;
c0890d26
RS
4517 }
4518 }
4519 }
4520 else
4521 {
4522 /* 33222222222211111111110000000000
4523 10987654321098765432109876543210
7684e580 4524 000001x0xx0xx111000xxxxxxxxxxxxx
c0890d26 4525 udivr. */
82c70b08 4526 return 1979;
c0890d26
RS
4527 }
4528 }
4529 }
4530 }
4531 else
4532 {
4533 if (((word >> 23) & 0x1) == 0)
4534 {
4535 /* 33222222222211111111110000000000
4536 10987654321098765432109876543210
7684e580 4537 100001x00x0xxxxx000xxxxxxxxxxxxx
c0890d26 4538 ld1sb. */
82c70b08 4539 return 1569;
c0890d26
RS
4540 }
4541 else
4542 {
4543 /* 33222222222211111111110000000000
4544 10987654321098765432109876543210
7684e580 4545 100001x01x0xxxxx000xxxxxxxxxxxxx
c0890d26 4546 ld1sh. */
82c70b08 4547 return 1580;
c0890d26
RS
4548 }
4549 }
4550 }
4551 else
4552 {
42e6288f 4553 if (((word >> 31) & 0x1) == 0)
c0890d26 4554 {
42e6288f 4555 if (((word >> 12) & 0x1) == 0)
582e12bf
RS
4556 {
4557 if (((word >> 10) & 0x1) == 0)
4558 {
42e6288f
MM
4559 if (((word >> 11) & 0x1) == 0)
4560 {
4561 /* 33222222222211111111110000000000
4562 10987654321098765432109876543210
4563 010001x0xx0xxxxx000000xxxxxxxxxx
4564 sdot. */
82c70b08 4565 return 1828;
42e6288f
MM
4566 }
4567 else
4568 {
4569 /* 33222222222211111111110000000000
4570 10987654321098765432109876543210
4571 010001x0xx0xxxxx000010xxxxxxxxxx
4572 sqdmlalbt. */
82c70b08 4573 return 2178;
42e6288f 4574 }
582e12bf
RS
4575 }
4576 else
4577 {
42e6288f
MM
4578 if (((word >> 11) & 0x1) == 0)
4579 {
4580 /* 33222222222211111111110000000000
4581 10987654321098765432109876543210
4582 010001x0xx0xxxxx000001xxxxxxxxxx
4583 udot. */
82c70b08 4584 return 1980;
42e6288f
MM
4585 }
4586 else
4587 {
4588 /* 33222222222211111111110000000000
4589 10987654321098765432109876543210
4590 010001x0xx0xxxxx000011xxxxxxxxxx
4591 sqdmlslbt. */
82c70b08 4592 return 2185;
42e6288f 4593 }
582e12bf
RS
4594 }
4595 }
42e6288f
MM
4596 else
4597 {
4598 /* 33222222222211111111110000000000
4599 10987654321098765432109876543210
4600 010001x0xx0xxxxx0001xxxxxxxxxxxx
4601 cdot. */
82c70b08 4602 return 2067;
42e6288f
MM
4603 }
4604 }
4605 else
4606 {
4607 if (((word >> 23) & 0x1) == 0)
4608 {
4609 /* 33222222222211111111110000000000
4610 10987654321098765432109876543210
4611 110001x00x0xxxxx000xxxxxxxxxxxxx
4612 ld1sb. */
82c70b08 4613 return 1573;
42e6288f 4614 }
582e12bf
RS
4615 else
4616 {
4617 /* 33222222222211111111110000000000
4618 10987654321098765432109876543210
7684e580 4619 110001x01x0xxxxx000xxxxxxxxxxxxx
582e12bf 4620 ld1sh. */
82c70b08 4621 return 1584;
582e12bf 4622 }
c0890d26
RS
4623 }
4624 }
4625 }
4626 else
4627 {
4628 if (((word >> 30) & 0x1) == 0)
4629 {
4630 if (((word >> 31) & 0x1) == 0)
4631 {
4632 if (((word >> 10) & 0x1) == 0)
4633 {
4634 if (((word >> 11) & 0x1) == 0)
4635 {
4636 if (((word >> 12) & 0x1) == 0)
4637 {
4638 /* 33222222222211111111110000000000
4639 10987654321098765432109876543210
7684e580 4640 000001x0xx1xxxxx000000xxxxxxxxxx
c0890d26 4641 add. */
82c70b08 4642 return 1285;
c0890d26
RS
4643 }
4644 else
4645 {
4646 /* 33222222222211111111110000000000
4647 10987654321098765432109876543210
7684e580 4648 000001x0xx1xxxxx000100xxxxxxxxxx
c0890d26 4649 sqadd. */
82c70b08 4650 return 1842;
c0890d26
RS
4651 }
4652 }
4653 else
4654 {
4655 /* 33222222222211111111110000000000
4656 10987654321098765432109876543210
7684e580 4657 000001x0xx1xxxxx000x10xxxxxxxxxx
c0890d26 4658 sqsub. */
82c70b08 4659 return 1872;
c0890d26
RS
4660 }
4661 }
4662 else
4663 {
4664 if (((word >> 11) & 0x1) == 0)
4665 {
4666 if (((word >> 12) & 0x1) == 0)
4667 {
4668 /* 33222222222211111111110000000000
4669 10987654321098765432109876543210
7684e580 4670 000001x0xx1xxxxx000001xxxxxxxxxx
c0890d26 4671 sub. */
82c70b08 4672 return 1954;
c0890d26
RS
4673 }
4674 else
4675 {
4676 /* 33222222222211111111110000000000
4677 10987654321098765432109876543210
7684e580 4678 000001x0xx1xxxxx000101xxxxxxxxxx
c0890d26 4679 uqadd. */
82c70b08 4680 return 1990;
c0890d26
RS
4681 }
4682 }
4683 else
4684 {
4685 /* 33222222222211111111110000000000
4686 10987654321098765432109876543210
7684e580 4687 000001x0xx1xxxxx000x11xxxxxxxxxx
c0890d26 4688 uqsub. */
82c70b08 4689 return 2020;
c0890d26
RS
4690 }
4691 }
4692 }
4693 else
4694 {
4695 if (((word >> 23) & 0x1) == 0)
4696 {
4697 /* 33222222222211111111110000000000
4698 10987654321098765432109876543210
7684e580 4699 100001x00x1xxxxx000xxxxxxxxxxxxx
c0890d26 4700 prfb. */
82c70b08 4701 return 1775;
c0890d26
RS
4702 }
4703 else
4704 {
4705 /* 33222222222211111111110000000000
4706 10987654321098765432109876543210
7684e580 4707 100001x01x1xxxxx000xxxxxxxxxxxxx
c0890d26 4708 ld1sh. */
82c70b08 4709 return 1581;
c0890d26
RS
4710 }
4711 }
4712 }
4713 else
4714 {
4715 if (((word >> 23) & 0x1) == 0)
c0890d26 4716 {
582e12bf
RS
4717 if (((word >> 31) & 0x1) == 0)
4718 {
4719 if (((word >> 10) & 0x1) == 0)
4720 {
42e6288f 4721 if (((word >> 11) & 0x1) == 0)
582e12bf
RS
4722 {
4723 /* 33222222222211111111110000000000
4724 10987654321098765432109876543210
42e6288f
MM
4725 010001x00x1xxxxx000x00xxxxxxxxxx
4726 sqrdmlah. */
82c70b08 4727 return 2203;
582e12bf
RS
4728 }
4729 else
4730 {
4731 /* 33222222222211111111110000000000
4732 10987654321098765432109876543210
42e6288f
MM
4733 010001x00x1xxxxx000x10xxxxxxxxxx
4734 mla. */
82c70b08 4735 return 2110;
582e12bf
RS
4736 }
4737 }
4738 else
4739 {
42e6288f 4740 if (((word >> 11) & 0x1) == 0)
582e12bf
RS
4741 {
4742 /* 33222222222211111111110000000000
4743 10987654321098765432109876543210
42e6288f
MM
4744 010001x00x1xxxxx000x01xxxxxxxxxx
4745 sqrdmlsh. */
82c70b08 4746 return 2207;
582e12bf
RS
4747 }
4748 else
4749 {
4750 /* 33222222222211111111110000000000
4751 10987654321098765432109876543210
42e6288f
MM
4752 010001x00x1xxxxx000x11xxxxxxxxxx
4753 mls. */
82c70b08 4754 return 2113;
582e12bf
RS
4755 }
4756 }
4757 }
4758 else
4759 {
4760 /* 33222222222211111111110000000000
4761 10987654321098765432109876543210
42e6288f
MM
4762 110001x00x1xxxxx000xxxxxxxxxxxxx
4763 prfb. */
82c70b08 4764 return 1776;
582e12bf 4765 }
c0890d26 4766 }
42e6288f 4767 else
c0890d26 4768 {
42e6288f 4769 if (((word >> 31) & 0x1) == 0)
c0890d26 4770 {
42e6288f 4771 if (((word >> 10) & 0x1) == 0)
c0890d26 4772 {
42e6288f 4773 if (((word >> 11) & 0x1) == 0)
c0890d26 4774 {
42e6288f
MM
4775 if (((word >> 12) & 0x1) == 0)
4776 {
4777 if (((word >> 22) & 0x1) == 0)
4778 {
4779 /* 33222222222211111111110000000000
4780 10987654321098765432109876543210
4781 010001x0101xxxxx000000xxxxxxxxxx
4782 sdot. */
82c70b08 4783 return 1829;
42e6288f
MM
4784 }
4785 else
4786 {
4787 /* 33222222222211111111110000000000
4788 10987654321098765432109876543210
4789 010001x0111xxxxx000000xxxxxxxxxx
4790 sdot. */
82c70b08 4791 return 1830;
42e6288f
MM
4792 }
4793 }
4794 else
4795 {
4796 if (((word >> 22) & 0x1) == 0)
4797 {
4798 /* 33222222222211111111110000000000
4799 10987654321098765432109876543210
4800 010001x0101xxxxx000100xxxxxxxxxx
4801 sqrdmlah. */
82c70b08 4802 return 2204;
42e6288f
MM
4803 }
4804 else
4805 {
4806 /* 33222222222211111111110000000000
4807 10987654321098765432109876543210
4808 010001x0111xxxxx000100xxxxxxxxxx
4809 sqrdmlah. */
82c70b08 4810 return 2205;
42e6288f
MM
4811 }
4812 }
4813 }
4814 else
4815 {
8382113f 4816 if (((word >> 12) & 0x1) == 0)
c0890d26 4817 {
8382113f
MM
4818 if (((word >> 22) & 0x1) == 0)
4819 {
4820 /* 33222222222211111111110000000000
4821 10987654321098765432109876543210
4822 010001x0101xxxxx000010xxxxxxxxxx
4823 mla. */
82c70b08 4824 return 2111;
8382113f
MM
4825 }
4826 else
4827 {
4828 /* 33222222222211111111110000000000
4829 10987654321098765432109876543210
4830 010001x0111xxxxx000010xxxxxxxxxx
4831 mla. */
82c70b08 4832 return 2112;
8382113f 4833 }
c0890d26
RS
4834 }
4835 else
4836 {
4837 /* 33222222222211111111110000000000
4838 10987654321098765432109876543210
8382113f
MM
4839 010001x01x1xxxxx000110xxxxxxxxxx
4840 usdot. */
01a4d082 4841 return 2460;
c0890d26
RS
4842 }
4843 }
c0890d26
RS
4844 }
4845 else
4846 {
42e6288f 4847 if (((word >> 11) & 0x1) == 0)
c0890d26 4848 {
42e6288f
MM
4849 if (((word >> 12) & 0x1) == 0)
4850 {
4851 if (((word >> 22) & 0x1) == 0)
4852 {
4853 /* 33222222222211111111110000000000
4854 10987654321098765432109876543210
4855 010001x0101xxxxx000001xxxxxxxxxx
4856 udot. */
82c70b08 4857 return 1981;
42e6288f
MM
4858 }
4859 else
4860 {
4861 /* 33222222222211111111110000000000
4862 10987654321098765432109876543210
4863 010001x0111xxxxx000001xxxxxxxxxx
4864 udot. */
82c70b08 4865 return 1982;
42e6288f
MM
4866 }
4867 }
4868 else
4869 {
4870 if (((word >> 22) & 0x1) == 0)
4871 {
4872 /* 33222222222211111111110000000000
4873 10987654321098765432109876543210
4874 010001x0101xxxxx000101xxxxxxxxxx
4875 sqrdmlsh. */
82c70b08 4876 return 2208;
42e6288f
MM
4877 }
4878 else
4879 {
4880 /* 33222222222211111111110000000000
4881 10987654321098765432109876543210
4882 010001x0111xxxxx000101xxxxxxxxxx
4883 sqrdmlsh. */
82c70b08 4884 return 2209;
42e6288f
MM
4885 }
4886 }
c0890d26
RS
4887 }
4888 else
4889 {
8382113f 4890 if (((word >> 12) & 0x1) == 0)
42e6288f 4891 {
8382113f
MM
4892 if (((word >> 22) & 0x1) == 0)
4893 {
4894 /* 33222222222211111111110000000000
4895 10987654321098765432109876543210
4896 010001x0101xxxxx000011xxxxxxxxxx
4897 mls. */
82c70b08 4898 return 2114;
8382113f
MM
4899 }
4900 else
4901 {
4902 /* 33222222222211111111110000000000
4903 10987654321098765432109876543210
4904 010001x0111xxxxx000011xxxxxxxxxx
4905 mls. */
82c70b08 4906 return 2115;
8382113f 4907 }
42e6288f
MM
4908 }
4909 else
4910 {
4911 /* 33222222222211111111110000000000
4912 10987654321098765432109876543210
8382113f
MM
4913 010001x01x1xxxxx000111xxxxxxxxxx
4914 sudot. */
01a4d082 4915 return 2461;
42e6288f 4916 }
c0890d26
RS
4917 }
4918 }
4919 }
4920 else
4921 {
42e6288f
MM
4922 /* 33222222222211111111110000000000
4923 10987654321098765432109876543210
4924 110001x01x1xxxxx000xxxxxxxxxxxxx
4925 ld1sh. */
82c70b08 4926 return 1585;
42e6288f
MM
4927 }
4928 }
4929 }
4930 }
4931 }
4932 else
4933 {
4934 if (((word >> 31) & 0x1) == 0)
4935 {
4936 if (((word >> 21) & 0x1) == 0)
4937 {
4938 if (((word >> 16) & 0x1) == 0)
4939 {
4940 if (((word >> 17) & 0x1) == 0)
4941 {
4942 if (((word >> 18) & 0x1) == 0)
c0890d26 4943 {
42e6288f 4944 if (((word >> 19) & 0x1) == 0)
c0890d26 4945 {
42e6288f 4946 if (((word >> 20) & 0x1) == 0)
c0890d26 4947 {
42e6288f
MM
4948 /* 33222222222211111111110000000000
4949 10987654321098765432109876543210
4950 0x0001x0xx000000100xxxxxxxxxxxxx
4951 asr. */
82c70b08 4952 return 1303;
42e6288f
MM
4953 }
4954 else
4955 {
4956 if (((word >> 30) & 0x1) == 0)
c0890d26
RS
4957 {
4958 /* 33222222222211111111110000000000
4959 10987654321098765432109876543210
42e6288f
MM
4960 000001x0xx010000100xxxxxxxxxxxxx
4961 asr. */
82c70b08 4962 return 1301;
c0890d26
RS
4963 }
4964 else
4965 {
4966 /* 33222222222211111111110000000000
4967 10987654321098765432109876543210
42e6288f
MM
4968 010001x0xx010000100xxxxxxxxxxxxx
4969 shadd. */
82c70b08 4970 return 2144;
c0890d26
RS
4971 }
4972 }
42e6288f
MM
4973 }
4974 else
4975 {
4976 if (((word >> 20) & 0x1) == 0)
c0890d26
RS
4977 {
4978 /* 33222222222211111111110000000000
4979 10987654321098765432109876543210
42e6288f
MM
4980 0x0001x0xx001000100xxxxxxxxxxxxx
4981 sqshl. */
82c70b08 4982 return 2222;
42e6288f
MM
4983 }
4984 else
4985 {
4986 if (((word >> 30) & 0x1) == 0)
4987 {
4988 /* 33222222222211111111110000000000
4989 10987654321098765432109876543210
4990 000001x0xx011000100xxxxxxxxxxxxx
4991 asr. */
82c70b08 4992 return 1302;
42e6288f
MM
4993 }
4994 else
4995 {
4996 /* 33222222222211111111110000000000
4997 10987654321098765432109876543210
4998 010001x0xx011000100xxxxxxxxxxxxx
4999 sqadd. */
82c70b08 5000 return 2173;
42e6288f 5001 }
c0890d26 5002 }
c0890d26
RS
5003 }
5004 }
5005 else
5006 {
42e6288f 5007 if (((word >> 19) & 0x1) == 0)
c0890d26 5008 {
42e6288f 5009 if (((word >> 20) & 0x1) == 0)
c0890d26 5010 {
42e6288f
MM
5011 /* 33222222222211111111110000000000
5012 10987654321098765432109876543210
5013 0x0001x0xx000100100xxxxxxxxxxxxx
5014 asrd. */
82c70b08 5015 return 1304;
42e6288f
MM
5016 }
5017 else
5018 {
5019 if (((word >> 30) & 0x1) == 0)
c0890d26
RS
5020 {
5021 /* 33222222222211111111110000000000
5022 10987654321098765432109876543210
42e6288f
MM
5023 000001x0xx010100100xxxxxxxxxxxxx
5024 asrr. */
82c70b08 5025 return 1305;
c0890d26
RS
5026 }
5027 else
5028 {
5029 /* 33222222222211111111110000000000
5030 10987654321098765432109876543210
42e6288f
MM
5031 010001x0xx010100100xxxxxxxxxxxxx
5032 srhadd. */
82c70b08 5033 return 2235;
c0890d26
RS
5034 }
5035 }
c0890d26
RS
5036 }
5037 else
5038 {
42e6288f
MM
5039 if (((word >> 20) & 0x1) == 0)
5040 {
5041 if (((word >> 30) & 0x1) == 0)
5042 {
5043 /* 33222222222211111111110000000000
5044 10987654321098765432109876543210
5045 000001x0xx001100100xxxxxxxxxxxxx
5046 srshr. */
82c70b08 5047 return 2239;
42e6288f
MM
5048 }
5049 else
5050 {
5051 /* 33222222222211111111110000000000
5052 10987654321098765432109876543210
5053 010001x0xx001100100xxxxxxxxxxxxx
5054 sqshlr. */
82c70b08 5055 return 2223;
42e6288f
MM
5056 }
5057 }
5058 else
5059 {
5060 /* 33222222222211111111110000000000
5061 10987654321098765432109876543210
5062 0x0001x0xx011100100xxxxxxxxxxxxx
5063 suqadd. */
82c70b08 5064 return 2259;
42e6288f 5065 }
c0890d26
RS
5066 }
5067 }
5068 }
42e6288f 5069 else
c0890d26 5070 {
42e6288f 5071 if (((word >> 18) & 0x1) == 0)
c0890d26 5072 {
42e6288f
MM
5073 if (((word >> 19) & 0x1) == 0)
5074 {
5075 if (((word >> 20) & 0x1) == 0)
5076 {
5077 /* 33222222222211111111110000000000
5078 10987654321098765432109876543210
5079 0x0001x0xx000010100xxxxxxxxxxxxx
5080 srshl. */
82c70b08 5081 return 2237;
42e6288f
MM
5082 }
5083 else
5084 {
5085 /* 33222222222211111111110000000000
5086 10987654321098765432109876543210
5087 0x0001x0xx010010100xxxxxxxxxxxxx
5088 shsub. */
82c70b08 5089 return 2147;
42e6288f
MM
5090 }
5091 }
5092 else
5093 {
5094 if (((word >> 20) & 0x1) == 0)
5095 {
5096 /* 33222222222211111111110000000000
5097 10987654321098765432109876543210
5098 0x0001x0xx001010100xxxxxxxxxxxxx
5099 sqrshl. */
82c70b08 5100 return 2215;
42e6288f
MM
5101 }
5102 else
5103 {
5104 /* 33222222222211111111110000000000
5105 10987654321098765432109876543210
5106 0x0001x0xx011010100xxxxxxxxxxxxx
5107 sqsub. */
82c70b08 5108 return 2229;
42e6288f
MM
5109 }
5110 }
c0890d26
RS
5111 }
5112 else
5113 {
42e6288f
MM
5114 if (((word >> 19) & 0x1) == 0)
5115 {
5116 if (((word >> 20) & 0x1) == 0)
5117 {
5118 if (((word >> 30) & 0x1) == 0)
5119 {
5120 /* 33222222222211111111110000000000
5121 10987654321098765432109876543210
5122 000001x0xx000110100xxxxxxxxxxxxx
5123 sqshl. */
82c70b08 5124 return 2221;
42e6288f
MM
5125 }
5126 else
5127 {
5128 /* 33222222222211111111110000000000
5129 10987654321098765432109876543210
5130 010001x0xx000110100xxxxxxxxxxxxx
5131 srshlr. */
82c70b08 5132 return 2238;
42e6288f
MM
5133 }
5134 }
5135 else
5136 {
5137 /* 33222222222211111111110000000000
5138 10987654321098765432109876543210
5139 0x0001x0xx010110100xxxxxxxxxxxxx
5140 shsubr. */
82c70b08 5141 return 2148;
42e6288f
MM
5142 }
5143 }
5144 else
5145 {
5146 if (((word >> 20) & 0x1) == 0)
5147 {
5148 /* 33222222222211111111110000000000
5149 10987654321098765432109876543210
5150 0x0001x0xx001110100xxxxxxxxxxxxx
5151 sqrshlr. */
82c70b08 5152 return 2216;
42e6288f
MM
5153 }
5154 else
5155 {
5156 /* 33222222222211111111110000000000
5157 10987654321098765432109876543210
5158 0x0001x0xx011110100xxxxxxxxxxxxx
5159 sqsubr. */
82c70b08 5160 return 2230;
42e6288f
MM
5161 }
5162 }
c0890d26
RS
5163 }
5164 }
42e6288f
MM
5165 }
5166 else
5167 {
5168 if (((word >> 17) & 0x1) == 0)
c0890d26 5169 {
42e6288f 5170 if (((word >> 18) & 0x1) == 0)
c0890d26 5171 {
42e6288f 5172 if (((word >> 19) & 0x1) == 0)
c0890d26 5173 {
42e6288f
MM
5174 if (((word >> 20) & 0x1) == 0)
5175 {
5176 /* 33222222222211111111110000000000
5177 10987654321098765432109876543210
5178 0x0001x0xx000001100xxxxxxxxxxxxx
5179 lsr. */
82c70b08 5180 return 1747;
42e6288f
MM
5181 }
5182 else
5183 {
5184 if (((word >> 30) & 0x1) == 0)
5185 {
5186 /* 33222222222211111111110000000000
5187 10987654321098765432109876543210
5188 000001x0xx010001100xxxxxxxxxxxxx
5189 lsr. */
82c70b08 5190 return 1745;
42e6288f
MM
5191 }
5192 else
5193 {
5194 /* 33222222222211111111110000000000
5195 10987654321098765432109876543210
5196 010001x0xx010001100xxxxxxxxxxxxx
5197 uhadd. */
82c70b08 5198 return 2272;
42e6288f
MM
5199 }
5200 }
c0890d26
RS
5201 }
5202 else
5203 {
42e6288f
MM
5204 if (((word >> 20) & 0x1) == 0)
5205 {
5206 /* 33222222222211111111110000000000
5207 10987654321098765432109876543210
5208 0x0001x0xx001001100xxxxxxxxxxxxx
5209 uqshl. */
82c70b08 5210 return 2302;
42e6288f
MM
5211 }
5212 else
5213 {
5214 if (((word >> 30) & 0x1) == 0)
5215 {
5216 /* 33222222222211111111110000000000
5217 10987654321098765432109876543210
5218 000001x0xx011001100xxxxxxxxxxxxx
5219 lsr. */
82c70b08 5220 return 1746;
42e6288f
MM
5221 }
5222 else
5223 {
5224 /* 33222222222211111111110000000000
5225 10987654321098765432109876543210
5226 010001x0xx011001100xxxxxxxxxxxxx
5227 uqadd. */
82c70b08 5228 return 2296;
42e6288f
MM
5229 }
5230 }
c0890d26
RS
5231 }
5232 }
5233 else
5234 {
42e6288f 5235 if (((word >> 19) & 0x1) == 0)
c0890d26 5236 {
42e6288f
MM
5237 if (((word >> 30) & 0x1) == 0)
5238 {
5239 /* 33222222222211111111110000000000
5240 10987654321098765432109876543210
5241 000001x0xx0x0101100xxxxxxxxxxxxx
5242 lsrr. */
82c70b08 5243 return 1748;
42e6288f
MM
5244 }
5245 else
5246 {
5247 /* 33222222222211111111110000000000
5248 10987654321098765432109876543210
5249 010001x0xx0x0101100xxxxxxxxxxxxx
5250 urhadd. */
82c70b08 5251 return 2311;
42e6288f 5252 }
c0890d26
RS
5253 }
5254 else
5255 {
42e6288f
MM
5256 if (((word >> 20) & 0x1) == 0)
5257 {
5258 if (((word >> 30) & 0x1) == 0)
5259 {
5260 /* 33222222222211111111110000000000
5261 10987654321098765432109876543210
5262 000001x0xx001101100xxxxxxxxxxxxx
5263 urshr. */
82c70b08 5264 return 2314;
42e6288f
MM
5265 }
5266 else
5267 {
5268 /* 33222222222211111111110000000000
5269 10987654321098765432109876543210
5270 010001x0xx001101100xxxxxxxxxxxxx
5271 uqshlr. */
82c70b08 5272 return 2303;
42e6288f
MM
5273 }
5274 }
5275 else
5276 {
5277 /* 33222222222211111111110000000000
5278 10987654321098765432109876543210
5279 0x0001x0xx011101100xxxxxxxxxxxxx
5280 usqadd. */
82c70b08 5281 return 2319;
42e6288f 5282 }
c0890d26
RS
5283 }
5284 }
5285 }
c0890d26
RS
5286 else
5287 {
42e6288f 5288 if (((word >> 18) & 0x1) == 0)
c0890d26 5289 {
42e6288f 5290 if (((word >> 19) & 0x1) == 0)
c0890d26 5291 {
42e6288f
MM
5292 if (((word >> 20) & 0x1) == 0)
5293 {
5294 if (((word >> 30) & 0x1) == 0)
5295 {
5296 /* 33222222222211111111110000000000
5297 10987654321098765432109876543210
5298 000001x0xx000011100xxxxxxxxxxxxx
5299 lsl. */
82c70b08 5300 return 1741;
42e6288f
MM
5301 }
5302 else
5303 {
5304 /* 33222222222211111111110000000000
5305 10987654321098765432109876543210
5306 010001x0xx000011100xxxxxxxxxxxxx
5307 urshl. */
82c70b08 5308 return 2312;
42e6288f
MM
5309 }
5310 }
5311 else
5312 {
5313 if (((word >> 30) & 0x1) == 0)
5314 {
5315 /* 33222222222211111111110000000000
5316 10987654321098765432109876543210
5317 000001x0xx010011100xxxxxxxxxxxxx
5318 lsl. */
82c70b08 5319 return 1739;
42e6288f
MM
5320 }
5321 else
5322 {
5323 /* 33222222222211111111110000000000
5324 10987654321098765432109876543210
5325 010001x0xx010011100xxxxxxxxxxxxx
5326 uhsub. */
82c70b08 5327 return 2273;
42e6288f
MM
5328 }
5329 }
c0890d26
RS
5330 }
5331 else
5332 {
42e6288f
MM
5333 if (((word >> 20) & 0x1) == 0)
5334 {
5335 /* 33222222222211111111110000000000
5336 10987654321098765432109876543210
5337 0x0001x0xx001011100xxxxxxxxxxxxx
5338 uqrshl. */
82c70b08 5339 return 2297;
42e6288f
MM
5340 }
5341 else
5342 {
5343 if (((word >> 30) & 0x1) == 0)
5344 {
5345 /* 33222222222211111111110000000000
5346 10987654321098765432109876543210
5347 000001x0xx011011100xxxxxxxxxxxxx
5348 lsl. */
82c70b08 5349 return 1740;
42e6288f
MM
5350 }
5351 else
5352 {
5353 /* 33222222222211111111110000000000
5354 10987654321098765432109876543210
5355 010001x0xx011011100xxxxxxxxxxxxx
5356 uqsub. */
82c70b08 5357 return 2306;
42e6288f
MM
5358 }
5359 }
c0890d26
RS
5360 }
5361 }
5362 else
5363 {
42e6288f 5364 if (((word >> 19) & 0x1) == 0)
c0890d26 5365 {
42e6288f 5366 if (((word >> 20) & 0x1) == 0)
c0890d26 5367 {
42e6288f
MM
5368 if (((word >> 30) & 0x1) == 0)
5369 {
5370 /* 33222222222211111111110000000000
5371 10987654321098765432109876543210
5372 000001x0xx000111100xxxxxxxxxxxxx
5373 uqshl. */
82c70b08 5374 return 2301;
42e6288f
MM
5375 }
5376 else
5377 {
5378 /* 33222222222211111111110000000000
5379 10987654321098765432109876543210
5380 010001x0xx000111100xxxxxxxxxxxxx
5381 urshlr. */
82c70b08 5382 return 2313;
42e6288f
MM
5383 }
5384 }
5385 else
5386 {
5387 if (((word >> 30) & 0x1) == 0)
5388 {
5389 /* 33222222222211111111110000000000
5390 10987654321098765432109876543210
5391 000001x0xx010111100xxxxxxxxxxxxx
5392 lslr. */
82c70b08 5393 return 1742;
42e6288f
MM
5394 }
5395 else
5396 {
5397 /* 33222222222211111111110000000000
5398 10987654321098765432109876543210
5399 010001x0xx010111100xxxxxxxxxxxxx
5400 uhsubr. */
82c70b08 5401 return 2274;
42e6288f
MM
5402 }
5403 }
5404 }
5405 else
5406 {
5407 if (((word >> 20) & 0x1) == 0)
5408 {
5409 if (((word >> 30) & 0x1) == 0)
5410 {
5411 /* 33222222222211111111110000000000
5412 10987654321098765432109876543210
5413 000001x0xx001111100xxxxxxxxxxxxx
5414 sqshlu. */
82c70b08 5415 return 2224;
42e6288f
MM
5416 }
5417 else
5418 {
5419 /* 33222222222211111111110000000000
5420 10987654321098765432109876543210
5421 010001x0xx001111100xxxxxxxxxxxxx
5422 uqrshlr. */
82c70b08 5423 return 2298;
42e6288f 5424 }
c0890d26
RS
5425 }
5426 else
5427 {
5428 /* 33222222222211111111110000000000
5429 10987654321098765432109876543210
42e6288f
MM
5430 0x0001x0xx011111100xxxxxxxxxxxxx
5431 uqsubr. */
82c70b08 5432 return 2307;
c0890d26
RS
5433 }
5434 }
42e6288f
MM
5435 }
5436 }
5437 }
5438 }
5439 else
5440 {
5441 if (((word >> 10) & 0x1) == 0)
5442 {
5443 if (((word >> 12) & 0x1) == 0)
5444 {
5445 if (((word >> 30) & 0x1) == 0)
5446 {
5447 /* 33222222222211111111110000000000
5448 10987654321098765432109876543210
5449 000001x0xx1xxxxx1000x0xxxxxxxxxx
5450 asr. */
82c70b08 5451 return 1299;
42e6288f
MM
5452 }
5453 else
5454 {
5455 if (((word >> 22) & 0x1) == 0)
5456 {
5457 /* 33222222222211111111110000000000
5458 10987654321098765432109876543210
5459 010001x0x01xxxxx1000x0xxxxxxxxxx
5460 smlalb. */
82c70b08 5461 return 2152;
42e6288f 5462 }
c0890d26
RS
5463 else
5464 {
5465 /* 33222222222211111111110000000000
5466 10987654321098765432109876543210
42e6288f
MM
5467 010001x0x11xxxxx1000x0xxxxxxxxxx
5468 smlalb. */
82c70b08 5469 return 2153;
c0890d26
RS
5470 }
5471 }
5472 }
5473 else
5474 {
42e6288f 5475 if (((word >> 30) & 0x1) == 0)
c0890d26
RS
5476 {
5477 /* 33222222222211111111110000000000
5478 10987654321098765432109876543210
42e6288f
MM
5479 000001x0xx1xxxxx1001x0xxxxxxxxxx
5480 asr. */
82c70b08 5481 return 1300;
c0890d26
RS
5482 }
5483 else
5484 {
42e6288f
MM
5485 if (((word >> 22) & 0x1) == 0)
5486 {
5487 /* 33222222222211111111110000000000
5488 10987654321098765432109876543210
5489 010001x0x01xxxxx1001x0xxxxxxxxxx
5490 umlalb. */
82c70b08 5491 return 2277;
42e6288f
MM
5492 }
5493 else
5494 {
5495 /* 33222222222211111111110000000000
5496 10987654321098765432109876543210
5497 010001x0x11xxxxx1001x0xxxxxxxxxx
5498 umlalb. */
82c70b08 5499 return 2278;
42e6288f
MM
5500 }
5501 }
c0890d26
RS
5502 }
5503 }
c0890d26
RS
5504 else
5505 {
42e6288f 5506 if (((word >> 12) & 0x1) == 0)
c0890d26 5507 {
42e6288f 5508 if (((word >> 30) & 0x1) == 0)
c0890d26 5509 {
42e6288f 5510 if (((word >> 11) & 0x1) == 0)
c0890d26 5511 {
42e6288f
MM
5512 /* 33222222222211111111110000000000
5513 10987654321098765432109876543210
5514 000001x0xx1xxxxx100001xxxxxxxxxx
5515 lsr. */
82c70b08 5516 return 1743;
c0890d26
RS
5517 }
5518 else
5519 {
42e6288f
MM
5520 /* 33222222222211111111110000000000
5521 10987654321098765432109876543210
5522 000001x0xx1xxxxx100011xxxxxxxxxx
5523 lsl. */
82c70b08 5524 return 1737;
c0890d26
RS
5525 }
5526 }
5527 else
5528 {
5529 if (((word >> 22) & 0x1) == 0)
5530 {
5531 /* 33222222222211111111110000000000
5532 10987654321098765432109876543210
42e6288f
MM
5533 010001x0x01xxxxx1000x1xxxxxxxxxx
5534 smlalt. */
82c70b08 5535 return 2155;
c0890d26
RS
5536 }
5537 else
5538 {
42e6288f
MM
5539 /* 33222222222211111111110000000000
5540 10987654321098765432109876543210
5541 010001x0x11xxxxx1000x1xxxxxxxxxx
5542 smlalt. */
82c70b08 5543 return 2156;
c0890d26
RS
5544 }
5545 }
5546 }
5547 else
5548 {
42e6288f 5549 if (((word >> 30) & 0x1) == 0)
c0890d26 5550 {
42e6288f 5551 if (((word >> 11) & 0x1) == 0)
c0890d26 5552 {
42e6288f
MM
5553 /* 33222222222211111111110000000000
5554 10987654321098765432109876543210
5555 000001x0xx1xxxxx100101xxxxxxxxxx
5556 lsr. */
82c70b08 5557 return 1744;
c0890d26
RS
5558 }
5559 else
5560 {
42e6288f
MM
5561 /* 33222222222211111111110000000000
5562 10987654321098765432109876543210
5563 000001x0xx1xxxxx100111xxxxxxxxxx
5564 lsl. */
82c70b08 5565 return 1738;
c0890d26
RS
5566 }
5567 }
5568 else
5569 {
5570 if (((word >> 22) & 0x1) == 0)
5571 {
5572 /* 33222222222211111111110000000000
5573 10987654321098765432109876543210
42e6288f
MM
5574 010001x0x01xxxxx1001x1xxxxxxxxxx
5575 umlalt. */
82c70b08 5576 return 2280;
c0890d26
RS
5577 }
5578 else
5579 {
42e6288f
MM
5580 /* 33222222222211111111110000000000
5581 10987654321098765432109876543210
5582 010001x0x11xxxxx1001x1xxxxxxxxxx
5583 umlalt. */
82c70b08 5584 return 2281;
c0890d26
RS
5585 }
5586 }
5587 }
5588 }
5589 }
42e6288f
MM
5590 }
5591 else
5592 {
5593 if (((word >> 22) & 0x1) == 0)
c0890d26 5594 {
42e6288f 5595 if (((word >> 21) & 0x1) == 0)
c0890d26 5596 {
42e6288f 5597 if (((word >> 23) & 0x1) == 0)
c0890d26 5598 {
42e6288f
MM
5599 /* 33222222222211111111110000000000
5600 10987654321098765432109876543210
5601 1x0001x0000xxxxx100xxxxxxxxxxxxx
5602 ldnt1sb. */
82c70b08 5603 return 2104;
42e6288f
MM
5604 }
5605 else
5606 {
5607 /* 33222222222211111111110000000000
5608 10987654321098765432109876543210
5609 1x0001x0100xxxxx100xxxxxxxxxxxxx
5610 ldnt1sh. */
82c70b08 5611 return 2105;
42e6288f
MM
5612 }
5613 }
5614 else
5615 {
5616 if (((word >> 23) & 0x1) == 0)
5617 {
5618 if (((word >> 30) & 0x1) == 0)
c0890d26
RS
5619 {
5620 /* 33222222222211111111110000000000
5621 10987654321098765432109876543210
42e6288f
MM
5622 100001x0001xxxxx100xxxxxxxxxxxxx
5623 ld1sb. */
82c70b08 5624 return 1575;
42e6288f
MM
5625 }
5626 else
5627 {
5628 /* 33222222222211111111110000000000
5629 10987654321098765432109876543210
5630 110001x0001xxxxx100xxxxxxxxxxxxx
5631 ld1sb. */
82c70b08 5632 return 1579;
c0890d26
RS
5633 }
5634 }
5635 else
5636 {
42e6288f 5637 if (((word >> 30) & 0x1) == 0)
c0890d26
RS
5638 {
5639 /* 33222222222211111111110000000000
5640 10987654321098765432109876543210
42e6288f
MM
5641 100001x0101xxxxx100xxxxxxxxxxxxx
5642 ld1sh. */
82c70b08 5643 return 1588;
c0890d26
RS
5644 }
5645 else
5646 {
5647 /* 33222222222211111111110000000000
5648 10987654321098765432109876543210
42e6288f
MM
5649 110001x0101xxxxx100xxxxxxxxxxxxx
5650 ld1sh. */
82c70b08 5651 return 1591;
c0890d26
RS
5652 }
5653 }
5654 }
42e6288f
MM
5655 }
5656 else
5657 {
5658 if (((word >> 23) & 0x1) == 0)
c0890d26 5659 {
42e6288f 5660 if (((word >> 30) & 0x1) == 0)
c0890d26
RS
5661 {
5662 /* 33222222222211111111110000000000
5663 10987654321098765432109876543210
42e6288f 5664 100001x001xxxxxx100xxxxxxxxxxxxx
c0890d26 5665 ld1rb. */
82c70b08 5666 return 1545;
c0890d26
RS
5667 }
5668 else
42e6288f
MM
5669 {
5670 if (((word >> 21) & 0x1) == 0)
5671 {
5672 /* 33222222222211111111110000000000
5673 10987654321098765432109876543210
5674 110001x0010xxxxx100xxxxxxxxxxxxx
5675 ld1sb. */
82c70b08 5676 return 1574;
42e6288f
MM
5677 }
5678 else
5679 {
5680 /* 33222222222211111111110000000000
5681 10987654321098765432109876543210
5682 110001x0011xxxxx100xxxxxxxxxxxxx
5683 prfb. */
82c70b08 5684 return 1777;
42e6288f
MM
5685 }
5686 }
5687 }
5688 else
5689 {
5690 if (((word >> 30) & 0x1) == 0)
c0890d26
RS
5691 {
5692 /* 33222222222211111111110000000000
5693 10987654321098765432109876543210
42e6288f
MM
5694 100001x011xxxxxx100xxxxxxxxxxxxx
5695 ld1rsw. */
82c70b08 5696 return 1566;
42e6288f
MM
5697 }
5698 else
5699 {
5700 if (((word >> 21) & 0x1) == 0)
5701 {
5702 /* 33222222222211111111110000000000
5703 10987654321098765432109876543210
5704 110001x0110xxxxx100xxxxxxxxxxxxx
5705 ld1sh. */
82c70b08 5706 return 1586;
42e6288f
MM
5707 }
5708 else
5709 {
5710 /* 33222222222211111111110000000000
5711 10987654321098765432109876543210
5712 110001x0111xxxxx100xxxxxxxxxxxxx
5713 ld1sh. */
82c70b08 5714 return 1587;
42e6288f 5715 }
c0890d26
RS
5716 }
5717 }
5718 }
5719 }
42e6288f
MM
5720 }
5721 }
5722 else
5723 {
5724 if (((word >> 15) & 0x1) == 0)
5725 {
5726 if (((word >> 21) & 0x1) == 0)
c0890d26 5727 {
42e6288f 5728 if (((word >> 30) & 0x1) == 0)
c0890d26 5729 {
42e6288f 5730 if (((word >> 31) & 0x1) == 0)
c0890d26
RS
5731 {
5732 /* 33222222222211111111110000000000
5733 10987654321098765432109876543210
42e6288f
MM
5734 000001x0xx0xxxxx010xxxxxxxxxxxxx
5735 mla. */
82c70b08 5736 return 1750;
c0890d26
RS
5737 }
5738 else
c0890d26
RS
5739 {
5740 if (((word >> 23) & 0x1) == 0)
5741 {
5742 /* 33222222222211111111110000000000
5743 10987654321098765432109876543210
42e6288f 5744 100001x00x0xxxxx010xxxxxxxxxxxxx
c0890d26 5745 ld1b. */
82c70b08 5746 return 1511;
c0890d26
RS
5747 }
5748 else
5749 {
5750 /* 33222222222211111111110000000000
5751 10987654321098765432109876543210
42e6288f 5752 100001x01x0xxxxx010xxxxxxxxxxxxx
c0890d26 5753 ld1h. */
82c70b08 5754 return 1531;
c0890d26
RS
5755 }
5756 }
5757 }
42e6288f 5758 else
c0890d26
RS
5759 {
5760 if (((word >> 31) & 0x1) == 0)
5761 {
42e6288f 5762 if (((word >> 10) & 0x1) == 0)
c0890d26 5763 {
42e6288f 5764 if (((word >> 11) & 0x1) == 0)
c0890d26 5765 {
42e6288f 5766 if (((word >> 12) & 0x1) == 0)
582e12bf 5767 {
42e6288f
MM
5768 /* 33222222222211111111110000000000
5769 10987654321098765432109876543210
5770 010001x0xx0xxxxx010000xxxxxxxxxx
5771 smlalb. */
82c70b08 5772 return 2154;
582e12bf
RS
5773 }
5774 else
5775 {
5776 /* 33222222222211111111110000000000
5777 10987654321098765432109876543210
42e6288f
MM
5778 010001x0xx0xxxxx010100xxxxxxxxxx
5779 smlslb. */
82c70b08 5780 return 2160;
582e12bf 5781 }
c0890d26
RS
5782 }
5783 else
5784 {
42e6288f 5785 if (((word >> 12) & 0x1) == 0)
582e12bf 5786 {
42e6288f
MM
5787 /* 33222222222211111111110000000000
5788 10987654321098765432109876543210
5789 010001x0xx0xxxxx010010xxxxxxxxxx
5790 umlalb. */
82c70b08 5791 return 2279;
582e12bf
RS
5792 }
5793 else
5794 {
42e6288f
MM
5795 /* 33222222222211111111110000000000
5796 10987654321098765432109876543210
5797 010001x0xx0xxxxx010110xxxxxxxxxx
5798 umlslb. */
82c70b08 5799 return 2285;
582e12bf 5800 }
c0890d26
RS
5801 }
5802 }
5803 else
5804 {
42e6288f 5805 if (((word >> 11) & 0x1) == 0)
c0890d26 5806 {
42e6288f 5807 if (((word >> 12) & 0x1) == 0)
582e12bf
RS
5808 {
5809 /* 33222222222211111111110000000000
5810 10987654321098765432109876543210
42e6288f
MM
5811 010001x0xx0xxxxx010001xxxxxxxxxx
5812 smlalt. */
82c70b08 5813 return 2157;
582e12bf
RS
5814 }
5815 else
5816 {
5817 /* 33222222222211111111110000000000
5818 10987654321098765432109876543210
42e6288f
MM
5819 010001x0xx0xxxxx010101xxxxxxxxxx
5820 smlslt. */
82c70b08 5821 return 2163;
582e12bf 5822 }
c0890d26
RS
5823 }
5824 else
5825 {
42e6288f
MM
5826 if (((word >> 12) & 0x1) == 0)
5827 {
5828 /* 33222222222211111111110000000000
5829 10987654321098765432109876543210
5830 010001x0xx0xxxxx010011xxxxxxxxxx
5831 umlalt. */
82c70b08 5832 return 2282;
42e6288f
MM
5833 }
5834 else
5835 {
5836 /* 33222222222211111111110000000000
5837 10987654321098765432109876543210
5838 010001x0xx0xxxxx010111xxxxxxxxxx
5839 umlslt. */
82c70b08 5840 return 2288;
42e6288f 5841 }
c0890d26
RS
5842 }
5843 }
5844 }
c0890d26
RS
5845 else
5846 {
5847 if (((word >> 23) & 0x1) == 0)
5848 {
5849 /* 33222222222211111111110000000000
5850 10987654321098765432109876543210
42e6288f
MM
5851 110001x00x0xxxxx010xxxxxxxxxxxxx
5852 ld1b. */
82c70b08 5853 return 1516;
c0890d26
RS
5854 }
5855 else
5856 {
5857 /* 33222222222211111111110000000000
5858 10987654321098765432109876543210
42e6288f
MM
5859 110001x01x0xxxxx010xxxxxxxxxxxxx
5860 ld1h. */
82c70b08 5861 return 1536;
c0890d26
RS
5862 }
5863 }
5864 }
582e12bf
RS
5865 }
5866 else
5867 {
42e6288f 5868 if (((word >> 30) & 0x1) == 0)
c0890d26 5869 {
42e6288f 5870 if (((word >> 31) & 0x1) == 0)
c0890d26 5871 {
42e6288f 5872 if (((word >> 11) & 0x1) == 0)
c0890d26 5873 {
42e6288f
MM
5874 if (((word >> 12) & 0x1) == 0)
5875 {
5876 if (((word >> 10) & 0x1) == 0)
5877 {
5878 /* 33222222222211111111110000000000
5879 10987654321098765432109876543210
5880 000001x0xx1xxxxx010000xxxxxxxxxx
5881 index. */
82c70b08 5882 return 1502;
42e6288f
MM
5883 }
5884 else
5885 {
5886 /* 33222222222211111111110000000000
5887 10987654321098765432109876543210
5888 000001x0xx1xxxxx010001xxxxxxxxxx
5889 index. */
82c70b08 5890 return 1503;
42e6288f
MM
5891 }
5892 }
5893 else
5894 {
5895 if (((word >> 22) & 0x1) == 0)
5896 {
5897 if (((word >> 23) & 0x1) == 0)
5898 {
5899 /* 33222222222211111111110000000000
5900 10987654321098765432109876543210
5901 000001x0001xxxxx01010xxxxxxxxxxx
5902 addvl. */
82c70b08 5903 return 1289;
42e6288f
MM
5904 }
5905 else
5906 {
5907 /* 33222222222211111111110000000000
5908 10987654321098765432109876543210
5909 000001x0101xxxxx01010xxxxxxxxxxx
5910 rdvl. */
82c70b08 5911 return 1811;
42e6288f
MM
5912 }
5913 }
5914 else
5915 {
5916 /* 33222222222211111111110000000000
5917 10987654321098765432109876543210
5918 000001x0x11xxxxx01010xxxxxxxxxxx
5919 addpl. */
82c70b08 5920 return 1288;
42e6288f
MM
5921 }
5922 }
5923 }
5924 else
5925 {
5926 if (((word >> 10) & 0x1) == 0)
c0890d26
RS
5927 {
5928 /* 33222222222211111111110000000000
5929 10987654321098765432109876543210
42e6288f
MM
5930 000001x0xx1xxxxx010x10xxxxxxxxxx
5931 index. */
82c70b08 5932 return 1504;
c0890d26
RS
5933 }
5934 else
5935 {
5936 /* 33222222222211111111110000000000
5937 10987654321098765432109876543210
42e6288f
MM
5938 000001x0xx1xxxxx010x11xxxxxxxxxx
5939 index. */
82c70b08 5940 return 1501;
c0890d26
RS
5941 }
5942 }
42e6288f
MM
5943 }
5944 else
5945 {
5946 if (((word >> 23) & 0x1) == 0)
5947 {
5948 /* 33222222222211111111110000000000
5949 10987654321098765432109876543210
5950 100001x00x1xxxxx010xxxxxxxxxxxxx
5951 prfw. */
82c70b08 5952 return 1795;
42e6288f 5953 }
c0890d26
RS
5954 else
5955 {
5956 /* 33222222222211111111110000000000
5957 10987654321098765432109876543210
42e6288f
MM
5958 100001x01x1xxxxx010xxxxxxxxxxxxx
5959 ld1h. */
82c70b08 5960 return 1532;
c0890d26
RS
5961 }
5962 }
42e6288f
MM
5963 }
5964 else
5965 {
5966 if (((word >> 23) & 0x1) == 0)
c0890d26 5967 {
582e12bf
RS
5968 /* 33222222222211111111110000000000
5969 10987654321098765432109876543210
42e6288f
MM
5970 x10001x00x1xxxxx010xxxxxxxxxxxxx
5971 prfw. */
82c70b08 5972 return 1797;
c0890d26 5973 }
42e6288f 5974 else
c0890d26 5975 {
582e12bf 5976 if (((word >> 31) & 0x1) == 0)
c0890d26 5977 {
582e12bf
RS
5978 if (((word >> 22) & 0x1) == 0)
5979 {
5980 /* 33222222222211111111110000000000
5981 10987654321098765432109876543210
42e6288f
MM
5982 010001x0101xxxxx010xxxxxxxxxxxxx
5983 cdot. */
82c70b08 5984 return 2069;
582e12bf
RS
5985 }
5986 else
5987 {
5988 /* 33222222222211111111110000000000
5989 10987654321098765432109876543210
42e6288f
MM
5990 010001x0111xxxxx010xxxxxxxxxxxxx
5991 cdot. */
82c70b08 5992 return 2068;
582e12bf 5993 }
c0890d26
RS
5994 }
5995 else
5996 {
5997 /* 33222222222211111111110000000000
5998 10987654321098765432109876543210
42e6288f
MM
5999 110001x01x1xxxxx010xxxxxxxxxxxxx
6000 ld1h. */
82c70b08 6001 return 1537;
c0890d26
RS
6002 }
6003 }
c0890d26
RS
6004 }
6005 }
6006 }
6007 else
6008 {
582e12bf 6009 if (((word >> 30) & 0x1) == 0)
c0890d26 6010 {
582e12bf 6011 if (((word >> 31) & 0x1) == 0)
c0890d26 6012 {
582e12bf 6013 if (((word >> 21) & 0x1) == 0)
c0890d26 6014 {
42e6288f
MM
6015 /* 33222222222211111111110000000000
6016 10987654321098765432109876543210
6017 000001x0xx0xxxxx110xxxxxxxxxxxxx
6018 mad. */
82c70b08 6019 return 1749;
42e6288f
MM
6020 }
6021 else
6022 {
6023 if (((word >> 10) & 0x1) == 0)
c0890d26 6024 {
42e6288f 6025 if (((word >> 11) & 0x1) == 0)
c0890d26 6026 {
42e6288f 6027 if (((word >> 20) & 0x1) == 0)
c0890d26 6028 {
42e6288f 6029 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
6030 {
6031 /* 33222222222211111111110000000000
6032 10987654321098765432109876543210
42e6288f
MM
6033 000001x0x010xxxx110x00xxxxxxxxxx
6034 sqincw. */
82c70b08 6035 return 1869;
c0890d26
RS
6036 }
6037 else
6038 {
42e6288f
MM
6039 if (((word >> 23) & 0x1) == 0)
6040 {
6041 /* 33222222222211111111110000000000
6042 10987654321098765432109876543210
6043 000001x00110xxxx110x00xxxxxxxxxx
6044 sqinch. */
82c70b08 6045 return 1863;
42e6288f
MM
6046 }
6047 else
6048 {
6049 /* 33222222222211111111110000000000
6050 10987654321098765432109876543210
6051 000001x01110xxxx110x00xxxxxxxxxx
6052 sqincd. */
82c70b08 6053 return 1860;
42e6288f 6054 }
c0890d26
RS
6055 }
6056 }
6057 else
6058 {
42e6288f 6059 if (((word >> 22) & 0x1) == 0)
582e12bf
RS
6060 {
6061 /* 33222222222211111111110000000000
6062 10987654321098765432109876543210
42e6288f
MM
6063 000001x0x011xxxx110x00xxxxxxxxxx
6064 incw. */
82c70b08 6065 return 1499;
582e12bf
RS
6066 }
6067 else
6068 {
42e6288f
MM
6069 if (((word >> 23) & 0x1) == 0)
6070 {
6071 /* 33222222222211111111110000000000
6072 10987654321098765432109876543210
6073 000001x00111xxxx110x00xxxxxxxxxx
6074 inch. */
82c70b08 6075 return 1495;
42e6288f
MM
6076 }
6077 else
6078 {
6079 /* 33222222222211111111110000000000
6080 10987654321098765432109876543210
6081 000001x01111xxxx110x00xxxxxxxxxx
6082 incd. */
82c70b08 6083 return 1493;
42e6288f 6084 }
582e12bf 6085 }
c0890d26
RS
6086 }
6087 }
6088 else
6089 {
42e6288f 6090 if (((word >> 22) & 0x1) == 0)
c0890d26 6091 {
42e6288f
MM
6092 /* 33222222222211111111110000000000
6093 10987654321098765432109876543210
6094 000001x0x01xxxxx110x10xxxxxxxxxx
6095 sqdecw. */
82c70b08 6096 return 1855;
c0890d26
RS
6097 }
6098 else
6099 {
42e6288f 6100 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
6101 {
6102 /* 33222222222211111111110000000000
6103 10987654321098765432109876543210
42e6288f
MM
6104 000001x0011xxxxx110x10xxxxxxxxxx
6105 sqdech. */
82c70b08 6106 return 1849;
c0890d26
RS
6107 }
6108 else
6109 {
6110 /* 33222222222211111111110000000000
6111 10987654321098765432109876543210
42e6288f
MM
6112 000001x0111xxxxx110x10xxxxxxxxxx
6113 sqdecd. */
82c70b08 6114 return 1846;
c0890d26
RS
6115 }
6116 }
6117 }
6118 }
6119 else
6120 {
42e6288f 6121 if (((word >> 11) & 0x1) == 0)
c0890d26 6122 {
42e6288f 6123 if (((word >> 20) & 0x1) == 0)
582e12bf 6124 {
42e6288f 6125 if (((word >> 22) & 0x1) == 0)
582e12bf
RS
6126 {
6127 /* 33222222222211111111110000000000
6128 10987654321098765432109876543210
42e6288f
MM
6129 000001x0x010xxxx110x01xxxxxxxxxx
6130 uqincw. */
82c70b08 6131 return 2017;
582e12bf
RS
6132 }
6133 else
6134 {
42e6288f
MM
6135 if (((word >> 23) & 0x1) == 0)
6136 {
6137 /* 33222222222211111111110000000000
6138 10987654321098765432109876543210
6139 000001x00110xxxx110x01xxxxxxxxxx
6140 uqinch. */
82c70b08 6141 return 2011;
42e6288f
MM
6142 }
6143 else
6144 {
6145 /* 33222222222211111111110000000000
6146 10987654321098765432109876543210
6147 000001x01110xxxx110x01xxxxxxxxxx
6148 uqincd. */
82c70b08 6149 return 2008;
42e6288f 6150 }
582e12bf
RS
6151 }
6152 }
6153 else
6154 {
42e6288f 6155 if (((word >> 22) & 0x1) == 0)
582e12bf
RS
6156 {
6157 /* 33222222222211111111110000000000
6158 10987654321098765432109876543210
42e6288f
MM
6159 000001x0x011xxxx110x01xxxxxxxxxx
6160 decw. */
82c70b08 6161 return 1374;
42e6288f
MM
6162 }
6163 else
582e12bf 6164 {
42e6288f
MM
6165 if (((word >> 23) & 0x1) == 0)
6166 {
6167 /* 33222222222211111111110000000000
6168 10987654321098765432109876543210
6169 000001x00111xxxx110x01xxxxxxxxxx
6170 dech. */
82c70b08 6171 return 1370;
42e6288f
MM
6172 }
6173 else
6174 {
6175 /* 33222222222211111111110000000000
6176 10987654321098765432109876543210
6177 000001x01111xxxx110x01xxxxxxxxxx
6178 decd. */
82c70b08 6179 return 1368;
42e6288f 6180 }
582e12bf
RS
6181 }
6182 }
6183 }
6184 else
6185 {
42e6288f 6186 if (((word >> 22) & 0x1) == 0)
582e12bf 6187 {
42e6288f
MM
6188 /* 33222222222211111111110000000000
6189 10987654321098765432109876543210
6190 000001x0x01xxxxx110x11xxxxxxxxxx
6191 uqdecw. */
82c70b08 6192 return 2003;
42e6288f
MM
6193 }
6194 else
6195 {
6196 if (((word >> 23) & 0x1) == 0)
582e12bf
RS
6197 {
6198 /* 33222222222211111111110000000000
6199 10987654321098765432109876543210
42e6288f
MM
6200 000001x0011xxxxx110x11xxxxxxxxxx
6201 uqdech. */
82c70b08 6202 return 1997;
582e12bf
RS
6203 }
6204 else
6205 {
6206 /* 33222222222211111111110000000000
6207 10987654321098765432109876543210
42e6288f
MM
6208 000001x0111xxxxx110x11xxxxxxxxxx
6209 uqdecd. */
82c70b08 6210 return 1994;
582e12bf
RS
6211 }
6212 }
582e12bf
RS
6213 }
6214 }
6215 }
42e6288f
MM
6216 }
6217 else
6218 {
6219 if (((word >> 22) & 0x1) == 0)
582e12bf 6220 {
42e6288f 6221 if (((word >> 21) & 0x1) == 0)
582e12bf
RS
6222 {
6223 if (((word >> 23) & 0x1) == 0)
6224 {
42e6288f
MM
6225 /* 33222222222211111111110000000000
6226 10987654321098765432109876543210
6227 100001x0000xxxxx110xxxxxxxxxxxxx
6228 prfb. */
82c70b08 6229 return 1774;
c0890d26
RS
6230 }
6231 else
6232 {
6233 /* 33222222222211111111110000000000
6234 10987654321098765432109876543210
42e6288f
MM
6235 100001x0100xxxxx110xxxxxxxxxxxxx
6236 prfh. */
82c70b08 6237 return 1789;
582e12bf
RS
6238 }
6239 }
6240 else
6241 {
42e6288f 6242 if (((word >> 23) & 0x1) == 0)
582e12bf 6243 {
42e6288f
MM
6244 /* 33222222222211111111110000000000
6245 10987654321098765432109876543210
6246 100001x0001xxxxx110xxxxxxxxxxxxx
6247 ld1b. */
82c70b08 6248 return 1518;
582e12bf
RS
6249 }
6250 else
6251 {
6252 /* 33222222222211111111110000000000
6253 10987654321098765432109876543210
42e6288f
MM
6254 100001x0101xxxxx110xxxxxxxxxxxxx
6255 ld1h. */
82c70b08 6256 return 1540;
c0890d26
RS
6257 }
6258 }
6259 }
582e12bf
RS
6260 else
6261 {
6262 if (((word >> 23) & 0x1) == 0)
6263 {
6264 /* 33222222222211111111110000000000
6265 10987654321098765432109876543210
42e6288f 6266 100001x001xxxxxx110xxxxxxxxxxxxx
582e12bf 6267 ld1rb. */
82c70b08 6268 return 1547;
582e12bf
RS
6269 }
6270 else
6271 {
6272 /* 33222222222211111111110000000000
6273 10987654321098765432109876543210
42e6288f 6274 100001x011xxxxxx110xxxxxxxxxxxxx
582e12bf 6275 ld1rh. */
82c70b08 6276 return 1551;
c0890d26
RS
6277 }
6278 }
6279 }
582e12bf
RS
6280 }
6281 else
6282 {
6283 if (((word >> 21) & 0x1) == 0)
c0890d26 6284 {
582e12bf 6285 if (((word >> 22) & 0x1) == 0)
c0890d26 6286 {
582e12bf 6287 if (((word >> 23) & 0x1) == 0)
c0890d26 6288 {
582e12bf
RS
6289 /* 33222222222211111111110000000000
6290 10987654321098765432109876543210
42e6288f
MM
6291 x10001x0000xxxxx110xxxxxxxxxxxxx
6292 ldnt1b. */
82c70b08 6293 return 2100;
c0890d26
RS
6294 }
6295 else
6296 {
6297 /* 33222222222211111111110000000000
6298 10987654321098765432109876543210
42e6288f
MM
6299 x10001x0100xxxxx110xxxxxxxxxxxxx
6300 ldnt1h. */
82c70b08 6301 return 2103;
c0890d26
RS
6302 }
6303 }
6304 else
6305 {
582e12bf
RS
6306 if (((word >> 23) & 0x1) == 0)
6307 {
6308 /* 33222222222211111111110000000000
6309 10987654321098765432109876543210
42e6288f
MM
6310 x10001x0010xxxxx110xxxxxxxxxxxxx
6311 ld1b. */
82c70b08 6312 return 1517;
582e12bf
RS
6313 }
6314 else
6315 {
6316 /* 33222222222211111111110000000000
6317 10987654321098765432109876543210
42e6288f
MM
6318 x10001x0110xxxxx110xxxxxxxxxxxxx
6319 ld1h. */
82c70b08 6320 return 1538;
582e12bf 6321 }
c0890d26
RS
6322 }
6323 }
42e6288f 6324 else
c0890d26 6325 {
42e6288f 6326 if (((word >> 22) & 0x1) == 0)
582e12bf
RS
6327 {
6328 if (((word >> 23) & 0x1) == 0)
c0890d26 6329 {
582e12bf
RS
6330 /* 33222222222211111111110000000000
6331 10987654321098765432109876543210
42e6288f
MM
6332 x10001x0001xxxxx110xxxxxxxxxxxxx
6333 ld1b. */
82c70b08 6334 return 1523;
c0890d26
RS
6335 }
6336 else
6337 {
42e6288f
MM
6338 if (((word >> 31) & 0x1) == 0)
6339 {
6340 if (((word >> 10) & 0x1) == 0)
6341 {
6342 if (((word >> 12) & 0x1) == 0)
6343 {
6344 /* 33222222222211111111110000000000
6345 10987654321098765432109876543210
6346 010001x0101xxxxx1100x0xxxxxxxxxx
6347 smullb. */
82c70b08 6348 return 2165;
42e6288f
MM
6349 }
6350 else
6351 {
6352 /* 33222222222211111111110000000000
6353 10987654321098765432109876543210
6354 010001x0101xxxxx1101x0xxxxxxxxxx
6355 umullb. */
82c70b08 6356 return 2290;
42e6288f
MM
6357 }
6358 }
6359 else
6360 {
6361 if (((word >> 12) & 0x1) == 0)
6362 {
6363 /* 33222222222211111111110000000000
6364 10987654321098765432109876543210
6365 010001x0101xxxxx1100x1xxxxxxxxxx
6366 smullt. */
82c70b08 6367 return 2168;
42e6288f
MM
6368 }
6369 else
6370 {
6371 /* 33222222222211111111110000000000
6372 10987654321098765432109876543210
6373 010001x0101xxxxx1101x1xxxxxxxxxx
6374 umullt. */
82c70b08 6375 return 2293;
42e6288f
MM
6376 }
6377 }
6378 }
6379 else
6380 {
6381 /* 33222222222211111111110000000000
6382 10987654321098765432109876543210
6383 110001x0101xxxxx110xxxxxxxxxxxxx
6384 ld1h. */
82c70b08 6385 return 1544;
42e6288f 6386 }
c0890d26
RS
6387 }
6388 }
6389 else
6390 {
42e6288f
MM
6391 if (((word >> 23) & 0x1) == 0)
6392 {
6393 /* 33222222222211111111110000000000
6394 10987654321098765432109876543210
6395 x10001x0011xxxxx110xxxxxxxxxxxxx
6396 prfw. */
82c70b08 6397 return 1798;
42e6288f
MM
6398 }
6399 else
6400 {
6401 if (((word >> 31) & 0x1) == 0)
6402 {
6403 if (((word >> 10) & 0x1) == 0)
6404 {
6405 if (((word >> 12) & 0x1) == 0)
6406 {
6407 /* 33222222222211111111110000000000
6408 10987654321098765432109876543210
6409 010001x0111xxxxx1100x0xxxxxxxxxx
6410 smullb. */
82c70b08 6411 return 2166;
42e6288f
MM
6412 }
6413 else
6414 {
6415 /* 33222222222211111111110000000000
6416 10987654321098765432109876543210
6417 010001x0111xxxxx1101x0xxxxxxxxxx
6418 umullb. */
82c70b08 6419 return 2291;
42e6288f
MM
6420 }
6421 }
6422 else
6423 {
6424 if (((word >> 12) & 0x1) == 0)
6425 {
6426 /* 33222222222211111111110000000000
6427 10987654321098765432109876543210
6428 010001x0111xxxxx1100x1xxxxxxxxxx
6429 smullt. */
82c70b08 6430 return 2169;
42e6288f
MM
6431 }
6432 else
6433 {
6434 /* 33222222222211111111110000000000
6435 10987654321098765432109876543210
6436 010001x0111xxxxx1101x1xxxxxxxxxx
6437 umullt. */
82c70b08 6438 return 2294;
42e6288f
MM
6439 }
6440 }
6441 }
6442 else
6443 {
6444 /* 33222222222211111111110000000000
6445 10987654321098765432109876543210
6446 110001x0111xxxxx110xxxxxxxxxxxxx
6447 ld1h. */
82c70b08 6448 return 1539;
42e6288f
MM
6449 }
6450 }
c0890d26
RS
6451 }
6452 }
6453 }
6454 }
42e6288f
MM
6455 }
6456 }
6457 else
6458 {
6459 if (((word >> 14) & 0x1) == 0)
6460 {
6461 if (((word >> 15) & 0x1) == 0)
c0890d26 6462 {
42e6288f 6463 if (((word >> 21) & 0x1) == 0)
c0890d26 6464 {
42e6288f 6465 if (((word >> 30) & 0x1) == 0)
c0890d26 6466 {
42e6288f 6467 if (((word >> 31) & 0x1) == 0)
582e12bf 6468 {
42e6288f 6469 if (((word >> 17) & 0x1) == 0)
c0890d26 6470 {
42e6288f 6471 if (((word >> 19) & 0x1) == 0)
c0890d26 6472 {
42e6288f 6473 if (((word >> 20) & 0x1) == 0)
c0890d26 6474 {
42e6288f 6475 if (((word >> 16) & 0x1) == 0)
c0890d26 6476 {
42e6288f
MM
6477 /* 33222222222211111111110000000000
6478 10987654321098765432109876543210
6479 000001x0xx000x00001xxxxxxxxxxxxx
6480 saddv. */
82c70b08 6481 return 1818;
c0890d26
RS
6482 }
6483 else
6484 {
42e6288f
MM
6485 /* 33222222222211111111110000000000
6486 10987654321098765432109876543210
6487 000001x0xx000x01001xxxxxxxxxxxxx
6488 uaddv. */
82c70b08 6489 return 1970;
c0890d26
RS
6490 }
6491 }
6492 else
42e6288f
MM
6493 {
6494 /* 33222222222211111111110000000000
6495 10987654321098765432109876543210
6496 000001x0xx010x0x001xxxxxxxxxxxxx
6497 movprfx. */
82c70b08 6498 return 1753;
42e6288f
MM
6499 }
6500 }
6501 else
6502 {
6503 if (((word >> 16) & 0x1) == 0)
c0890d26 6504 {
582e12bf 6505 if (((word >> 20) & 0x1) == 0)
c0890d26 6506 {
42e6288f
MM
6507 /* 33222222222211111111110000000000
6508 10987654321098765432109876543210
6509 000001x0xx001x00001xxxxxxxxxxxxx
6510 smaxv. */
82c70b08 6511 return 1836;
c0890d26
RS
6512 }
6513 else
6514 {
42e6288f
MM
6515 /* 33222222222211111111110000000000
6516 10987654321098765432109876543210
6517 000001x0xx011x00001xxxxxxxxxxxxx
6518 orv. */
82c70b08 6519 return 1770;
c0890d26
RS
6520 }
6521 }
42e6288f 6522 else
c0890d26 6523 {
42e6288f 6524 if (((word >> 20) & 0x1) == 0)
c0890d26 6525 {
42e6288f
MM
6526 /* 33222222222211111111110000000000
6527 10987654321098765432109876543210
6528 000001x0xx001x01001xxxxxxxxxxxxx
6529 umaxv. */
82c70b08 6530 return 1985;
c0890d26
RS
6531 }
6532 else
6533 {
42e6288f
MM
6534 /* 33222222222211111111110000000000
6535 10987654321098765432109876543210
6536 000001x0xx011x01001xxxxxxxxxxxxx
6537 eorv. */
82c70b08 6538 return 1385;
c0890d26
RS
6539 }
6540 }
42e6288f
MM
6541 }
6542 }
6543 else
6544 {
6545 if (((word >> 16) & 0x1) == 0)
6546 {
6547 if (((word >> 20) & 0x1) == 0)
6548 {
6549 /* 33222222222211111111110000000000
6550 10987654321098765432109876543210
6551 000001x0xx00xx10001xxxxxxxxxxxxx
6552 sminv. */
82c70b08 6553 return 1839;
42e6288f 6554 }
c0890d26
RS
6555 else
6556 {
42e6288f
MM
6557 /* 33222222222211111111110000000000
6558 10987654321098765432109876543210
6559 000001x0xx01xx10001xxxxxxxxxxxxx
6560 andv. */
82c70b08 6561 return 1298;
c0890d26
RS
6562 }
6563 }
42e6288f
MM
6564 else
6565 {
6566 /* 33222222222211111111110000000000
6567 10987654321098765432109876543210
6568 000001x0xx0xxx11001xxxxxxxxxxxxx
6569 uminv. */
82c70b08 6570 return 1988;
42e6288f
MM
6571 }
6572 }
6573 }
6574 else
6575 {
6576 if (((word >> 23) & 0x1) == 0)
6577 {
6578 /* 33222222222211111111110000000000
6579 10987654321098765432109876543210
6580 100001x00x0xxxxx001xxxxxxxxxxxxx
6581 ldff1sb. */
82c70b08 6582 return 1669;
42e6288f
MM
6583 }
6584 else
6585 {
6586 /* 33222222222211111111110000000000
6587 10987654321098765432109876543210
6588 100001x01x0xxxxx001xxxxxxxxxxxxx
6589 ldff1sh. */
82c70b08 6590 return 1680;
42e6288f
MM
6591 }
6592 }
6593 }
6594 else
6595 {
6596 if (((word >> 31) & 0x1) == 0)
6597 {
6598 if (((word >> 12) & 0x1) == 0)
6599 {
6600 /* 33222222222211111111110000000000
6601 10987654321098765432109876543210
6602 010001x0xx0xxxxx0010xxxxxxxxxxxx
6603 cmla. */
82c70b08 6604 return 2070;
42e6288f
MM
6605 }
6606 else
6607 {
6608 /* 33222222222211111111110000000000
6609 10987654321098765432109876543210
6610 010001x0xx0xxxxx0011xxxxxxxxxxxx
6611 sqrdcmlah. */
82c70b08 6612 return 2202;
42e6288f
MM
6613 }
6614 }
6615 else
6616 {
6617 if (((word >> 23) & 0x1) == 0)
6618 {
6619 /* 33222222222211111111110000000000
6620 10987654321098765432109876543210
6621 110001x00x0xxxxx001xxxxxxxxxxxxx
6622 ldff1sb. */
82c70b08 6623 return 1676;
c0890d26
RS
6624 }
6625 else
42e6288f
MM
6626 {
6627 /* 33222222222211111111110000000000
6628 10987654321098765432109876543210
6629 110001x01x0xxxxx001xxxxxxxxxxxxx
6630 ldff1sh. */
82c70b08 6631 return 1686;
42e6288f
MM
6632 }
6633 }
6634 }
6635 }
6636 else
6637 {
6638 if (((word >> 30) & 0x1) == 0)
6639 {
6640 if (((word >> 31) & 0x1) == 0)
6641 {
6642 if (((word >> 10) & 0x1) == 0)
c0890d26
RS
6643 {
6644 if (((word >> 11) & 0x1) == 0)
6645 {
42e6288f 6646 if (((word >> 22) & 0x1) == 0)
c0890d26 6647 {
42e6288f 6648 if (((word >> 23) & 0x1) == 0)
c0890d26 6649 {
42e6288f
MM
6650 /* 33222222222211111111110000000000
6651 10987654321098765432109876543210
6652 000001x0001xxxxx001x00xxxxxxxxxx
6653 and. */
82c70b08 6654 return 1293;
c0890d26
RS
6655 }
6656 else
6657 {
42e6288f
MM
6658 /* 33222222222211111111110000000000
6659 10987654321098765432109876543210
6660 000001x0101xxxxx001x00xxxxxxxxxx
6661 eor. */
82c70b08 6662 return 1380;
c0890d26
RS
6663 }
6664 }
6665 else
6666 {
42e6288f 6667 if (((word >> 23) & 0x1) == 0)
c0890d26 6668 {
42e6288f
MM
6669 /* 33222222222211111111110000000000
6670 10987654321098765432109876543210
6671 000001x0011xxxxx001x00xxxxxxxxxx
6672 orr. */
82c70b08 6673 return 1765;
c0890d26
RS
6674 }
6675 else
6676 {
42e6288f
MM
6677 /* 33222222222211111111110000000000
6678 10987654321098765432109876543210
6679 000001x0111xxxxx001x00xxxxxxxxxx
6680 bic. */
82c70b08 6681 return 1306;
c0890d26
RS
6682 }
6683 }
6684 }
6685 else
6686 {
42e6288f 6687 if (((word >> 22) & 0x1) == 0)
c0890d26 6688 {
42e6288f
MM
6689 /* 33222222222211111111110000000000
6690 10987654321098765432109876543210
6691 000001x0x01xxxxx001x10xxxxxxxxxx
6692 eor3. */
82c70b08 6693 return 2073;
c0890d26
RS
6694 }
6695 else
6696 {
42e6288f
MM
6697 /* 33222222222211111111110000000000
6698 10987654321098765432109876543210
6699 000001x0x11xxxxx001x10xxxxxxxxxx
6700 bcax. */
82c70b08 6701 return 2062;
c0890d26
RS
6702 }
6703 }
6704 }
582e12bf
RS
6705 else
6706 {
42e6288f 6707 if (((word >> 11) & 0x1) == 0)
582e12bf
RS
6708 {
6709 /* 33222222222211111111110000000000
6710 10987654321098765432109876543210
42e6288f
MM
6711 000001x0xx1xxxxx001x01xxxxxxxxxx
6712 xar. */
82c70b08 6713 return 2335;
582e12bf
RS
6714 }
6715 else
6716 {
42e6288f
MM
6717 if (((word >> 22) & 0x1) == 0)
6718 {
6719 if (((word >> 23) & 0x1) == 0)
6720 {
6721 /* 33222222222211111111110000000000
6722 10987654321098765432109876543210
6723 000001x0001xxxxx001x11xxxxxxxxxx
6724 bsl. */
82c70b08 6725 return 2063;
42e6288f
MM
6726 }
6727 else
6728 {
6729 /* 33222222222211111111110000000000
6730 10987654321098765432109876543210
6731 000001x0101xxxxx001x11xxxxxxxxxx
6732 bsl2n. */
82c70b08 6733 return 2065;
42e6288f
MM
6734 }
6735 }
6736 else
6737 {
6738 if (((word >> 23) & 0x1) == 0)
6739 {
6740 /* 33222222222211111111110000000000
6741 10987654321098765432109876543210
6742 000001x0011xxxxx001x11xxxxxxxxxx
6743 bsl1n. */
82c70b08 6744 return 2064;
42e6288f
MM
6745 }
6746 else
6747 {
6748 /* 33222222222211111111110000000000
6749 10987654321098765432109876543210
6750 000001x0111xxxxx001x11xxxxxxxxxx
6751 nbsl. */
82c70b08 6752 return 2120;
42e6288f
MM
6753 }
6754 }
582e12bf
RS
6755 }
6756 }
6757 }
6758 else
6759 {
6760 if (((word >> 23) & 0x1) == 0)
6761 {
6762 /* 33222222222211111111110000000000
6763 10987654321098765432109876543210
42e6288f
MM
6764 100001x00x1xxxxx001xxxxxxxxxxxxx
6765 prfh. */
82c70b08 6766 return 1788;
582e12bf
RS
6767 }
6768 else
6769 {
6770 /* 33222222222211111111110000000000
6771 10987654321098765432109876543210
42e6288f
MM
6772 100001x01x1xxxxx001xxxxxxxxxxxxx
6773 ldff1sh. */
82c70b08 6774 return 1681;
582e12bf
RS
6775 }
6776 }
6777 }
42e6288f 6778 else
582e12bf 6779 {
42e6288f 6780 if (((word >> 23) & 0x1) == 0)
582e12bf 6781 {
42e6288f
MM
6782 /* 33222222222211111111110000000000
6783 10987654321098765432109876543210
6784 x10001x00x1xxxxx001xxxxxxxxxxxxx
6785 prfh. */
82c70b08 6786 return 1790;
42e6288f
MM
6787 }
6788 else
6789 {
6790 if (((word >> 31) & 0x1) == 0)
6791 {
6792 if (((word >> 10) & 0x1) == 0)
6793 {
6794 if (((word >> 12) & 0x1) == 0)
6795 {
6796 if (((word >> 22) & 0x1) == 0)
6797 {
6798 /* 33222222222211111111110000000000
6799 10987654321098765432109876543210
6800 010001x0101xxxxx0010x0xxxxxxxxxx
6801 sqdmlalb. */
82c70b08 6802 return 2175;
42e6288f
MM
6803 }
6804 else
6805 {
6806 /* 33222222222211111111110000000000
6807 10987654321098765432109876543210
6808 010001x0111xxxxx0010x0xxxxxxxxxx
6809 sqdmlalb. */
82c70b08 6810 return 2176;
42e6288f
MM
6811 }
6812 }
6813 else
6814 {
6815 if (((word >> 22) & 0x1) == 0)
6816 {
6817 /* 33222222222211111111110000000000
6818 10987654321098765432109876543210
6819 010001x0101xxxxx0011x0xxxxxxxxxx
6820 sqdmlslb. */
82c70b08 6821 return 2182;
42e6288f
MM
6822 }
6823 else
6824 {
6825 /* 33222222222211111111110000000000
6826 10987654321098765432109876543210
6827 010001x0111xxxxx0011x0xxxxxxxxxx
6828 sqdmlslb. */
82c70b08 6829 return 2183;
42e6288f
MM
6830 }
6831 }
6832 }
6833 else
6834 {
6835 if (((word >> 12) & 0x1) == 0)
6836 {
6837 if (((word >> 22) & 0x1) == 0)
6838 {
6839 /* 33222222222211111111110000000000
6840 10987654321098765432109876543210
6841 010001x0101xxxxx0010x1xxxxxxxxxx
6842 sqdmlalt. */
82c70b08 6843 return 2179;
42e6288f
MM
6844 }
6845 else
6846 {
6847 /* 33222222222211111111110000000000
6848 10987654321098765432109876543210
6849 010001x0111xxxxx0010x1xxxxxxxxxx
6850 sqdmlalt. */
82c70b08 6851 return 2180;
42e6288f
MM
6852 }
6853 }
6854 else
6855 {
6856 if (((word >> 22) & 0x1) == 0)
6857 {
6858 /* 33222222222211111111110000000000
6859 10987654321098765432109876543210
6860 010001x0101xxxxx0011x1xxxxxxxxxx
6861 sqdmlslt. */
82c70b08 6862 return 2186;
42e6288f
MM
6863 }
6864 else
6865 {
6866 /* 33222222222211111111110000000000
6867 10987654321098765432109876543210
6868 010001x0111xxxxx0011x1xxxxxxxxxx
6869 sqdmlslt. */
82c70b08 6870 return 2187;
42e6288f
MM
6871 }
6872 }
6873 }
6874 }
6875 else
6876 {
6877 /* 33222222222211111111110000000000
6878 10987654321098765432109876543210
6879 110001x01x1xxxxx001xxxxxxxxxxxxx
6880 ldff1sh. */
82c70b08 6881 return 1687;
42e6288f
MM
6882 }
6883 }
6884 }
6885 }
6886 }
6887 else
6888 {
6889 if (((word >> 30) & 0x1) == 0)
6890 {
6891 if (((word >> 31) & 0x1) == 0)
6892 {
6893 if (((word >> 21) & 0x1) == 0)
6894 {
6895 if (((word >> 16) & 0x1) == 0)
6896 {
6897 if (((word >> 17) & 0x1) == 0)
6898 {
6899 if (((word >> 18) & 0x1) == 0)
6900 {
6901 if (((word >> 19) & 0x1) == 0)
6902 {
6903 /* 33222222222211111111110000000000
6904 10987654321098765432109876543210
6905 000001x0xx0x0000101xxxxxxxxxxxxx
6906 sxtb. */
82c70b08 6907 return 1961;
42e6288f
MM
6908 }
6909 else
6910 {
6911 /* 33222222222211111111110000000000
6912 10987654321098765432109876543210
6913 000001x0xx0x1000101xxxxxxxxxxxxx
6914 cls. */
82c70b08 6915 return 1326;
42e6288f
MM
6916 }
6917 }
6918 else
6919 {
6920 if (((word >> 19) & 0x1) == 0)
6921 {
6922 /* 33222222222211111111110000000000
6923 10987654321098765432109876543210
6924 000001x0xx0x0100101xxxxxxxxxxxxx
6925 sxtw. */
82c70b08 6926 return 1963;
42e6288f
MM
6927 }
6928 else
6929 {
6930 /* 33222222222211111111110000000000
6931 10987654321098765432109876543210
6932 000001x0xx0x1100101xxxxxxxxxxxxx
6933 fabs. */
82c70b08 6934 return 1388;
42e6288f
MM
6935 }
6936 }
6937 }
6938 else
6939 {
6940 if (((word >> 18) & 0x1) == 0)
6941 {
6942 if (((word >> 19) & 0x1) == 0)
6943 {
6944 /* 33222222222211111111110000000000
6945 10987654321098765432109876543210
6946 000001x0xx0x0010101xxxxxxxxxxxxx
6947 sxth. */
82c70b08 6948 return 1962;
42e6288f
MM
6949 }
6950 else
6951 {
6952 /* 33222222222211111111110000000000
6953 10987654321098765432109876543210
6954 000001x0xx0x1010101xxxxxxxxxxxxx
6955 cnt. */
82c70b08 6956 return 1355;
42e6288f
MM
6957 }
6958 }
6959 else
6960 {
6961 if (((word >> 19) & 0x1) == 0)
6962 {
6963 /* 33222222222211111111110000000000
6964 10987654321098765432109876543210
6965 000001x0xx0x0110101xxxxxxxxxxxxx
6966 abs. */
82c70b08 6967 return 1284;
42e6288f
MM
6968 }
6969 else
6970 {
6971 /* 33222222222211111111110000000000
6972 10987654321098765432109876543210
6973 000001x0xx0x1110101xxxxxxxxxxxxx
6974 not. */
82c70b08 6975 return 1762;
42e6288f
MM
6976 }
6977 }
6978 }
6979 }
6980 else
6981 {
6982 if (((word >> 17) & 0x1) == 0)
6983 {
6984 if (((word >> 18) & 0x1) == 0)
6985 {
6986 if (((word >> 19) & 0x1) == 0)
6987 {
6988 /* 33222222222211111111110000000000
6989 10987654321098765432109876543210
6990 000001x0xx0x0001101xxxxxxxxxxxxx
6991 uxtb. */
82c70b08 6992 return 2024;
42e6288f
MM
6993 }
6994 else
6995 {
6996 /* 33222222222211111111110000000000
6997 10987654321098765432109876543210
6998 000001x0xx0x1001101xxxxxxxxxxxxx
6999 clz. */
82c70b08 7000 return 1327;
42e6288f
MM
7001 }
7002 }
7003 else
7004 {
7005 if (((word >> 19) & 0x1) == 0)
7006 {
7007 /* 33222222222211111111110000000000
7008 10987654321098765432109876543210
7009 000001x0xx0x0101101xxxxxxxxxxxxx
7010 uxtw. */
82c70b08 7011 return 2026;
42e6288f
MM
7012 }
7013 else
7014 {
7015 /* 33222222222211111111110000000000
7016 10987654321098765432109876543210
7017 000001x0xx0x1101101xxxxxxxxxxxxx
7018 fneg. */
82c70b08 7019 return 1465;
42e6288f
MM
7020 }
7021 }
7022 }
7023 else
7024 {
7025 if (((word >> 18) & 0x1) == 0)
7026 {
7027 if (((word >> 19) & 0x1) == 0)
7028 {
7029 /* 33222222222211111111110000000000
7030 10987654321098765432109876543210
7031 000001x0xx0x0011101xxxxxxxxxxxxx
7032 uxth. */
82c70b08 7033 return 2025;
42e6288f
MM
7034 }
7035 else
7036 {
7037 /* 33222222222211111111110000000000
7038 10987654321098765432109876543210
7039 000001x0xx0x1011101xxxxxxxxxxxxx
7040 cnot. */
82c70b08 7041 return 1354;
42e6288f
MM
7042 }
7043 }
7044 else
7045 {
7046 /* 33222222222211111111110000000000
7047 10987654321098765432109876543210
7048 000001x0xx0xx111101xxxxxxxxxxxxx
7049 neg. */
82c70b08 7050 return 1759;
42e6288f
MM
7051 }
7052 }
7053 }
7054 }
7055 else
7056 {
7057 if (((word >> 12) & 0x1) == 0)
7058 {
7059 if (((word >> 23) & 0x1) == 0)
7060 {
7061 if (((word >> 22) & 0x1) == 0)
7062 {
7063 /* 33222222222211111111110000000000
7064 10987654321098765432109876543210
7065 000001x0001xxxxx1010xxxxxxxxxxxx
7066 adr. */
82c70b08 7067 return 1290;
42e6288f
MM
7068 }
7069 else
7070 {
7071 /* 33222222222211111111110000000000
7072 10987654321098765432109876543210
7073 000001x0011xxxxx1010xxxxxxxxxxxx
7074 adr. */
82c70b08 7075 return 1291;
42e6288f
MM
7076 }
7077 }
7078 else
7079 {
7080 /* 33222222222211111111110000000000
7081 10987654321098765432109876543210
7082 000001x01x1xxxxx1010xxxxxxxxxxxx
7083 adr. */
82c70b08 7084 return 1292;
42e6288f
MM
7085 }
7086 }
7087 else
7088 {
7089 if (((word >> 10) & 0x1) == 0)
7090 {
7091 if (((word >> 11) & 0x1) == 0)
7092 {
7093 /* 33222222222211111111110000000000
7094 10987654321098765432109876543210
7095 000001x0xx1xxxxx101100xxxxxxxxxx
7096 ftssel. */
82c70b08 7097 return 1491;
42e6288f
MM
7098 }
7099 else
7100 {
7101 /* 33222222222211111111110000000000
7102 10987654321098765432109876543210
7103 000001x0xx1xxxxx101110xxxxxxxxxx
7104 fexpa. */
82c70b08 7105 return 1435;
42e6288f
MM
7106 }
7107 }
7108 else
7109 {
7110 /* 33222222222211111111110000000000
7111 10987654321098765432109876543210
7112 000001x0xx1xxxxx1011x1xxxxxxxxxx
7113 movprfx. */
82c70b08 7114 return 1752;
42e6288f
MM
7115 }
7116 }
7117 }
7118 }
7119 else
7120 {
7121 if (((word >> 22) & 0x1) == 0)
7122 {
7123 if (((word >> 21) & 0x1) == 0)
7124 {
7125 if (((word >> 23) & 0x1) == 0)
7126 {
7127 /* 33222222222211111111110000000000
7128 10987654321098765432109876543210
7129 100001x0000xxxxx101xxxxxxxxxxxxx
7130 ldnt1b. */
82c70b08 7131 return 2099;
42e6288f
MM
7132 }
7133 else
7134 {
7135 /* 33222222222211111111110000000000
7136 10987654321098765432109876543210
7137 100001x0100xxxxx101xxxxxxxxxxxxx
7138 ldnt1h. */
82c70b08 7139 return 2102;
42e6288f
MM
7140 }
7141 }
7142 else
7143 {
7144 if (((word >> 23) & 0x1) == 0)
7145 {
7146 /* 33222222222211111111110000000000
7147 10987654321098765432109876543210
7148 100001x0001xxxxx101xxxxxxxxxxxxx
7149 ldff1sb. */
82c70b08 7150 return 1678;
42e6288f
MM
7151 }
7152 else
7153 {
7154 /* 33222222222211111111110000000000
7155 10987654321098765432109876543210
7156 100001x0101xxxxx101xxxxxxxxxxxxx
7157 ldff1sh. */
82c70b08 7158 return 1690;
42e6288f
MM
7159 }
7160 }
7161 }
7162 else
7163 {
7164 if (((word >> 23) & 0x1) == 0)
7165 {
7166 /* 33222222222211111111110000000000
7167 10987654321098765432109876543210
7168 100001x001xxxxxx101xxxxxxxxxxxxx
7169 ld1rb. */
82c70b08 7170 return 1546;
42e6288f
MM
7171 }
7172 else
7173 {
7174 /* 33222222222211111111110000000000
7175 10987654321098765432109876543210
7176 100001x011xxxxxx101xxxxxxxxxxxxx
7177 ld1rh. */
82c70b08 7178 return 1550;
42e6288f
MM
7179 }
7180 }
7181 }
7182 }
7183 else
7184 {
7185 if (((word >> 21) & 0x1) == 0)
7186 {
7187 if (((word >> 31) & 0x1) == 0)
7188 {
7189 if (((word >> 16) & 0x1) == 0)
7190 {
7191 if (((word >> 17) & 0x1) == 0)
7192 {
7193 if (((word >> 18) & 0x1) == 0)
7194 {
7195 if (((word >> 19) & 0x1) == 0)
7196 {
7197 /* 33222222222211111111110000000000
7198 10987654321098765432109876543210
7199 010001x0xx0x0000101xxxxxxxxxxxxx
7200 urecpe. */
82c70b08 7201 return 2310;
42e6288f
MM
7202 }
7203 else
7204 {
7205 /* 33222222222211111111110000000000
7206 10987654321098765432109876543210
7207 010001x0xx0x1000101xxxxxxxxxxxxx
7208 sqabs. */
82c70b08 7209 return 2172;
42e6288f
MM
7210 }
7211 }
7212 else
7213 {
7214 if (((word >> 20) & 0x1) == 0)
7215 {
7216 /* 33222222222211111111110000000000
7217 10987654321098765432109876543210
7218 010001x0xx00x100101xxxxxxxxxxxxx
7219 sadalp. */
82c70b08 7220 return 2136;
42e6288f
MM
7221 }
7222 else
7223 {
7224 /* 33222222222211111111110000000000
7225 10987654321098765432109876543210
7226 010001x0xx01x100101xxxxxxxxxxxxx
7227 smaxp. */
82c70b08 7228 return 2150;
42e6288f
MM
7229 }
7230 }
7231 }
7232 else
7233 {
7234 /* 33222222222211111111110000000000
7235 10987654321098765432109876543210
7236 010001x0xx0xxx10101xxxxxxxxxxxxx
7237 sminp. */
82c70b08 7238 return 2151;
42e6288f
MM
7239 }
7240 }
7241 else
7242 {
7243 if (((word >> 17) & 0x1) == 0)
7244 {
7245 if (((word >> 18) & 0x1) == 0)
7246 {
7247 if (((word >> 19) & 0x1) == 0)
7248 {
7249 if (((word >> 20) & 0x1) == 0)
7250 {
7251 /* 33222222222211111111110000000000
7252 10987654321098765432109876543210
7253 010001x0xx000001101xxxxxxxxxxxxx
7254 ursqrte. */
82c70b08 7255 return 2315;
42e6288f
MM
7256 }
7257 else
7258 {
7259 /* 33222222222211111111110000000000
7260 10987654321098765432109876543210
7261 010001x0xx010001101xxxxxxxxxxxxx
7262 addp. */
82c70b08 7263 return 2061;
42e6288f
MM
7264 }
7265 }
7266 else
7267 {
7268 /* 33222222222211111111110000000000
7269 10987654321098765432109876543210
7270 010001x0xx0x1001101xxxxxxxxxxxxx
7271 sqneg. */
82c70b08 7272 return 2199;
42e6288f
MM
7273 }
7274 }
7275 else
7276 {
7277 if (((word >> 20) & 0x1) == 0)
7278 {
7279 /* 33222222222211111111110000000000
7280 10987654321098765432109876543210
7281 010001x0xx00x101101xxxxxxxxxxxxx
7282 uadalp. */
82c70b08 7283 return 2267;
42e6288f
MM
7284 }
7285 else
7286 {
7287 /* 33222222222211111111110000000000
7288 10987654321098765432109876543210
7289 010001x0xx01x101101xxxxxxxxxxxxx
7290 umaxp. */
82c70b08 7291 return 2275;
42e6288f
MM
7292 }
7293 }
7294 }
7295 else
7296 {
7297 /* 33222222222211111111110000000000
7298 10987654321098765432109876543210
7299 010001x0xx0xxx11101xxxxxxxxxxxxx
7300 uminp. */
82c70b08 7301 return 2276;
42e6288f
MM
7302 }
7303 }
7304 }
7305 else
7306 {
7307 if (((word >> 23) & 0x1) == 0)
7308 {
7309 /* 33222222222211111111110000000000
7310 10987654321098765432109876543210
7311 110001x00x0xxxxx101xxxxxxxxxxxxx
7312 ldff1sb. */
82c70b08 7313 return 1677;
42e6288f
MM
7314 }
7315 else
7316 {
7317 /* 33222222222211111111110000000000
7318 10987654321098765432109876543210
7319 110001x01x0xxxxx101xxxxxxxxxxxxx
7320 ldff1sh. */
82c70b08 7321 return 1688;
42e6288f
MM
7322 }
7323 }
7324 }
7325 else
7326 {
7327 if (((word >> 22) & 0x1) == 0)
7328 {
7329 if (((word >> 23) & 0x1) == 0)
7330 {
7331 /* 33222222222211111111110000000000
7332 10987654321098765432109876543210
7333 x10001x0001xxxxx101xxxxxxxxxxxxx
7334 ldff1sb. */
82c70b08 7335 return 1679;
42e6288f
MM
7336 }
7337 else
7338 {
7339 if (((word >> 31) & 0x1) == 0)
7340 {
7341 if (((word >> 10) & 0x1) == 0)
7342 {
7343 if (((word >> 12) & 0x1) == 0)
7344 {
7345 /* 33222222222211111111110000000000
7346 10987654321098765432109876543210
7347 010001x0101xxxxx1010x0xxxxxxxxxx
7348 smlslb. */
82c70b08 7349 return 2158;
42e6288f
MM
7350 }
7351 else
7352 {
7353 /* 33222222222211111111110000000000
7354 10987654321098765432109876543210
7355 010001x0101xxxxx1011x0xxxxxxxxxx
7356 umlslb. */
82c70b08 7357 return 2283;
42e6288f
MM
7358 }
7359 }
7360 else
7361 {
7362 if (((word >> 12) & 0x1) == 0)
7363 {
7364 /* 33222222222211111111110000000000
7365 10987654321098765432109876543210
7366 010001x0101xxxxx1010x1xxxxxxxxxx
7367 smlslt. */
82c70b08 7368 return 2161;
42e6288f
MM
7369 }
7370 else
7371 {
7372 /* 33222222222211111111110000000000
7373 10987654321098765432109876543210
7374 010001x0101xxxxx1011x1xxxxxxxxxx
7375 umlslt. */
82c70b08 7376 return 2286;
42e6288f
MM
7377 }
7378 }
7379 }
7380 else
7381 {
7382 /* 33222222222211111111110000000000
7383 10987654321098765432109876543210
7384 110001x0101xxxxx101xxxxxxxxxxxxx
7385 ldff1sh. */
82c70b08 7386 return 1691;
42e6288f
MM
7387 }
7388 }
7389 }
7390 else
7391 {
7392 if (((word >> 23) & 0x1) == 0)
7393 {
7394 /* 33222222222211111111110000000000
7395 10987654321098765432109876543210
7396 x10001x0011xxxxx101xxxxxxxxxxxxx
7397 prfh. */
82c70b08 7398 return 1791;
42e6288f
MM
7399 }
7400 else
7401 {
7402 if (((word >> 31) & 0x1) == 0)
7403 {
7404 if (((word >> 10) & 0x1) == 0)
7405 {
7406 if (((word >> 12) & 0x1) == 0)
7407 {
7408 /* 33222222222211111111110000000000
7409 10987654321098765432109876543210
7410 010001x0111xxxxx1010x0xxxxxxxxxx
7411 smlslb. */
82c70b08 7412 return 2159;
42e6288f
MM
7413 }
7414 else
7415 {
7416 /* 33222222222211111111110000000000
7417 10987654321098765432109876543210
7418 010001x0111xxxxx1011x0xxxxxxxxxx
7419 umlslb. */
82c70b08 7420 return 2284;
42e6288f
MM
7421 }
7422 }
7423 else
7424 {
7425 if (((word >> 12) & 0x1) == 0)
7426 {
7427 /* 33222222222211111111110000000000
7428 10987654321098765432109876543210
7429 010001x0111xxxxx1010x1xxxxxxxxxx
7430 smlslt. */
82c70b08 7431 return 2162;
42e6288f
MM
7432 }
7433 else
7434 {
7435 /* 33222222222211111111110000000000
7436 10987654321098765432109876543210
7437 010001x0111xxxxx1011x1xxxxxxxxxx
7438 umlslt. */
82c70b08 7439 return 2287;
42e6288f
MM
7440 }
7441 }
7442 }
7443 else
7444 {
7445 /* 33222222222211111111110000000000
7446 10987654321098765432109876543210
7447 110001x0111xxxxx101xxxxxxxxxxxxx
7448 ldff1sh. */
82c70b08 7449 return 1689;
42e6288f
MM
7450 }
7451 }
7452 }
7453 }
7454 }
7455 }
7456 }
7457 else
7458 {
7459 if (((word >> 15) & 0x1) == 0)
7460 {
7461 if (((word >> 21) & 0x1) == 0)
7462 {
7463 if (((word >> 30) & 0x1) == 0)
7464 {
7465 if (((word >> 31) & 0x1) == 0)
7466 {
7467 /* 33222222222211111111110000000000
7468 10987654321098765432109876543210
7469 000001x0xx0xxxxx011xxxxxxxxxxxxx
7470 mls. */
82c70b08 7471 return 1751;
42e6288f
MM
7472 }
7473 else
7474 {
7475 if (((word >> 23) & 0x1) == 0)
7476 {
7477 /* 33222222222211111111110000000000
7478 10987654321098765432109876543210
7479 100001x00x0xxxxx011xxxxxxxxxxxxx
7480 ldff1b. */
82c70b08 7481 return 1635;
42e6288f
MM
7482 }
7483 else
7484 {
7485 /* 33222222222211111111110000000000
7486 10987654321098765432109876543210
7487 100001x01x0xxxxx011xxxxxxxxxxxxx
7488 ldff1h. */
82c70b08 7489 return 1655;
42e6288f
MM
7490 }
7491 }
7492 }
7493 else
7494 {
7495 if (((word >> 31) & 0x1) == 0)
7496 {
7497 if (((word >> 10) & 0x1) == 0)
7498 {
7499 if (((word >> 11) & 0x1) == 0)
7500 {
7501 if (((word >> 12) & 0x1) == 0)
7502 {
7503 /* 33222222222211111111110000000000
7504 10987654321098765432109876543210
7505 010001x0xx0xxxxx011000xxxxxxxxxx
7506 sqdmlalb. */
82c70b08 7507 return 2177;
42e6288f
MM
7508 }
7509 else
7510 {
7511 /* 33222222222211111111110000000000
7512 10987654321098765432109876543210
7513 010001x0xx0xxxxx011100xxxxxxxxxx
7514 sqrdmlah. */
82c70b08 7515 return 2206;
42e6288f
MM
7516 }
7517 }
7518 else
7519 {
8382113f
MM
7520 if (((word >> 12) & 0x1) == 0)
7521 {
7522 /* 33222222222211111111110000000000
7523 10987654321098765432109876543210
7524 010001x0xx0xxxxx011010xxxxxxxxxx
7525 sqdmlslb. */
82c70b08 7526 return 2184;
8382113f
MM
7527 }
7528 else
7529 {
7530 /* 33222222222211111111110000000000
7531 10987654321098765432109876543210
7532 010001x0xx0xxxxx011110xxxxxxxxxx
7533 usdot. */
01a4d082 7534 return 2459;
8382113f 7535 }
42e6288f
MM
7536 }
7537 }
7538 else
7539 {
7540 if (((word >> 11) & 0x1) == 0)
7541 {
7542 if (((word >> 12) & 0x1) == 0)
7543 {
7544 /* 33222222222211111111110000000000
7545 10987654321098765432109876543210
7546 010001x0xx0xxxxx011001xxxxxxxxxx
7547 sqdmlalt. */
82c70b08 7548 return 2181;
42e6288f
MM
7549 }
7550 else
7551 {
7552 /* 33222222222211111111110000000000
7553 10987654321098765432109876543210
7554 010001x0xx0xxxxx011101xxxxxxxxxx
7555 sqrdmlsh. */
82c70b08 7556 return 2210;
42e6288f
MM
7557 }
7558 }
7559 else
7560 {
7561 /* 33222222222211111111110000000000
7562 10987654321098765432109876543210
7563 010001x0xx0xxxxx011x11xxxxxxxxxx
7564 sqdmlslt. */
82c70b08 7565 return 2188;
42e6288f
MM
7566 }
7567 }
7568 }
7569 else
7570 {
7571 if (((word >> 23) & 0x1) == 0)
7572 {
7573 /* 33222222222211111111110000000000
7574 10987654321098765432109876543210
7575 110001x00x0xxxxx011xxxxxxxxxxxxx
7576 ldff1b. */
82c70b08 7577 return 1644;
42e6288f
MM
7578 }
7579 else
7580 {
7581 /* 33222222222211111111110000000000
7582 10987654321098765432109876543210
7583 110001x01x0xxxxx011xxxxxxxxxxxxx
7584 ldff1h. */
82c70b08 7585 return 1663;
42e6288f
MM
7586 }
7587 }
7588 }
7589 }
7590 else
7591 {
7592 if (((word >> 30) & 0x1) == 0)
7593 {
7594 if (((word >> 31) & 0x1) == 0)
7595 {
7596 if (((word >> 10) & 0x1) == 0)
7597 {
7598 if (((word >> 11) & 0x1) == 0)
7599 {
7600 if (((word >> 12) & 0x1) == 0)
7601 {
7602 /* 33222222222211111111110000000000
7603 10987654321098765432109876543210
7604 000001x0xx1xxxxx011000xxxxxxxxxx
7605 mul. */
82c70b08 7606 return 2119;
42e6288f
MM
7607 }
7608 else
7609 {
7610 /* 33222222222211111111110000000000
7611 10987654321098765432109876543210
7612 000001x0xx1xxxxx011100xxxxxxxxxx
7613 sqdmulh. */
82c70b08 7614 return 2192;
42e6288f
MM
7615 }
7616 }
7617 else
7618 {
7619 /* 33222222222211111111110000000000
7620 10987654321098765432109876543210
7621 000001x0xx1xxxxx011x10xxxxxxxxxx
7622 smulh. */
82c70b08 7623 return 2164;
42e6288f
MM
7624 }
7625 }
7626 else
7627 {
7628 if (((word >> 11) & 0x1) == 0)
7629 {
7630 if (((word >> 12) & 0x1) == 0)
7631 {
7632 /* 33222222222211111111110000000000
7633 10987654321098765432109876543210
7634 000001x0xx1xxxxx011001xxxxxxxxxx
7635 pmul. */
82c70b08 7636 return 2122;
42e6288f
MM
7637 }
7638 else
7639 {
7640 /* 33222222222211111111110000000000
7641 10987654321098765432109876543210
7642 000001x0xx1xxxxx011101xxxxxxxxxx
7643 sqrdmulh. */
82c70b08 7644 return 2214;
42e6288f
MM
7645 }
7646 }
7647 else
7648 {
7649 /* 33222222222211111111110000000000
7650 10987654321098765432109876543210
7651 000001x0xx1xxxxx011x11xxxxxxxxxx
7652 umulh. */
82c70b08 7653 return 2289;
42e6288f
MM
7654 }
7655 }
7656 }
7657 else
7658 {
7659 if (((word >> 23) & 0x1) == 0)
7660 {
7661 /* 33222222222211111111110000000000
7662 10987654321098765432109876543210
7663 100001x00x1xxxxx011xxxxxxxxxxxxx
7664 prfd. */
82c70b08 7665 return 1781;
42e6288f
MM
7666 }
7667 else
7668 {
7669 /* 33222222222211111111110000000000
7670 10987654321098765432109876543210
7671 100001x01x1xxxxx011xxxxxxxxxxxxx
7672 ldff1h. */
82c70b08 7673 return 1656;
42e6288f
MM
7674 }
7675 }
7676 }
7677 else
7678 {
7679 if (((word >> 23) & 0x1) == 0)
7680 {
7681 /* 33222222222211111111110000000000
7682 10987654321098765432109876543210
7683 x10001x00x1xxxxx011xxxxxxxxxxxxx
7684 prfd. */
82c70b08 7685 return 1783;
42e6288f
MM
7686 }
7687 else
7688 {
7689 if (((word >> 31) & 0x1) == 0)
7690 {
7691 if (((word >> 12) & 0x1) == 0)
7692 {
7693 if (((word >> 22) & 0x1) == 0)
7694 {
7695 /* 33222222222211111111110000000000
7696 10987654321098765432109876543210
7697 010001x0101xxxxx0110xxxxxxxxxxxx
7698 cmla. */
82c70b08 7699 return 2071;
42e6288f
MM
7700 }
7701 else
7702 {
7703 /* 33222222222211111111110000000000
7704 10987654321098765432109876543210
7705 010001x0111xxxxx0110xxxxxxxxxxxx
7706 cmla. */
82c70b08 7707 return 2072;
42e6288f
MM
7708 }
7709 }
7710 else
7711 {
7712 if (((word >> 22) & 0x1) == 0)
7713 {
7714 /* 33222222222211111111110000000000
7715 10987654321098765432109876543210
7716 010001x0101xxxxx0111xxxxxxxxxxxx
7717 sqrdcmlah. */
82c70b08 7718 return 2200;
42e6288f
MM
7719 }
7720 else
7721 {
7722 /* 33222222222211111111110000000000
7723 10987654321098765432109876543210
7724 010001x0111xxxxx0111xxxxxxxxxxxx
7725 sqrdcmlah. */
82c70b08 7726 return 2201;
42e6288f
MM
7727 }
7728 }
7729 }
7730 else
7731 {
7732 /* 33222222222211111111110000000000
7733 10987654321098765432109876543210
7734 110001x01x1xxxxx011xxxxxxxxxxxxx
7735 ldff1h. */
82c70b08 7736 return 1664;
42e6288f
MM
7737 }
7738 }
7739 }
7740 }
7741 }
7742 else
7743 {
7744 if (((word >> 30) & 0x1) == 0)
7745 {
7746 if (((word >> 31) & 0x1) == 0)
7747 {
7748 if (((word >> 21) & 0x1) == 0)
7749 {
7750 /* 33222222222211111111110000000000
7751 10987654321098765432109876543210
7752 000001x0xx0xxxxx111xxxxxxxxxxxxx
7753 msb. */
82c70b08 7754 return 1754;
42e6288f
MM
7755 }
7756 else
7757 {
7758 if (((word >> 10) & 0x1) == 0)
7759 {
7760 if (((word >> 11) & 0x1) == 0)
7761 {
7762 if (((word >> 12) & 0x1) == 0)
7763 {
7764 if (((word >> 20) & 0x1) == 0)
7765 {
7766 if (((word >> 22) & 0x1) == 0)
7767 {
7768 if (((word >> 23) & 0x1) == 0)
7769 {
7770 /* 33222222222211111111110000000000
7771 10987654321098765432109876543210
7772 000001x00010xxxx111000xxxxxxxxxx
7773 cntb. */
82c70b08 7774 return 1356;
42e6288f
MM
7775 }
7776 else
7777 {
7778 /* 33222222222211111111110000000000
7779 10987654321098765432109876543210
7780 000001x01010xxxx111000xxxxxxxxxx
7781 cntw. */
82c70b08 7782 return 1360;
42e6288f
MM
7783 }
7784 }
7785 else
7786 {
7787 if (((word >> 23) & 0x1) == 0)
7788 {
7789 /* 33222222222211111111110000000000
7790 10987654321098765432109876543210
7791 000001x00110xxxx111000xxxxxxxxxx
7792 cnth. */
82c70b08 7793 return 1358;
42e6288f
MM
7794 }
7795 else
7796 {
7797 /* 33222222222211111111110000000000
7798 10987654321098765432109876543210
7799 000001x01110xxxx111000xxxxxxxxxx
7800 cntd. */
82c70b08 7801 return 1357;
42e6288f
MM
7802 }
7803 }
7804 }
7805 else
7806 {
7807 if (((word >> 22) & 0x1) == 0)
7808 {
7809 if (((word >> 23) & 0x1) == 0)
7810 {
7811 /* 33222222222211111111110000000000
7812 10987654321098765432109876543210
7813 000001x00011xxxx111000xxxxxxxxxx
7814 incb. */
82c70b08 7815 return 1492;
42e6288f
MM
7816 }
7817 else
7818 {
7819 /* 33222222222211111111110000000000
7820 10987654321098765432109876543210
7821 000001x01011xxxx111000xxxxxxxxxx
7822 incw. */
82c70b08 7823 return 1500;
42e6288f
MM
7824 }
7825 }
7826 else
7827 {
7828 if (((word >> 23) & 0x1) == 0)
7829 {
7830 /* 33222222222211111111110000000000
7831 10987654321098765432109876543210
7832 000001x00111xxxx111000xxxxxxxxxx
7833 inch. */
82c70b08 7834 return 1496;
42e6288f
MM
7835 }
7836 else
7837 {
7838 /* 33222222222211111111110000000000
7839 10987654321098765432109876543210
7840 000001x01111xxxx111000xxxxxxxxxx
7841 incd. */
82c70b08 7842 return 1494;
42e6288f
MM
7843 }
7844 }
7845 }
7846 }
7847 else
7848 {
7849 if (((word >> 20) & 0x1) == 0)
7850 {
7851 if (((word >> 22) & 0x1) == 0)
7852 {
7853 if (((word >> 23) & 0x1) == 0)
7854 {
7855 /* 33222222222211111111110000000000
7856 10987654321098765432109876543210
7857 000001x00010xxxx111100xxxxxxxxxx
7858 sqincb. */
82c70b08 7859 return 1859;
42e6288f
MM
7860 }
7861 else
7862 {
7863 /* 33222222222211111111110000000000
7864 10987654321098765432109876543210
7865 000001x01010xxxx111100xxxxxxxxxx
7866 sqincw. */
82c70b08 7867 return 1871;
42e6288f
MM
7868 }
7869 }
7870 else
7871 {
7872 if (((word >> 23) & 0x1) == 0)
7873 {
7874 /* 33222222222211111111110000000000
7875 10987654321098765432109876543210
7876 000001x00110xxxx111100xxxxxxxxxx
7877 sqinch. */
82c70b08 7878 return 1865;
42e6288f
MM
7879 }
7880 else
7881 {
7882 /* 33222222222211111111110000000000
7883 10987654321098765432109876543210
7884 000001x01110xxxx111100xxxxxxxxxx
7885 sqincd. */
82c70b08 7886 return 1862;
42e6288f
MM
7887 }
7888 }
7889 }
7890 else
7891 {
7892 if (((word >> 22) & 0x1) == 0)
7893 {
7894 if (((word >> 23) & 0x1) == 0)
7895 {
7896 /* 33222222222211111111110000000000
7897 10987654321098765432109876543210
7898 000001x00011xxxx111100xxxxxxxxxx
7899 sqincb. */
82c70b08 7900 return 1858;
42e6288f
MM
7901 }
7902 else
7903 {
7904 /* 33222222222211111111110000000000
7905 10987654321098765432109876543210
7906 000001x01011xxxx111100xxxxxxxxxx
7907 sqincw. */
82c70b08 7908 return 1870;
42e6288f
MM
7909 }
7910 }
7911 else
7912 {
7913 if (((word >> 23) & 0x1) == 0)
7914 {
7915 /* 33222222222211111111110000000000
7916 10987654321098765432109876543210
7917 000001x00111xxxx111100xxxxxxxxxx
7918 sqinch. */
82c70b08 7919 return 1864;
42e6288f
MM
7920 }
7921 else
7922 {
7923 /* 33222222222211111111110000000000
7924 10987654321098765432109876543210
7925 000001x01111xxxx111100xxxxxxxxxx
7926 sqincd. */
82c70b08 7927 return 1861;
42e6288f
MM
7928 }
7929 }
7930 }
7931 }
7932 }
7933 else
7934 {
7935 if (((word >> 20) & 0x1) == 0)
7936 {
7937 if (((word >> 22) & 0x1) == 0)
7938 {
7939 if (((word >> 23) & 0x1) == 0)
7940 {
7941 /* 33222222222211111111110000000000
7942 10987654321098765432109876543210
7943 000001x00010xxxx111x10xxxxxxxxxx
7944 sqdecb. */
82c70b08 7945 return 1845;
42e6288f
MM
7946 }
7947 else
7948 {
7949 /* 33222222222211111111110000000000
7950 10987654321098765432109876543210
7951 000001x01010xxxx111x10xxxxxxxxxx
7952 sqdecw. */
82c70b08 7953 return 1857;
42e6288f
MM
7954 }
7955 }
7956 else
7957 {
7958 if (((word >> 23) & 0x1) == 0)
7959 {
7960 /* 33222222222211111111110000000000
7961 10987654321098765432109876543210
7962 000001x00110xxxx111x10xxxxxxxxxx
7963 sqdech. */
82c70b08 7964 return 1851;
42e6288f
MM
7965 }
7966 else
7967 {
7968 /* 33222222222211111111110000000000
7969 10987654321098765432109876543210
7970 000001x01110xxxx111x10xxxxxxxxxx
7971 sqdecd. */
82c70b08 7972 return 1848;
42e6288f
MM
7973 }
7974 }
7975 }
7976 else
7977 {
7978 if (((word >> 22) & 0x1) == 0)
7979 {
7980 if (((word >> 23) & 0x1) == 0)
7981 {
7982 /* 33222222222211111111110000000000
7983 10987654321098765432109876543210
7984 000001x00011xxxx111x10xxxxxxxxxx
7985 sqdecb. */
82c70b08 7986 return 1844;
42e6288f
MM
7987 }
7988 else
7989 {
7990 /* 33222222222211111111110000000000
7991 10987654321098765432109876543210
7992 000001x01011xxxx111x10xxxxxxxxxx
7993 sqdecw. */
82c70b08 7994 return 1856;
42e6288f
MM
7995 }
7996 }
7997 else
7998 {
7999 if (((word >> 23) & 0x1) == 0)
8000 {
8001 /* 33222222222211111111110000000000
8002 10987654321098765432109876543210
8003 000001x00111xxxx111x10xxxxxxxxxx
8004 sqdech. */
82c70b08 8005 return 1850;
42e6288f
MM
8006 }
8007 else
8008 {
8009 /* 33222222222211111111110000000000
8010 10987654321098765432109876543210
8011 000001x01111xxxx111x10xxxxxxxxxx
8012 sqdecd. */
82c70b08 8013 return 1847;
42e6288f
MM
8014 }
8015 }
8016 }
8017 }
8018 }
8019 else
8020 {
8021 if (((word >> 11) & 0x1) == 0)
8022 {
8023 if (((word >> 12) & 0x1) == 0)
8024 {
8025 if (((word >> 22) & 0x1) == 0)
8026 {
8027 if (((word >> 23) & 0x1) == 0)
8028 {
8029 /* 33222222222211111111110000000000
8030 10987654321098765432109876543210
8031 000001x0001xxxxx111001xxxxxxxxxx
8032 decb. */
82c70b08 8033 return 1367;
42e6288f
MM
8034 }
8035 else
8036 {
8037 /* 33222222222211111111110000000000
8038 10987654321098765432109876543210
8039 000001x0101xxxxx111001xxxxxxxxxx
8040 decw. */
82c70b08 8041 return 1375;
42e6288f
MM
8042 }
8043 }
8044 else
8045 {
8046 if (((word >> 23) & 0x1) == 0)
8047 {
8048 /* 33222222222211111111110000000000
8049 10987654321098765432109876543210
8050 000001x0011xxxxx111001xxxxxxxxxx
8051 dech. */
82c70b08 8052 return 1371;
42e6288f
MM
8053 }
8054 else
8055 {
8056 /* 33222222222211111111110000000000
8057 10987654321098765432109876543210
8058 000001x0111xxxxx111001xxxxxxxxxx
8059 decd. */
82c70b08 8060 return 1369;
42e6288f
MM
8061 }
8062 }
8063 }
8064 else
8065 {
8066 if (((word >> 20) & 0x1) == 0)
8067 {
8068 if (((word >> 22) & 0x1) == 0)
8069 {
8070 if (((word >> 23) & 0x1) == 0)
8071 {
8072 /* 33222222222211111111110000000000
8073 10987654321098765432109876543210
8074 000001x00010xxxx111101xxxxxxxxxx
8075 uqincb. */
82c70b08 8076 return 2006;
42e6288f
MM
8077 }
8078 else
8079 {
8080 /* 33222222222211111111110000000000
8081 10987654321098765432109876543210
8082 000001x01010xxxx111101xxxxxxxxxx
8083 uqincw. */
82c70b08 8084 return 2018;
42e6288f
MM
8085 }
8086 }
8087 else
8088 {
8089 if (((word >> 23) & 0x1) == 0)
8090 {
8091 /* 33222222222211111111110000000000
8092 10987654321098765432109876543210
8093 000001x00110xxxx111101xxxxxxxxxx
8094 uqinch. */
82c70b08 8095 return 2012;
42e6288f
MM
8096 }
8097 else
8098 {
8099 /* 33222222222211111111110000000000
8100 10987654321098765432109876543210
8101 000001x01110xxxx111101xxxxxxxxxx
8102 uqincd. */
82c70b08 8103 return 2009;
42e6288f
MM
8104 }
8105 }
8106 }
8107 else
8108 {
8109 if (((word >> 22) & 0x1) == 0)
8110 {
8111 if (((word >> 23) & 0x1) == 0)
8112 {
8113 /* 33222222222211111111110000000000
8114 10987654321098765432109876543210
8115 000001x00011xxxx111101xxxxxxxxxx
8116 uqincb. */
82c70b08 8117 return 2007;
42e6288f
MM
8118 }
8119 else
8120 {
8121 /* 33222222222211111111110000000000
8122 10987654321098765432109876543210
8123 000001x01011xxxx111101xxxxxxxxxx
8124 uqincw. */
82c70b08 8125 return 2019;
42e6288f
MM
8126 }
8127 }
8128 else
8129 {
8130 if (((word >> 23) & 0x1) == 0)
8131 {
8132 /* 33222222222211111111110000000000
8133 10987654321098765432109876543210
8134 000001x00111xxxx111101xxxxxxxxxx
8135 uqinch. */
82c70b08 8136 return 2013;
42e6288f
MM
8137 }
8138 else
8139 {
8140 /* 33222222222211111111110000000000
8141 10987654321098765432109876543210
8142 000001x01111xxxx111101xxxxxxxxxx
8143 uqincd. */
82c70b08 8144 return 2010;
42e6288f
MM
8145 }
8146 }
8147 }
8148 }
8149 }
8150 else
8151 {
8152 if (((word >> 20) & 0x1) == 0)
8153 {
8154 if (((word >> 22) & 0x1) == 0)
8155 {
8156 if (((word >> 23) & 0x1) == 0)
8157 {
8158 /* 33222222222211111111110000000000
8159 10987654321098765432109876543210
8160 000001x00010xxxx111x11xxxxxxxxxx
8161 uqdecb. */
82c70b08 8162 return 1992;
42e6288f
MM
8163 }
8164 else
8165 {
8166 /* 33222222222211111111110000000000
8167 10987654321098765432109876543210
8168 000001x01010xxxx111x11xxxxxxxxxx
8169 uqdecw. */
82c70b08 8170 return 2004;
42e6288f
MM
8171 }
8172 }
8173 else
8174 {
8175 if (((word >> 23) & 0x1) == 0)
8176 {
8177 /* 33222222222211111111110000000000
8178 10987654321098765432109876543210
8179 000001x00110xxxx111x11xxxxxxxxxx
8180 uqdech. */
82c70b08 8181 return 1998;
42e6288f
MM
8182 }
8183 else
8184 {
8185 /* 33222222222211111111110000000000
8186 10987654321098765432109876543210
8187 000001x01110xxxx111x11xxxxxxxxxx
8188 uqdecd. */
82c70b08 8189 return 1995;
42e6288f
MM
8190 }
8191 }
8192 }
8193 else
8194 {
8195 if (((word >> 22) & 0x1) == 0)
8196 {
8197 if (((word >> 23) & 0x1) == 0)
8198 {
8199 /* 33222222222211111111110000000000
8200 10987654321098765432109876543210
8201 000001x00011xxxx111x11xxxxxxxxxx
8202 uqdecb. */
82c70b08 8203 return 1993;
42e6288f
MM
8204 }
8205 else
8206 {
8207 /* 33222222222211111111110000000000
8208 10987654321098765432109876543210
8209 000001x01011xxxx111x11xxxxxxxxxx
8210 uqdecw. */
82c70b08 8211 return 2005;
42e6288f
MM
8212 }
8213 }
8214 else
8215 {
8216 if (((word >> 23) & 0x1) == 0)
8217 {
8218 /* 33222222222211111111110000000000
8219 10987654321098765432109876543210
8220 000001x00111xxxx111x11xxxxxxxxxx
8221 uqdech. */
82c70b08 8222 return 1999;
42e6288f
MM
8223 }
8224 else
8225 {
8226 /* 33222222222211111111110000000000
8227 10987654321098765432109876543210
8228 000001x01111xxxx111x11xxxxxxxxxx
8229 uqdecd. */
82c70b08 8230 return 1996;
42e6288f
MM
8231 }
8232 }
8233 }
8234 }
8235 }
8236 }
8237 }
8238 else
8239 {
8240 if (((word >> 22) & 0x1) == 0)
8241 {
8242 if (((word >> 21) & 0x1) == 0)
8243 {
8244 if (((word >> 23) & 0x1) == 0)
8245 {
8246 /* 33222222222211111111110000000000
8247 10987654321098765432109876543210
8248 100001x0000xxxxx111xxxxxxxxxxxxx
8249 prfb. */
82c70b08 8250 return 1778;
42e6288f
MM
8251 }
8252 else
8253 {
8254 /* 33222222222211111111110000000000
8255 10987654321098765432109876543210
8256 100001x0100xxxxx111xxxxxxxxxxxxx
8257 prfh. */
82c70b08 8258 return 1792;
42e6288f
MM
8259 }
8260 }
8261 else
8262 {
8263 if (((word >> 23) & 0x1) == 0)
8264 {
8265 /* 33222222222211111111110000000000
8266 10987654321098765432109876543210
8267 100001x0001xxxxx111xxxxxxxxxxxxx
8268 ldff1b. */
82c70b08 8269 return 1646;
42e6288f
MM
8270 }
8271 else
8272 {
8273 /* 33222222222211111111110000000000
8274 10987654321098765432109876543210
8275 100001x0101xxxxx111xxxxxxxxxxxxx
8276 ldff1h. */
82c70b08 8277 return 1667;
42e6288f
MM
8278 }
8279 }
8280 }
8281 else
8282 {
8283 if (((word >> 23) & 0x1) == 0)
8284 {
8285 /* 33222222222211111111110000000000
8286 10987654321098765432109876543210
8287 100001x001xxxxxx111xxxxxxxxxxxxx
8288 ld1rb. */
82c70b08 8289 return 1548;
42e6288f
MM
8290 }
8291 else
8292 {
8293 /* 33222222222211111111110000000000
8294 10987654321098765432109876543210
8295 100001x011xxxxxx111xxxxxxxxxxxxx
8296 ld1rh. */
82c70b08 8297 return 1552;
42e6288f
MM
8298 }
8299 }
8300 }
8301 }
8302 else
8303 {
8304 if (((word >> 21) & 0x1) == 0)
8305 {
8306 if (((word >> 22) & 0x1) == 0)
8307 {
8308 if (((word >> 23) & 0x1) == 0)
8309 {
8310 /* 33222222222211111111110000000000
8311 10987654321098765432109876543210
8312 x10001x0000xxxxx111xxxxxxxxxxxxx
8313 prfb. */
82c70b08 8314 return 1780;
42e6288f
MM
8315 }
8316 else
8317 {
8318 /* 33222222222211111111110000000000
8319 10987654321098765432109876543210
8320 x10001x0100xxxxx111xxxxxxxxxxxxx
8321 prfh. */
82c70b08 8322 return 1794;
42e6288f
MM
8323 }
8324 }
8325 else
8326 {
8327 if (((word >> 23) & 0x1) == 0)
8328 {
8329 /* 33222222222211111111110000000000
8330 10987654321098765432109876543210
8331 x10001x0010xxxxx111xxxxxxxxxxxxx
8332 ldff1b. */
82c70b08 8333 return 1645;
42e6288f
MM
8334 }
8335 else
8336 {
8337 /* 33222222222211111111110000000000
8338 10987654321098765432109876543210
8339 x10001x0110xxxxx111xxxxxxxxxxxxx
8340 ldff1h. */
82c70b08 8341 return 1665;
42e6288f
MM
8342 }
8343 }
8344 }
8345 else
8346 {
8347 if (((word >> 23) & 0x1) == 0)
8348 {
8349 if (((word >> 31) & 0x1) == 0)
8350 {
8351 if (((word >> 10) & 0x1) == 0)
8352 {
8353 if (((word >> 11) & 0x1) == 0)
8354 {
8355 /* 33222222222211111111110000000000
8356 10987654321098765432109876543210
8357 010001x00x1xxxxx111x00xxxxxxxxxx
8358 sqdmulh. */
82c70b08 8359 return 2189;
42e6288f
MM
8360 }
8361 else
8362 {
8363 /* 33222222222211111111110000000000
8364 10987654321098765432109876543210
8365 010001x00x1xxxxx111x10xxxxxxxxxx
8366 mul. */
82c70b08 8367 return 2116;
42e6288f
MM
8368 }
8369 }
8370 else
8371 {
8372 /* 33222222222211111111110000000000
8373 10987654321098765432109876543210
8374 010001x00x1xxxxx111xx1xxxxxxxxxx
8375 sqrdmulh. */
82c70b08 8376 return 2211;
42e6288f
MM
8377 }
8378 }
8379 else
8380 {
8381 if (((word >> 22) & 0x1) == 0)
8382 {
8383 /* 33222222222211111111110000000000
8384 10987654321098765432109876543210
8385 110001x0001xxxxx111xxxxxxxxxxxxx
8386 ldff1b. */
82c70b08 8387 return 1647;
42e6288f
MM
8388 }
8389 else
8390 {
8391 /* 33222222222211111111110000000000
8392 10987654321098765432109876543210
8393 110001x0011xxxxx111xxxxxxxxxxxxx
8394 prfd. */
82c70b08 8395 return 1784;
42e6288f
MM
8396 }
8397 }
8398 }
8399 else
8400 {
8401 if (((word >> 22) & 0x1) == 0)
8402 {
8403 if (((word >> 31) & 0x1) == 0)
8404 {
8405 if (((word >> 10) & 0x1) == 0)
8406 {
8407 if (((word >> 12) & 0x1) == 0)
8408 {
8409 /* 33222222222211111111110000000000
8410 10987654321098765432109876543210
8411 010001x0101xxxxx1110x0xxxxxxxxxx
8412 sqdmullb. */
82c70b08 8413 return 2193;
42e6288f
MM
8414 }
8415 else
8416 {
8417 if (((word >> 11) & 0x1) == 0)
8418 {
8419 /* 33222222222211111111110000000000
8420 10987654321098765432109876543210
8421 010001x0101xxxxx111100xxxxxxxxxx
8422 sqdmulh. */
82c70b08 8423 return 2190;
42e6288f
MM
8424 }
8425 else
8426 {
8427 /* 33222222222211111111110000000000
8428 10987654321098765432109876543210
8429 010001x0101xxxxx111110xxxxxxxxxx
8430 mul. */
82c70b08 8431 return 2117;
42e6288f
MM
8432 }
8433 }
8434 }
8435 else
8436 {
8437 if (((word >> 12) & 0x1) == 0)
8438 {
8439 /* 33222222222211111111110000000000
8440 10987654321098765432109876543210
8441 010001x0101xxxxx1110x1xxxxxxxxxx
8442 sqdmullt. */
82c70b08 8443 return 2196;
42e6288f
MM
8444 }
8445 else
8446 {
8447 /* 33222222222211111111110000000000
8448 10987654321098765432109876543210
8449 010001x0101xxxxx1111x1xxxxxxxxxx
8450 sqrdmulh. */
82c70b08 8451 return 2212;
42e6288f
MM
8452 }
8453 }
8454 }
8455 else
8456 {
8457 /* 33222222222211111111110000000000
8458 10987654321098765432109876543210
8459 110001x0101xxxxx111xxxxxxxxxxxxx
8460 ldff1h. */
82c70b08 8461 return 1668;
42e6288f
MM
8462 }
8463 }
8464 else
8465 {
8466 if (((word >> 31) & 0x1) == 0)
8467 {
8468 if (((word >> 10) & 0x1) == 0)
8469 {
8470 if (((word >> 12) & 0x1) == 0)
8471 {
8472 /* 33222222222211111111110000000000
8473 10987654321098765432109876543210
8474 010001x0111xxxxx1110x0xxxxxxxxxx
8475 sqdmullb. */
82c70b08 8476 return 2194;
42e6288f
MM
8477 }
8478 else
8479 {
8480 if (((word >> 11) & 0x1) == 0)
8481 {
8482 /* 33222222222211111111110000000000
8483 10987654321098765432109876543210
8484 010001x0111xxxxx111100xxxxxxxxxx
8485 sqdmulh. */
82c70b08 8486 return 2191;
42e6288f
MM
8487 }
8488 else
8489 {
8490 /* 33222222222211111111110000000000
8491 10987654321098765432109876543210
8492 010001x0111xxxxx111110xxxxxxxxxx
8493 mul. */
82c70b08 8494 return 2118;
42e6288f
MM
8495 }
8496 }
8497 }
8498 else
8499 {
8500 if (((word >> 12) & 0x1) == 0)
8501 {
8502 /* 33222222222211111111110000000000
8503 10987654321098765432109876543210
8504 010001x0111xxxxx1110x1xxxxxxxxxx
8505 sqdmullt. */
82c70b08 8506 return 2197;
42e6288f
MM
8507 }
8508 else
8509 {
8510 /* 33222222222211111111110000000000
8511 10987654321098765432109876543210
8512 010001x0111xxxxx1111x1xxxxxxxxxx
8513 sqrdmulh. */
82c70b08 8514 return 2213;
42e6288f
MM
8515 }
8516 }
8517 }
8518 else
8519 {
8520 /* 33222222222211111111110000000000
8521 10987654321098765432109876543210
8522 110001x0111xxxxx111xxxxxxxxxxxxx
8523 ldff1h. */
82c70b08 8524 return 1666;
42e6288f
MM
8525 }
8526 }
8527 }
8528 }
8529 }
8530 }
8531 }
8532 }
8533 }
8534 else
8535 {
8536 if (((word >> 21) & 0x1) == 0)
8537 {
8538 if (((word >> 15) & 0x1) == 0)
8539 {
8540 if (((word >> 30) & 0x1) == 0)
8541 {
8542 if (((word >> 13) & 0x1) == 0)
8543 {
8544 if (((word >> 14) & 0x1) == 0)
8545 {
8546 if (((word >> 31) & 0x1) == 0)
8547 {
8548 if (((word >> 4) & 0x1) == 0)
8549 {
8550 /* 33222222222211111111110000000000
8551 10987654321098765432109876543210
8552 001001x0xx0xxxxx000xxxxxxxx0xxxx
8553 cmphs. */
82c70b08 8554 return 1340;
42e6288f
MM
8555 }
8556 else
8557 {
8558 /* 33222222222211111111110000000000
8559 10987654321098765432109876543210
8560 001001x0xx0xxxxx000xxxxxxxx1xxxx
8561 cmphi. */
82c70b08 8562 return 1337;
42e6288f
MM
8563 }
8564 }
8565 else
8566 {
8567 if (((word >> 23) & 0x1) == 0)
8568 {
8569 /* 33222222222211111111110000000000
8570 10987654321098765432109876543210
8571 101001x00x0xxxxx000xxxxxxxxxxxxx
8572 ld1rqb. */
82c70b08 8573 return 1554;
42e6288f
MM
8574 }
8575 else
8576 {
8577 /* 33222222222211111111110000000000
8578 10987654321098765432109876543210
8579 101001x01x0xxxxx000xxxxxxxxxxxxx
8580 ld1rqh. */
82c70b08 8581 return 1558;
42e6288f
MM
8582 }
8583 }
8584 }
8585 else
8586 {
8587 if (((word >> 31) & 0x1) == 0)
8588 {
8589 if (((word >> 4) & 0x1) == 0)
8590 {
8591 /* 33222222222211111111110000000000
8592 10987654321098765432109876543210
8593 001001x0xx0xxxxx010xxxxxxxx0xxxx
8594 cmpge. */
82c70b08 8595 return 1331;
42e6288f
MM
8596 }
8597 else
8598 {
8599 /* 33222222222211111111110000000000
8600 10987654321098765432109876543210
8601 001001x0xx0xxxxx010xxxxxxxx1xxxx
8602 cmpgt. */
82c70b08 8603 return 1334;
42e6288f
MM
8604 }
8605 }
8606 else
8607 {
8608 if (((word >> 22) & 0x1) == 0)
8609 {
8610 if (((word >> 23) & 0x1) == 0)
8611 {
8612 /* 33222222222211111111110000000000
8613 10987654321098765432109876543210
8614 101001x0000xxxxx010xxxxxxxxxxxxx
8615 ld1b. */
82c70b08 8616 return 1512;
42e6288f
MM
8617 }
8618 else
8619 {
8620 /* 33222222222211111111110000000000
8621 10987654321098765432109876543210
8622 101001x0100xxxxx010xxxxxxxxxxxxx
8623 ld1sw. */
82c70b08 8624 return 1592;
42e6288f
MM
8625 }
8626 }
8627 else
8628 {
8629 if (((word >> 23) & 0x1) == 0)
8630 {
8631 /* 33222222222211111111110000000000
8632 10987654321098765432109876543210
8633 101001x0010xxxxx010xxxxxxxxxxxxx
8634 ld1b. */
82c70b08 8635 return 1514;
42e6288f
MM
8636 }
8637 else
8638 {
8639 /* 33222222222211111111110000000000
8640 10987654321098765432109876543210
8641 101001x0110xxxxx010xxxxxxxxxxxxx
8642 ld1h. */
82c70b08 8643 return 1534;
42e6288f
MM
8644 }
8645 }
8646 }
8647 }
8648 }
8649 else
8650 {
8651 if (((word >> 14) & 0x1) == 0)
8652 {
8653 if (((word >> 31) & 0x1) == 0)
8654 {
8655 if (((word >> 4) & 0x1) == 0)
8656 {
8657 /* 33222222222211111111110000000000
8658 10987654321098765432109876543210
8659 001001x0xx0xxxxx001xxxxxxxx0xxxx
8660 cmpeq. */
82c70b08 8661 return 1328;
42e6288f
MM
8662 }
8663 else
8664 {
8665 /* 33222222222211111111110000000000
8666 10987654321098765432109876543210
8667 001001x0xx0xxxxx001xxxxxxxx1xxxx
8668 cmpne. */
82c70b08 8669 return 1351;
42e6288f
MM
8670 }
8671 }
8672 else
8673 {
8674 if (((word >> 23) & 0x1) == 0)
8675 {
8676 /* 33222222222211111111110000000000
8677 10987654321098765432109876543210
8678 101001x00x0xxxxx001xxxxxxxxxxxxx
8679 ld1rqb. */
82c70b08 8680 return 1553;
42e6288f
MM
8681 }
8682 else
8683 {
8684 /* 33222222222211111111110000000000
8685 10987654321098765432109876543210
8686 101001x01x0xxxxx001xxxxxxxxxxxxx
8687 ld1rqh. */
82c70b08 8688 return 1557;
42e6288f
MM
8689 }
8690 }
8691 }
8692 else
8693 {
8694 if (((word >> 31) & 0x1) == 0)
8695 {
8696 if (((word >> 4) & 0x1) == 0)
8697 {
8698 /* 33222222222211111111110000000000
8699 10987654321098765432109876543210
8700 001001x0xx0xxxxx011xxxxxxxx0xxxx
8701 cmplt. */
82c70b08 8702 return 1349;
42e6288f
MM
8703 }
8704 else
8705 {
8706 /* 33222222222211111111110000000000
8707 10987654321098765432109876543210
8708 001001x0xx0xxxxx011xxxxxxxx1xxxx
8709 cmple. */
82c70b08 8710 return 1343;
42e6288f
MM
8711 }
8712 }
8713 else
8714 {
8715 if (((word >> 22) & 0x1) == 0)
8716 {
8717 if (((word >> 23) & 0x1) == 0)
8718 {
8719 /* 33222222222211111111110000000000
8720 10987654321098765432109876543210
8721 101001x0000xxxxx011xxxxxxxxxxxxx
8722 ldff1b. */
82c70b08 8723 return 1636;
42e6288f
MM
8724 }
8725 else
8726 {
8727 /* 33222222222211111111110000000000
8728 10987654321098765432109876543210
8729 101001x0100xxxxx011xxxxxxxxxxxxx
8730 ldff1sw. */
82c70b08 8731 return 1692;
42e6288f
MM
8732 }
8733 }
8734 else
8735 {
8736 if (((word >> 23) & 0x1) == 0)
8737 {
8738 /* 33222222222211111111110000000000
8739 10987654321098765432109876543210
8740 101001x0010xxxxx011xxxxxxxxxxxxx
8741 ldff1b. */
82c70b08 8742 return 1640;
42e6288f
MM
8743 }
8744 else
8745 {
8746 /* 33222222222211111111110000000000
8747 10987654321098765432109876543210
8748 101001x0110xxxxx011xxxxxxxxxxxxx
8749 ldff1h. */
82c70b08 8750 return 1659;
42e6288f
MM
8751 }
8752 }
8753 }
8754 }
8755 }
8756 }
8757 else
8758 {
8759 if (((word >> 31) & 0x1) == 0)
8760 {
8761 /* 33222222222211111111110000000000
8762 10987654321098765432109876543210
8763 011001x0xx0xxxxx0xxxxxxxxxxxxxxx
8764 fcmla. */
82c70b08 8765 return 1397;
42e6288f
MM
8766 }
8767 else
8768 {
8769 if (((word >> 13) & 0x1) == 0)
8770 {
8771 if (((word >> 22) & 0x1) == 0)
8772 {
8773 /* 33222222222211111111110000000000
8774 10987654321098765432109876543210
8775 111001x0x00xxxxx0x0xxxxxxxxxxxxx
8776 st1b. */
82c70b08 8777 return 1874;
582e12bf
RS
8778 }
8779 else
8780 {
8781 if (((word >> 23) & 0x1) == 0)
8782 {
8783 /* 33222222222211111111110000000000
8784 10987654321098765432109876543210
42e6288f
MM
8785 111001x0010xxxxx0x0xxxxxxxxxxxxx
8786 st1b. */
82c70b08 8787 return 1878;
42e6288f
MM
8788 }
8789 else
8790 {
8791 /* 33222222222211111111110000000000
8792 10987654321098765432109876543210
8793 111001x0110xxxxx0x0xxxxxxxxxxxxx
8794 st1h. */
82c70b08 8795 return 1899;
42e6288f
MM
8796 }
8797 }
8798 }
8799 else
8800 {
8801 if (((word >> 14) & 0x1) == 0)
8802 {
8803 if (((word >> 22) & 0x1) == 0)
8804 {
8805 if (((word >> 23) & 0x1) == 0)
8806 {
8807 /* 33222222222211111111110000000000
8808 10987654321098765432109876543210
8809 111001x0000xxxxx001xxxxxxxxxxxxx
8810 stnt1b. */
82c70b08 8811 return 2251;
42e6288f
MM
8812 }
8813 else
8814 {
8815 /* 33222222222211111111110000000000
8816 10987654321098765432109876543210
8817 111001x0100xxxxx001xxxxxxxxxxxxx
8818 stnt1h. */
82c70b08 8819 return 2254;
42e6288f
MM
8820 }
8821 }
8822 else
8823 {
8824 if (((word >> 23) & 0x1) == 0)
8825 {
8826 /* 33222222222211111111110000000000
8827 10987654321098765432109876543210
8828 111001x0010xxxxx001xxxxxxxxxxxxx
8829 stnt1b. */
82c70b08 8830 return 2250;
42e6288f
MM
8831 }
8832 else
8833 {
8834 /* 33222222222211111111110000000000
8835 10987654321098765432109876543210
8836 111001x0110xxxxx001xxxxxxxxxxxxx
8837 stnt1h. */
82c70b08 8838 return 2253;
42e6288f
MM
8839 }
8840 }
8841 }
8842 else
8843 {
8844 if (((word >> 22) & 0x1) == 0)
8845 {
8846 if (((word >> 23) & 0x1) == 0)
8847 {
8848 /* 33222222222211111111110000000000
8849 10987654321098765432109876543210
8850 111001x0000xxxxx011xxxxxxxxxxxxx
8851 stnt1b. */
82c70b08 8852 return 1944;
42e6288f
MM
8853 }
8854 else
8855 {
8856 /* 33222222222211111111110000000000
8857 10987654321098765432109876543210
8858 111001x0100xxxxx011xxxxxxxxxxxxx
8859 stnt1h. */
82c70b08 8860 return 1948;
42e6288f
MM
8861 }
8862 }
8863 else
8864 {
8865 if (((word >> 23) & 0x1) == 0)
8866 {
8867 /* 33222222222211111111110000000000
8868 10987654321098765432109876543210
8869 111001x0010xxxxx011xxxxxxxxxxxxx
8870 st3b. */
82c70b08 8871 return 1928;
42e6288f
MM
8872 }
8873 else
8874 {
8875 /* 33222222222211111111110000000000
8876 10987654321098765432109876543210
8877 111001x0110xxxxx011xxxxxxxxxxxxx
8878 st3h. */
82c70b08 8879 return 1932;
42e6288f
MM
8880 }
8881 }
8882 }
8883 }
8884 }
8885 }
8886 }
8887 else
8888 {
8889 if (((word >> 13) & 0x1) == 0)
8890 {
8891 if (((word >> 30) & 0x1) == 0)
8892 {
8893 if (((word >> 14) & 0x1) == 0)
8894 {
8895 if (((word >> 4) & 0x1) == 0)
8896 {
8897 /* 33222222222211111111110000000000
8898 10987654321098765432109876543210
8899 x01001x0xx0xxxxx100xxxxxxxx0xxxx
8900 cmpge. */
82c70b08 8901 return 1332;
42e6288f
MM
8902 }
8903 else
8904 {
8905 /* 33222222222211111111110000000000
8906 10987654321098765432109876543210
8907 x01001x0xx0xxxxx100xxxxxxxx1xxxx
8908 cmpgt. */
82c70b08 8909 return 1335;
42e6288f
MM
8910 }
8911 }
8912 else
8913 {
8914 if (((word >> 31) & 0x1) == 0)
8915 {
8916 if (((word >> 4) & 0x1) == 0)
8917 {
8918 /* 33222222222211111111110000000000
8919 10987654321098765432109876543210
8920 001001x0xx0xxxxx110xxxxxxxx0xxxx
8921 cmphs. */
82c70b08 8922 return 1341;
582e12bf
RS
8923 }
8924 else
8925 {
8926 /* 33222222222211111111110000000000
8927 10987654321098765432109876543210
42e6288f
MM
8928 001001x0xx0xxxxx110xxxxxxxx1xxxx
8929 cmphi. */
82c70b08 8930 return 1338;
42e6288f
MM
8931 }
8932 }
8933 else
8934 {
8935 if (((word >> 22) & 0x1) == 0)
8936 {
8937 if (((word >> 23) & 0x1) == 0)
8938 {
8939 /* 33222222222211111111110000000000
8940 10987654321098765432109876543210
8941 101001x0000xxxxx110xxxxxxxxxxxxx
8942 ldnt1b. */
82c70b08 8943 return 1727;
42e6288f
MM
8944 }
8945 else
8946 {
8947 /* 33222222222211111111110000000000
8948 10987654321098765432109876543210
8949 101001x0100xxxxx110xxxxxxxxxxxxx
8950 ldnt1h. */
82c70b08 8951 return 1731;
42e6288f
MM
8952 }
8953 }
8954 else
8955 {
8956 if (((word >> 23) & 0x1) == 0)
8957 {
8958 /* 33222222222211111111110000000000
8959 10987654321098765432109876543210
8960 101001x0010xxxxx110xxxxxxxxxxxxx
8961 ld3b. */
82c70b08 8962 return 1619;
42e6288f
MM
8963 }
8964 else
8965 {
8966 /* 33222222222211111111110000000000
8967 10987654321098765432109876543210
8968 101001x0110xxxxx110xxxxxxxxxxxxx
8969 ld3h. */
82c70b08 8970 return 1623;
42e6288f
MM
8971 }
8972 }
8973 }
8974 }
8975 }
8976 else
8977 {
8978 if (((word >> 31) & 0x1) == 0)
8979 {
8980 if (((word >> 17) & 0x1) == 0)
8981 {
8982 if (((word >> 18) & 0x1) == 0)
8983 {
8984 if (((word >> 20) & 0x1) == 0)
8985 {
8986 /* 33222222222211111111110000000000
8987 10987654321098765432109876543210
8988 011001x0xx00x00x1x0xxxxxxxxxxxxx
8989 fcadd. */
82c70b08 8990 return 1396;
42e6288f
MM
8991 }
8992 else
8993 {
8994 /* 33222222222211111111110000000000
8995 10987654321098765432109876543210
8996 011001x0xx01x00x1x0xxxxxxxxxxxxx
8997 faddp. */
82c70b08 8998 return 2077;
42e6288f
MM
8999 }
9000 }
9001 else
9002 {
9003 if (((word >> 16) & 0x1) == 0)
9004 {
9005 /* 33222222222211111111110000000000
9006 10987654321098765432109876543210
9007 011001x0xx0xx1001x0xxxxxxxxxxxxx
9008 fmaxnmp. */
82c70b08 9009 return 2085;
42e6288f
MM
9010 }
9011 else
9012 {
9013 /* 33222222222211111111110000000000
9014 10987654321098765432109876543210
9015 011001x0xx0xx1011x0xxxxxxxxxxxxx
9016 fminnmp. */
82c70b08 9017 return 2087;
42e6288f
MM
9018 }
9019 }
9020 }
9021 else
9022 {
9023 if (((word >> 16) & 0x1) == 0)
9024 {
9025 /* 33222222222211111111110000000000
9026 10987654321098765432109876543210
9027 011001x0xx0xxx101x0xxxxxxxxxxxxx
9028 fmaxp. */
82c70b08 9029 return 2086;
42e6288f
MM
9030 }
9031 else
9032 {
9033 /* 33222222222211111111110000000000
9034 10987654321098765432109876543210
9035 011001x0xx0xxx111x0xxxxxxxxxxxxx
9036 fminp. */
82c70b08 9037 return 2088;
582e12bf
RS
9038 }
9039 }
9040 }
9041 else
9042 {
9043 if (((word >> 22) & 0x1) == 0)
9044 {
9045 if (((word >> 23) & 0x1) == 0)
9046 {
9047 /* 33222222222211111111110000000000
9048 10987654321098765432109876543210
42e6288f
MM
9049 111001x0000xxxxx1x0xxxxxxxxxxxxx
9050 st1b. */
82c70b08 9051 return 1875;
42e6288f
MM
9052 }
9053 else
9054 {
9055 /* 33222222222211111111110000000000
9056 10987654321098765432109876543210
9057 111001x0100xxxxx1x0xxxxxxxxxxxxx
9058 st1h. */
82c70b08 9059 return 1894;
42e6288f
MM
9060 }
9061 }
9062 else
9063 {
9064 if (((word >> 23) & 0x1) == 0)
9065 {
9066 /* 33222222222211111111110000000000
9067 10987654321098765432109876543210
9068 111001x0010xxxxx1x0xxxxxxxxxxxxx
9069 st1b. */
82c70b08 9070 return 1879;
42e6288f
MM
9071 }
9072 else
9073 {
9074 /* 33222222222211111111110000000000
9075 10987654321098765432109876543210
9076 111001x0110xxxxx1x0xxxxxxxxxxxxx
9077 st1h. */
82c70b08 9078 return 1900;
42e6288f
MM
9079 }
9080 }
9081 }
9082 }
9083 }
9084 else
9085 {
9086 if (((word >> 14) & 0x1) == 0)
9087 {
9088 if (((word >> 30) & 0x1) == 0)
9089 {
9090 if (((word >> 31) & 0x1) == 0)
9091 {
9092 if (((word >> 4) & 0x1) == 0)
9093 {
9094 /* 33222222222211111111110000000000
9095 10987654321098765432109876543210
9096 001001x0xx0xxxxx101xxxxxxxx0xxxx
9097 cmpeq. */
82c70b08 9098 return 1329;
42e6288f
MM
9099 }
9100 else
9101 {
9102 /* 33222222222211111111110000000000
9103 10987654321098765432109876543210
9104 001001x0xx0xxxxx101xxxxxxxx1xxxx
9105 cmpne. */
82c70b08 9106 return 1352;
42e6288f
MM
9107 }
9108 }
9109 else
9110 {
9111 if (((word >> 20) & 0x1) == 0)
9112 {
9113 if (((word >> 22) & 0x1) == 0)
9114 {
9115 if (((word >> 23) & 0x1) == 0)
9116 {
9117 /* 33222222222211111111110000000000
9118 10987654321098765432109876543210
9119 101001x00000xxxx101xxxxxxxxxxxxx
9120 ld1b. */
82c70b08 9121 return 1519;
42e6288f
MM
9122 }
9123 else
9124 {
9125 /* 33222222222211111111110000000000
9126 10987654321098765432109876543210
9127 101001x01000xxxx101xxxxxxxxxxxxx
9128 ld1sw. */
82c70b08 9129 return 1597;
42e6288f
MM
9130 }
9131 }
9132 else
9133 {
9134 if (((word >> 23) & 0x1) == 0)
9135 {
9136 /* 33222222222211111111110000000000
9137 10987654321098765432109876543210
9138 101001x00100xxxx101xxxxxxxxxxxxx
9139 ld1b. */
82c70b08 9140 return 1521;
42e6288f
MM
9141 }
9142 else
9143 {
9144 /* 33222222222211111111110000000000
9145 10987654321098765432109876543210
9146 101001x01100xxxx101xxxxxxxxxxxxx
9147 ld1h. */
82c70b08 9148 return 1542;
42e6288f
MM
9149 }
9150 }
9151 }
9152 else
9153 {
9154 if (((word >> 22) & 0x1) == 0)
9155 {
9156 if (((word >> 23) & 0x1) == 0)
9157 {
9158 /* 33222222222211111111110000000000
9159 10987654321098765432109876543210
9160 101001x00001xxxx101xxxxxxxxxxxxx
9161 ldnf1b. */
82c70b08 9162 return 1711;
42e6288f
MM
9163 }
9164 else
9165 {
9166 /* 33222222222211111111110000000000
9167 10987654321098765432109876543210
9168 101001x01001xxxx101xxxxxxxxxxxxx
9169 ldnf1sw. */
82c70b08 9170 return 1724;
42e6288f
MM
9171 }
9172 }
9173 else
9174 {
9175 if (((word >> 23) & 0x1) == 0)
9176 {
9177 /* 33222222222211111111110000000000
9178 10987654321098765432109876543210
9179 101001x00101xxxx101xxxxxxxxxxxxx
9180 ldnf1b. */
82c70b08 9181 return 1713;
42e6288f
MM
9182 }
9183 else
9184 {
9185 /* 33222222222211111111110000000000
9186 10987654321098765432109876543210
9187 101001x01101xxxx101xxxxxxxxxxxxx
9188 ldnf1h. */
82c70b08 9189 return 1717;
42e6288f
MM
9190 }
9191 }
9192 }
9193 }
9194 }
9195 else
9196 {
9197 if (((word >> 22) & 0x1) == 0)
9198 {
9199 if (((word >> 23) & 0x1) == 0)
9200 {
9201 if (((word >> 31) & 0x1) == 0)
9202 {
9203 /* 33222222222211111111110000000000
9204 10987654321098765432109876543210
9205 011001x0000xxxxx101xxxxxxxxxxxxx
9206 fcvtxnt. */
82c70b08 9207 return 2083;
42e6288f
MM
9208 }
9209 else
9210 {
9211 /* 33222222222211111111110000000000
9212 10987654321098765432109876543210
9213 111001x0000xxxxx101xxxxxxxxxxxxx
9214 st1b. */
82c70b08 9215 return 1876;
42e6288f 9216 }
582e12bf
RS
9217 }
9218 else
9219 {
42e6288f
MM
9220 if (((word >> 31) & 0x1) == 0)
9221 {
9222 if (((word >> 16) & 0x1) == 0)
9223 {
df678013
MM
9224 if (((word >> 17) & 0x1) == 0)
9225 {
9226 /* 33222222222211111111110000000000
9227 10987654321098765432109876543210
9228 011001x0100xxx00101xxxxxxxxxxxxx
9229 fcvtnt. */
82c70b08 9230 return 2080;
df678013
MM
9231 }
9232 else
9233 {
9234 /* 33222222222211111111110000000000
9235 10987654321098765432109876543210
9236 011001x0100xxx10101xxxxxxxxxxxxx
9237 bfcvtnt. */
01a4d082 9238 return 2488;
df678013 9239 }
42e6288f
MM
9240 }
9241 else
9242 {
9243 /* 33222222222211111111110000000000
9244 10987654321098765432109876543210
9245 011001x0100xxxx1101xxxxxxxxxxxxx
9246 fcvtlt. */
82c70b08 9247 return 2078;
42e6288f
MM
9248 }
9249 }
9250 else
9251 {
9252 /* 33222222222211111111110000000000
9253 10987654321098765432109876543210
9254 111001x0100xxxxx101xxxxxxxxxxxxx
9255 st1h. */
82c70b08 9256 return 1895;
42e6288f 9257 }
582e12bf
RS
9258 }
9259 }
9260 else
9261 {
9262 if (((word >> 23) & 0x1) == 0)
9263 {
9264 /* 33222222222211111111110000000000
9265 10987654321098765432109876543210
42e6288f
MM
9266 x11001x0010xxxxx101xxxxxxxxxxxxx
9267 st1b. */
82c70b08 9268 return 1883;
582e12bf
RS
9269 }
9270 else
9271 {
42e6288f
MM
9272 if (((word >> 31) & 0x1) == 0)
9273 {
9274 if (((word >> 16) & 0x1) == 0)
9275 {
9276 /* 33222222222211111111110000000000
9277 10987654321098765432109876543210
9278 011001x0110xxxx0101xxxxxxxxxxxxx
9279 fcvtnt. */
82c70b08 9280 return 2081;
42e6288f
MM
9281 }
9282 else
9283 {
9284 /* 33222222222211111111110000000000
9285 10987654321098765432109876543210
9286 011001x0110xxxx1101xxxxxxxxxxxxx
9287 fcvtlt. */
82c70b08 9288 return 2079;
42e6288f
MM
9289 }
9290 }
9291 else
9292 {
9293 /* 33222222222211111111110000000000
9294 10987654321098765432109876543210
9295 111001x0110xxxxx101xxxxxxxxxxxxx
9296 st1h. */
82c70b08 9297 return 1904;
42e6288f 9298 }
582e12bf
RS
9299 }
9300 }
9301 }
9302 }
42e6288f 9303 else
582e12bf 9304 {
42e6288f 9305 if (((word >> 30) & 0x1) == 0)
582e12bf
RS
9306 {
9307 if (((word >> 31) & 0x1) == 0)
9308 {
9309 if (((word >> 4) & 0x1) == 0)
9310 {
9311 /* 33222222222211111111110000000000
9312 10987654321098765432109876543210
42e6288f
MM
9313 001001x0xx0xxxxx111xxxxxxxx0xxxx
9314 cmplo. */
82c70b08 9315 return 1345;
582e12bf
RS
9316 }
9317 else
9318 {
9319 /* 33222222222211111111110000000000
9320 10987654321098765432109876543210
42e6288f
MM
9321 001001x0xx0xxxxx111xxxxxxxx1xxxx
9322 cmpls. */
82c70b08 9323 return 1347;
582e12bf
RS
9324 }
9325 }
9326 else
9327 {
42e6288f 9328 if (((word >> 22) & 0x1) == 0)
582e12bf 9329 {
42e6288f
MM
9330 if (((word >> 23) & 0x1) == 0)
9331 {
9332 /* 33222222222211111111110000000000
9333 10987654321098765432109876543210
9334 101001x0000xxxxx111xxxxxxxxxxxxx
9335 ldnt1b. */
82c70b08 9336 return 1728;
42e6288f
MM
9337 }
9338 else
9339 {
9340 /* 33222222222211111111110000000000
9341 10987654321098765432109876543210
9342 101001x0100xxxxx111xxxxxxxxxxxxx
9343 ldnt1h. */
82c70b08 9344 return 1732;
42e6288f 9345 }
582e12bf
RS
9346 }
9347 else
9348 {
42e6288f
MM
9349 if (((word >> 23) & 0x1) == 0)
9350 {
9351 /* 33222222222211111111110000000000
9352 10987654321098765432109876543210
9353 101001x0010xxxxx111xxxxxxxxxxxxx
9354 ld3b. */
82c70b08 9355 return 1620;
42e6288f
MM
9356 }
9357 else
9358 {
9359 /* 33222222222211111111110000000000
9360 10987654321098765432109876543210
9361 101001x0110xxxxx111xxxxxxxxxxxxx
9362 ld3h. */
82c70b08 9363 return 1624;
42e6288f 9364 }
582e12bf
RS
9365 }
9366 }
9367 }
9368 else
9369 {
f4950f76 9370 if (((word >> 20) & 0x1) == 0)
582e12bf 9371 {
f4950f76 9372 if (((word >> 22) & 0x1) == 0)
582e12bf
RS
9373 {
9374 /* 33222222222211111111110000000000
9375 10987654321098765432109876543210
42e6288f
MM
9376 x11001x0x000xxxx111xxxxxxxxxxxxx
9377 st1b. */
82c70b08 9378 return 1881;
582e12bf
RS
9379 }
9380 else
9381 {
42e6288f
MM
9382 if (((word >> 23) & 0x1) == 0)
9383 {
9384 /* 33222222222211111111110000000000
9385 10987654321098765432109876543210
f4950f76
JB
9386 x11001x00100xxxx111xxxxxxxxxxxxx
9387 st1b. */
82c70b08 9388 return 1884;
42e6288f
MM
9389 }
9390 else
9391 {
9392 /* 33222222222211111111110000000000
9393 10987654321098765432109876543210
f4950f76
JB
9394 x11001x01100xxxx111xxxxxxxxxxxxx
9395 st1h. */
82c70b08 9396 return 1905;
42e6288f 9397 }
582e12bf
RS
9398 }
9399 }
9400 else
9401 {
f4950f76 9402 if (((word >> 22) & 0x1) == 0)
c0890d26 9403 {
f4950f76 9404 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
9405 {
9406 /* 33222222222211111111110000000000
9407 10987654321098765432109876543210
f4950f76
JB
9408 x11001x00001xxxx111xxxxxxxxxxxxx
9409 stnt1b. */
82c70b08 9410 return 1945;
c0890d26
RS
9411 }
9412 else
9413 {
9414 /* 33222222222211111111110000000000
9415 10987654321098765432109876543210
f4950f76
JB
9416 x11001x01001xxxx111xxxxxxxxxxxxx
9417 stnt1h. */
82c70b08 9418 return 1949;
c0890d26
RS
9419 }
9420 }
9421 else
9422 {
f4950f76 9423 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
9424 {
9425 /* 33222222222211111111110000000000
9426 10987654321098765432109876543210
f4950f76
JB
9427 x11001x00101xxxx111xxxxxxxxxxxxx
9428 st3b. */
82c70b08 9429 return 1929;
c0890d26
RS
9430 }
9431 else
9432 {
f4950f76
JB
9433 /* 33222222222211111111110000000000
9434 10987654321098765432109876543210
9435 x11001x01101xxxx111xxxxxxxxxxxxx
9436 st3h. */
82c70b08 9437 return 1933;
c0890d26
RS
9438 }
9439 }
9440 }
582e12bf
RS
9441 }
9442 }
42e6288f
MM
9443 }
9444 }
9445 }
9446 else
9447 {
9448 if (((word >> 13) & 0x1) == 0)
9449 {
9450 if (((word >> 30) & 0x1) == 0)
9451 {
9452 if (((word >> 31) & 0x1) == 0)
9453 {
9454 if (((word >> 4) & 0x1) == 0)
9455 {
9456 /* 33222222222211111111110000000000
9457 10987654321098765432109876543210
9458 001001x0xx1xxxxxxx0xxxxxxxx0xxxx
9459 cmphs. */
82c70b08 9460 return 1342;
42e6288f
MM
9461 }
9462 else
9463 {
9464 /* 33222222222211111111110000000000
9465 10987654321098765432109876543210
9466 001001x0xx1xxxxxxx0xxxxxxxx1xxxx
9467 cmphi. */
82c70b08 9468 return 1339;
42e6288f
MM
9469 }
9470 }
582e12bf
RS
9471 else
9472 {
8382113f 9473 if (((word >> 14) & 0x1) == 0)
582e12bf 9474 {
8382113f 9475 if (((word >> 23) & 0x1) == 0)
582e12bf 9476 {
8382113f
MM
9477 /* 33222222222211111111110000000000
9478 10987654321098765432109876543210
9479 101001x00x1xxxxxx00xxxxxxxxxxxxx
9480 ld1rob. */
01a4d082 9481 return 2464;
582e12bf 9482 }
c0890d26
RS
9483 else
9484 {
8382113f
MM
9485 /* 33222222222211111111110000000000
9486 10987654321098765432109876543210
9487 101001x01x1xxxxxx00xxxxxxxxxxxxx
9488 ld1roh. */
01a4d082 9489 return 2465;
42e6288f
MM
9490 }
9491 }
9492 else
9493 {
8382113f 9494 if (((word >> 15) & 0x1) == 0)
42e6288f 9495 {
8382113f 9496 if (((word >> 22) & 0x1) == 0)
42e6288f 9497 {
8382113f
MM
9498 if (((word >> 23) & 0x1) == 0)
9499 {
9500 /* 33222222222211111111110000000000
9501 10987654321098765432109876543210
9502 101001x0001xxxxx010xxxxxxxxxxxxx
9503 ld1b. */
82c70b08 9504 return 1513;
8382113f
MM
9505 }
9506 else
9507 {
9508 /* 33222222222211111111110000000000
9509 10987654321098765432109876543210
9510 101001x0101xxxxx010xxxxxxxxxxxxx
9511 ld1h. */
82c70b08 9512 return 1533;
8382113f 9513 }
c0890d26
RS
9514 }
9515 else
9516 {
8382113f
MM
9517 if (((word >> 23) & 0x1) == 0)
9518 {
9519 /* 33222222222211111111110000000000
9520 10987654321098765432109876543210
9521 101001x0011xxxxx010xxxxxxxxxxxxx
9522 ld1b. */
82c70b08 9523 return 1515;
8382113f
MM
9524 }
9525 else
9526 {
9527 /* 33222222222211111111110000000000
9528 10987654321098765432109876543210
9529 101001x0111xxxxx010xxxxxxxxxxxxx
9530 ld1h. */
82c70b08 9531 return 1535;
8382113f 9532 }
582e12bf
RS
9533 }
9534 }
42e6288f 9535 else
582e12bf 9536 {
8382113f 9537 if (((word >> 22) & 0x1) == 0)
582e12bf 9538 {
8382113f
MM
9539 if (((word >> 23) & 0x1) == 0)
9540 {
9541 /* 33222222222211111111110000000000
9542 10987654321098765432109876543210
9543 101001x0001xxxxx110xxxxxxxxxxxxx
9544 ld2b. */
82c70b08 9545 return 1611;
8382113f
MM
9546 }
9547 else
9548 {
9549 /* 33222222222211111111110000000000
9550 10987654321098765432109876543210
9551 101001x0101xxxxx110xxxxxxxxxxxxx
9552 ld2h. */
82c70b08 9553 return 1615;
8382113f 9554 }
582e12bf
RS
9555 }
9556 else
9557 {
8382113f
MM
9558 if (((word >> 23) & 0x1) == 0)
9559 {
9560 /* 33222222222211111111110000000000
9561 10987654321098765432109876543210
9562 101001x0011xxxxx110xxxxxxxxxxxxx
9563 ld4b. */
82c70b08 9564 return 1627;
8382113f
MM
9565 }
9566 else
9567 {
9568 /* 33222222222211111111110000000000
9569 10987654321098765432109876543210
9570 101001x0111xxxxx110xxxxxxxxxxxxx
9571 ld4h. */
82c70b08 9572 return 1631;
8382113f 9573 }
582e12bf
RS
9574 }
9575 }
42e6288f
MM
9576 }
9577 }
9578 }
9579 else
9580 {
9581 if (((word >> 15) & 0x1) == 0)
9582 {
9583 if (((word >> 14) & 0x1) == 0)
9584 {
9585 if (((word >> 12) & 0x1) == 0)
582e12bf 9586 {
42e6288f 9587 if (((word >> 10) & 0x1) == 0)
582e12bf
RS
9588 {
9589 if (((word >> 23) & 0x1) == 0)
9590 {
9591 /* 33222222222211111111110000000000
9592 10987654321098765432109876543210
42e6288f
MM
9593 x11001x00x1xxxxx0000x0xxxxxxxxxx
9594 fmla. */
82c70b08 9595 return 1450;
582e12bf
RS
9596 }
9597 else
9598 {
42e6288f
MM
9599 if (((word >> 22) & 0x1) == 0)
9600 {
9601 /* 33222222222211111111110000000000
9602 10987654321098765432109876543210
9603 x11001x0101xxxxx0000x0xxxxxxxxxx
9604 fmla. */
82c70b08 9605 return 1451;
42e6288f
MM
9606 }
9607 else
9608 {
9609 /* 33222222222211111111110000000000
9610 10987654321098765432109876543210
9611 x11001x0111xxxxx0000x0xxxxxxxxxx
9612 fmla. */
82c70b08 9613 return 1452;
42e6288f 9614 }
582e12bf
RS
9615 }
9616 }
9617 else
9618 {
9619 if (((word >> 23) & 0x1) == 0)
9620 {
9621 /* 33222222222211111111110000000000
9622 10987654321098765432109876543210
42e6288f
MM
9623 x11001x00x1xxxxx0000x1xxxxxxxxxx
9624 fmls. */
82c70b08 9625 return 1454;
582e12bf
RS
9626 }
9627 else
9628 {
42e6288f
MM
9629 if (((word >> 22) & 0x1) == 0)
9630 {
9631 /* 33222222222211111111110000000000
9632 10987654321098765432109876543210
9633 x11001x0101xxxxx0000x1xxxxxxxxxx
9634 fmls. */
82c70b08 9635 return 1455;
42e6288f
MM
9636 }
9637 else
9638 {
9639 /* 33222222222211111111110000000000
9640 10987654321098765432109876543210
9641 x11001x0111xxxxx0000x1xxxxxxxxxx
9642 fmls. */
82c70b08 9643 return 1456;
42e6288f 9644 }
582e12bf 9645 }
c0890d26
RS
9646 }
9647 }
c0890d26
RS
9648 else
9649 {
42e6288f 9650 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
9651 {
9652 /* 33222222222211111111110000000000
9653 10987654321098765432109876543210
42e6288f
MM
9654 x11001x0x01xxxxx0001xxxxxxxxxxxx
9655 fcmla. */
82c70b08 9656 return 1398;
c0890d26
RS
9657 }
9658 else
9659 {
9660 /* 33222222222211111111110000000000
9661 10987654321098765432109876543210
42e6288f
MM
9662 x11001x0x11xxxxx0001xxxxxxxxxxxx
9663 fcmla. */
82c70b08 9664 return 1399;
c0890d26
RS
9665 }
9666 }
9667 }
9668 else
9669 {
9670 if (((word >> 22) & 0x1) == 0)
9671 {
9672 if (((word >> 23) & 0x1) == 0)
9673 {
9674 /* 33222222222211111111110000000000
9675 10987654321098765432109876543210
42e6288f
MM
9676 x11001x0001xxxxx010xxxxxxxxxxxxx
9677 st1b. */
82c70b08 9678 return 1877;
c0890d26
RS
9679 }
9680 else
9681 {
42e6288f
MM
9682 if (((word >> 31) & 0x1) == 0)
9683 {
9684 if (((word >> 10) & 0x1) == 0)
9685 {
9686 /* 33222222222211111111110000000000
9687 10987654321098765432109876543210
9688 011001x0101xxxxx010xx0xxxxxxxxxx
9689 fmlalb. */
82c70b08 9690 return 2089;
42e6288f
MM
9691 }
9692 else
9693 {
9694 /* 33222222222211111111110000000000
9695 10987654321098765432109876543210
9696 011001x0101xxxxx010xx1xxxxxxxxxx
9697 fmlalt. */
82c70b08 9698 return 2091;
42e6288f
MM
9699 }
9700 }
9701 else
9702 {
9703 /* 33222222222211111111110000000000
9704 10987654321098765432109876543210
9705 111001x0101xxxxx010xxxxxxxxxxxxx
9706 st1h. */
82c70b08 9707 return 1896;
42e6288f 9708 }
c0890d26
RS
9709 }
9710 }
9711 else
9712 {
9713 if (((word >> 23) & 0x1) == 0)
9714 {
df678013
MM
9715 if (((word >> 31) & 0x1) == 0)
9716 {
9717 /* 33222222222211111111110000000000
9718 10987654321098765432109876543210
9719 011001x0011xxxxx010xxxxxxxxxxxxx
9720 bfdot. */
01a4d082 9721 return 2485;
df678013
MM
9722 }
9723 else
9724 {
9725 /* 33222222222211111111110000000000
9726 10987654321098765432109876543210
9727 111001x0011xxxxx010xxxxxxxxxxxxx
9728 st1b. */
82c70b08 9729 return 1880;
df678013 9730 }
c0890d26
RS
9731 }
9732 else
9733 {
df678013
MM
9734 if (((word >> 31) & 0x1) == 0)
9735 {
9736 if (((word >> 10) & 0x1) == 0)
9737 {
9738 /* 33222222222211111111110000000000
9739 10987654321098765432109876543210
9740 011001x0111xxxxx010xx0xxxxxxxxxx
9741 bfmlalb. */
01a4d082 9742 return 2492;
df678013
MM
9743 }
9744 else
9745 {
9746 /* 33222222222211111111110000000000
9747 10987654321098765432109876543210
9748 011001x0111xxxxx010xx1xxxxxxxxxx
9749 bfmlalt. */
01a4d082 9750 return 2491;
df678013
MM
9751 }
9752 }
9753 else
9754 {
9755 /* 33222222222211111111110000000000
9756 10987654321098765432109876543210
9757 111001x0111xxxxx010xxxxxxxxxxxxx
9758 st1h. */
82c70b08 9759 return 1901;
df678013 9760 }
c0890d26
RS
9761 }
9762 }
9763 }
9764 }
42e6288f 9765 else
c0890d26 9766 {
42e6288f 9767 if (((word >> 22) & 0x1) == 0)
c0890d26 9768 {
42e6288f 9769 if (((word >> 31) & 0x1) == 0)
582e12bf 9770 {
42e6288f
MM
9771 if (((word >> 10) & 0x1) == 0)
9772 {
9773 /* 33222222222211111111110000000000
9774 10987654321098765432109876543210
9775 011001x0x01xxxxx1x0xx0xxxxxxxxxx
9776 fmlalb. */
82c70b08 9777 return 2090;
42e6288f
MM
9778 }
9779 else
9780 {
9781 /* 33222222222211111111110000000000
9782 10987654321098765432109876543210
9783 011001x0x01xxxxx1x0xx1xxxxxxxxxx
9784 fmlalt. */
82c70b08 9785 return 2092;
42e6288f 9786 }
582e12bf
RS
9787 }
9788 else
9789 {
9790 /* 33222222222211111111110000000000
9791 10987654321098765432109876543210
42e6288f
MM
9792 111001x0x01xxxxx1x0xxxxxxxxxxxxx
9793 st1h. */
82c70b08 9794 return 1897;
582e12bf 9795 }
c0890d26
RS
9796 }
9797 else
c0890d26 9798 {
df678013
MM
9799 if (((word >> 23) & 0x1) == 0)
9800 {
9801 /* 33222222222211111111110000000000
9802 10987654321098765432109876543210
9803 x11001x0011xxxxx1x0xxxxxxxxxxxxx
9804 bfdot. */
01a4d082 9805 return 2484;
df678013
MM
9806 }
9807 else
9808 {
9809 if (((word >> 31) & 0x1) == 0)
9810 {
9811 if (((word >> 10) & 0x1) == 0)
9812 {
9813 /* 33222222222211111111110000000000
9814 10987654321098765432109876543210
9815 011001x0111xxxxx1x0xx0xxxxxxxxxx
9816 bfmlalb. */
01a4d082 9817 return 2490;
df678013
MM
9818 }
9819 else
9820 {
9821 /* 33222222222211111111110000000000
9822 10987654321098765432109876543210
9823 011001x0111xxxxx1x0xx1xxxxxxxxxx
9824 bfmlalt. */
01a4d082 9825 return 2489;
df678013
MM
9826 }
9827 }
9828 else
9829 {
9830 /* 33222222222211111111110000000000
9831 10987654321098765432109876543210
9832 111001x0111xxxxx1x0xxxxxxxxxxxxx
9833 st1h. */
82c70b08 9834 return 1902;
df678013
MM
9835 }
9836 }
42e6288f
MM
9837 }
9838 }
9839 }
9840 }
9841 else
9842 {
9843 if (((word >> 30) & 0x1) == 0)
9844 {
9845 if (((word >> 31) & 0x1) == 0)
9846 {
9847 if (((word >> 4) & 0x1) == 0)
9848 {
9849 /* 33222222222211111111110000000000
9850 10987654321098765432109876543210
9851 001001x0xx1xxxxxxx1xxxxxxxx0xxxx
9852 cmplo. */
82c70b08 9853 return 1346;
42e6288f
MM
9854 }
9855 else
9856 {
9857 /* 33222222222211111111110000000000
9858 10987654321098765432109876543210
9859 001001x0xx1xxxxxxx1xxxxxxxx1xxxx
9860 cmpls. */
82c70b08 9861 return 1348;
42e6288f
MM
9862 }
9863 }
9864 else
9865 {
9866 if (((word >> 14) & 0x1) == 0)
9867 {
8382113f 9868 if (((word >> 15) & 0x1) == 0)
c0890d26 9869 {
8382113f 9870 if (((word >> 23) & 0x1) == 0)
c0890d26 9871 {
8382113f
MM
9872 /* 33222222222211111111110000000000
9873 10987654321098765432109876543210
9874 101001x00x1xxxxx001xxxxxxxxxxxxx
9875 ld1rob. */
01a4d082 9876 return 2468;
c0890d26
RS
9877 }
9878 else
9879 {
8382113f
MM
9880 /* 33222222222211111111110000000000
9881 10987654321098765432109876543210
9882 101001x01x1xxxxx001xxxxxxxxxxxxx
9883 ld1roh. */
01a4d082 9884 return 2469;
c0890d26
RS
9885 }
9886 }
9887 else
9888 {
8382113f 9889 if (((word >> 20) & 0x1) == 0)
c0890d26 9890 {
8382113f 9891 if (((word >> 22) & 0x1) == 0)
c0890d26 9892 {
8382113f
MM
9893 if (((word >> 23) & 0x1) == 0)
9894 {
9895 /* 33222222222211111111110000000000
9896 10987654321098765432109876543210
9897 101001x00010xxxx101xxxxxxxxxxxxx
9898 ld1b. */
82c70b08 9899 return 1520;
8382113f
MM
9900 }
9901 else
9902 {
9903 /* 33222222222211111111110000000000
9904 10987654321098765432109876543210
9905 101001x01010xxxx101xxxxxxxxxxxxx
9906 ld1h. */
82c70b08 9907 return 1541;
8382113f 9908 }
c0890d26
RS
9909 }
9910 else
9911 {
8382113f
MM
9912 if (((word >> 23) & 0x1) == 0)
9913 {
9914 /* 33222222222211111111110000000000
9915 10987654321098765432109876543210
9916 101001x00110xxxx101xxxxxxxxxxxxx
9917 ld1b. */
82c70b08 9918 return 1522;
8382113f
MM
9919 }
9920 else
9921 {
9922 /* 33222222222211111111110000000000
9923 10987654321098765432109876543210
9924 101001x01110xxxx101xxxxxxxxxxxxx
9925 ld1h. */
82c70b08 9926 return 1543;
8382113f 9927 }
c0890d26
RS
9928 }
9929 }
9930 else
9931 {
8382113f 9932 if (((word >> 22) & 0x1) == 0)
c0890d26 9933 {
8382113f
MM
9934 if (((word >> 23) & 0x1) == 0)
9935 {
9936 /* 33222222222211111111110000000000
9937 10987654321098765432109876543210
9938 101001x00011xxxx101xxxxxxxxxxxxx
9939 ldnf1b. */
82c70b08 9940 return 1712;
8382113f
MM
9941 }
9942 else
9943 {
9944 /* 33222222222211111111110000000000
9945 10987654321098765432109876543210
9946 101001x01011xxxx101xxxxxxxxxxxxx
9947 ldnf1h. */
82c70b08 9948 return 1716;
8382113f
MM
9949 }
9950 }
9951 else
9952 {
9953 if (((word >> 23) & 0x1) == 0)
9954 {
9955 /* 33222222222211111111110000000000
9956 10987654321098765432109876543210
9957 101001x00111xxxx101xxxxxxxxxxxxx
9958 ldnf1b. */
82c70b08 9959 return 1714;
8382113f
MM
9960 }
9961 else
9962 {
9963 /* 33222222222211111111110000000000
9964 10987654321098765432109876543210
9965 101001x01111xxxx101xxxxxxxxxxxxx
9966 ldnf1h. */
82c70b08 9967 return 1718;
8382113f 9968 }
c0890d26
RS
9969 }
9970 }
9971 }
9972 }
9973 else
9974 {
42e6288f 9975 if (((word >> 15) & 0x1) == 0)
c0890d26 9976 {
42e6288f 9977 if (((word >> 22) & 0x1) == 0)
c0890d26 9978 {
42e6288f
MM
9979 if (((word >> 23) & 0x1) == 0)
9980 {
9981 /* 33222222222211111111110000000000
9982 10987654321098765432109876543210
9983 101001x0001xxxxx011xxxxxxxxxxxxx
9984 ldff1b. */
82c70b08 9985 return 1638;
42e6288f
MM
9986 }
9987 else
9988 {
9989 /* 33222222222211111111110000000000
9990 10987654321098765432109876543210
9991 101001x0101xxxxx011xxxxxxxxxxxxx
9992 ldff1h. */
82c70b08 9993 return 1657;
42e6288f 9994 }
c0890d26
RS
9995 }
9996 else
9997 {
42e6288f
MM
9998 if (((word >> 23) & 0x1) == 0)
9999 {
10000 /* 33222222222211111111110000000000
10001 10987654321098765432109876543210
10002 101001x0011xxxxx011xxxxxxxxxxxxx
10003 ldff1b. */
82c70b08 10004 return 1642;
42e6288f
MM
10005 }
10006 else
10007 {
10008 /* 33222222222211111111110000000000
10009 10987654321098765432109876543210
10010 101001x0111xxxxx011xxxxxxxxxxxxx
10011 ldff1h. */
82c70b08 10012 return 1661;
42e6288f 10013 }
c0890d26
RS
10014 }
10015 }
10016 else
10017 {
42e6288f 10018 if (((word >> 22) & 0x1) == 0)
c0890d26 10019 {
42e6288f
MM
10020 if (((word >> 23) & 0x1) == 0)
10021 {
10022 /* 33222222222211111111110000000000
10023 10987654321098765432109876543210
10024 101001x0001xxxxx111xxxxxxxxxxxxx
10025 ld2b. */
82c70b08 10026 return 1612;
42e6288f
MM
10027 }
10028 else
10029 {
10030 /* 33222222222211111111110000000000
10031 10987654321098765432109876543210
10032 101001x0101xxxxx111xxxxxxxxxxxxx
10033 ld2h. */
82c70b08 10034 return 1616;
42e6288f 10035 }
c0890d26
RS
10036 }
10037 else
10038 {
42e6288f
MM
10039 if (((word >> 23) & 0x1) == 0)
10040 {
10041 /* 33222222222211111111110000000000
10042 10987654321098765432109876543210
10043 101001x0011xxxxx111xxxxxxxxxxxxx
10044 ld4b. */
82c70b08 10045 return 1628;
42e6288f
MM
10046 }
10047 else
10048 {
10049 /* 33222222222211111111110000000000
10050 10987654321098765432109876543210
10051 101001x0111xxxxx111xxxxxxxxxxxxx
10052 ld4h. */
82c70b08 10053 return 1632;
42e6288f 10054 }
c0890d26
RS
10055 }
10056 }
10057 }
10058 }
10059 }
10060 else
10061 {
582e12bf 10062 if (((word >> 14) & 0x1) == 0)
c0890d26 10063 {
42e6288f 10064 if (((word >> 15) & 0x1) == 0)
c0890d26 10065 {
42e6288f 10066 if (((word >> 23) & 0x1) == 0)
c0890d26 10067 {
42e6288f
MM
10068 /* 33222222222211111111110000000000
10069 10987654321098765432109876543210
10070 x11001x00x1xxxxx001xxxxxxxxxxxxx
10071 fmul. */
82c70b08 10072 return 1461;
42e6288f
MM
10073 }
10074 else
10075 {
10076 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
10077 {
10078 /* 33222222222211111111110000000000
10079 10987654321098765432109876543210
42e6288f
MM
10080 x11001x0101xxxxx001xxxxxxxxxxxxx
10081 fmul. */
82c70b08 10082 return 1462;
c0890d26
RS
10083 }
10084 else
10085 {
10086 /* 33222222222211111111110000000000
10087 10987654321098765432109876543210
42e6288f
MM
10088 x11001x0111xxxxx001xxxxxxxxxxxxx
10089 fmul. */
82c70b08 10090 return 1463;
c0890d26
RS
10091 }
10092 }
10093 }
10094 else
10095 {
10096 if (((word >> 22) & 0x1) == 0)
10097 {
42e6288f 10098 if (((word >> 31) & 0x1) == 0)
c0890d26 10099 {
42e6288f
MM
10100 if (((word >> 10) & 0x1) == 0)
10101 {
10102 /* 33222222222211111111110000000000
10103 10987654321098765432109876543210
10104 011001x0x01xxxxx101xx0xxxxxxxxxx
10105 fmlslb. */
82c70b08 10106 return 2094;
42e6288f
MM
10107 }
10108 else
10109 {
10110 /* 33222222222211111111110000000000
10111 10987654321098765432109876543210
10112 011001x0x01xxxxx101xx1xxxxxxxxxx
10113 fmlslt. */
82c70b08 10114 return 2096;
42e6288f 10115 }
c0890d26
RS
10116 }
10117 else
10118 {
10119 /* 33222222222211111111110000000000
10120 10987654321098765432109876543210
42e6288f 10121 111001x0x01xxxxx101xxxxxxxxxxxxx
582e12bf 10122 st1h. */
82c70b08 10123 return 1898;
c0890d26
RS
10124 }
10125 }
10126 else
10127 {
10128 if (((word >> 23) & 0x1) == 0)
10129 {
10130 /* 33222222222211111111110000000000
10131 10987654321098765432109876543210
42e6288f 10132 x11001x0011xxxxx101xxxxxxxxxxxxx
582e12bf 10133 st1b. */
82c70b08 10134 return 1885;
c0890d26
RS
10135 }
10136 else
10137 {
10138 /* 33222222222211111111110000000000
10139 10987654321098765432109876543210
42e6288f 10140 x11001x0111xxxxx101xxxxxxxxxxxxx
582e12bf 10141 st1h. */
82c70b08 10142 return 1906;
c0890d26
RS
10143 }
10144 }
10145 }
10146 }
10147 else
10148 {
42e6288f 10149 if (((word >> 15) & 0x1) == 0)
c0890d26 10150 {
42e6288f 10151 if (((word >> 22) & 0x1) == 0)
c0890d26 10152 {
42e6288f 10153 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
10154 {
10155 /* 33222222222211111111110000000000
10156 10987654321098765432109876543210
42e6288f
MM
10157 x11001x0001xxxxx011xxxxxxxxxxxxx
10158 st2b. */
82c70b08 10159 return 1920;
c0890d26
RS
10160 }
10161 else
10162 {
42e6288f 10163 if (((word >> 31) & 0x1) == 0)
c0890d26 10164 {
42e6288f
MM
10165 if (((word >> 10) & 0x1) == 0)
10166 {
10167 /* 33222222222211111111110000000000
10168 10987654321098765432109876543210
10169 011001x0101xxxxx011xx0xxxxxxxxxx
10170 fmlslb. */
82c70b08 10171 return 2093;
42e6288f
MM
10172 }
10173 else
10174 {
10175 /* 33222222222211111111110000000000
10176 10987654321098765432109876543210
10177 011001x0101xxxxx011xx1xxxxxxxxxx
10178 fmlslt. */
82c70b08 10179 return 2095;
42e6288f 10180 }
c0890d26
RS
10181 }
10182 else
10183 {
10184 /* 33222222222211111111110000000000
10185 10987654321098765432109876543210
42e6288f
MM
10186 111001x0101xxxxx011xxxxxxxxxxxxx
10187 st2h. */
82c70b08 10188 return 1924;
c0890d26
RS
10189 }
10190 }
42e6288f
MM
10191 }
10192 else
10193 {
10194 if (((word >> 23) & 0x1) == 0)
10195 {
10196 /* 33222222222211111111110000000000
10197 10987654321098765432109876543210
10198 x11001x0011xxxxx011xxxxxxxxxxxxx
10199 st4b. */
82c70b08 10200 return 1936;
42e6288f 10201 }
c0890d26 10202 else
42e6288f
MM
10203 {
10204 /* 33222222222211111111110000000000
10205 10987654321098765432109876543210
10206 x11001x0111xxxxx011xxxxxxxxxxxxx
10207 st4h. */
82c70b08 10208 return 1940;
42e6288f
MM
10209 }
10210 }
10211 }
10212 else
10213 {
df678013 10214 if (((word >> 22) & 0x1) == 0)
42e6288f 10215 {
8382113f 10216 if (((word >> 23) & 0x1) == 0)
c0890d26 10217 {
8382113f 10218 if (((word >> 20) & 0x1) == 0)
c0890d26
RS
10219 {
10220 /* 33222222222211111111110000000000
10221 10987654321098765432109876543210
42e6288f
MM
10222 x11001x00010xxxx111xxxxxxxxxxxxx
10223 st1b. */
82c70b08 10224 return 1882;
c0890d26
RS
10225 }
10226 else
10227 {
10228 /* 33222222222211111111110000000000
10229 10987654321098765432109876543210
8382113f
MM
10230 x11001x00011xxxx111xxxxxxxxxxxxx
10231 st2b. */
82c70b08 10232 return 1921;
c0890d26
RS
10233 }
10234 }
c0890d26
RS
10235 else
10236 {
8382113f 10237 if (((word >> 31) & 0x1) == 0)
c0890d26
RS
10238 {
10239 /* 33222222222211111111110000000000
10240 10987654321098765432109876543210
8382113f
MM
10241 011001x0101xxxxx111xxxxxxxxxxxxx
10242 fmmla. */
01a4d082 10243 return 2462;
c0890d26
RS
10244 }
10245 else
10246 {
8382113f
MM
10247 if (((word >> 20) & 0x1) == 0)
10248 {
10249 /* 33222222222211111111110000000000
10250 10987654321098765432109876543210
10251 111001x01010xxxx111xxxxxxxxxxxxx
10252 st1h. */
82c70b08 10253 return 1903;
8382113f
MM
10254 }
10255 else
10256 {
10257 /* 33222222222211111111110000000000
10258 10987654321098765432109876543210
10259 111001x01011xxxx111xxxxxxxxxxxxx
10260 st2h. */
82c70b08 10261 return 1925;
8382113f 10262 }
c0890d26
RS
10263 }
10264 }
10265 }
10266 else
10267 {
df678013 10268 if (((word >> 23) & 0x1) == 0)
c0890d26 10269 {
df678013 10270 if (((word >> 31) & 0x1) == 0)
c0890d26
RS
10271 {
10272 /* 33222222222211111111110000000000
10273 10987654321098765432109876543210
df678013
MM
10274 011001x0011xxxxx111xxxxxxxxxxxxx
10275 bfmmla. */
01a4d082 10276 return 2486;
c0890d26
RS
10277 }
10278 else
10279 {
df678013
MM
10280 if (((word >> 20) & 0x1) == 0)
10281 {
10282 /* 33222222222211111111110000000000
10283 10987654321098765432109876543210
10284 111001x00110xxxx111xxxxxxxxxxxxx
10285 st1b. */
82c70b08 10286 return 1886;
df678013
MM
10287 }
10288 else
10289 {
10290 /* 33222222222211111111110000000000
10291 10987654321098765432109876543210
10292 111001x00111xxxx111xxxxxxxxxxxxx
10293 st4b. */
82c70b08 10294 return 1937;
df678013 10295 }
c0890d26
RS
10296 }
10297 }
10298 else
10299 {
f4950f76 10300 if (((word >> 31) & 0x1) == 0)
c0890d26
RS
10301 {
10302 /* 33222222222211111111110000000000
10303 10987654321098765432109876543210
f4950f76
JB
10304 011001x0111xxxxx111xxxxxxxxxxxxx
10305 fmmla. */
01a4d082 10306 return 2463;
c0890d26
RS
10307 }
10308 else
10309 {
f4950f76
JB
10310 if (((word >> 20) & 0x1) == 0)
10311 {
10312 /* 33222222222211111111110000000000
10313 10987654321098765432109876543210
10314 111001x01110xxxx111xxxxxxxxxxxxx
10315 st1h. */
82c70b08 10316 return 1907;
f4950f76
JB
10317 }
10318 else
10319 {
10320 /* 33222222222211111111110000000000
10321 10987654321098765432109876543210
10322 111001x01111xxxx111xxxxxxxxxxxxx
10323 st4h. */
82c70b08 10324 return 1941;
f4950f76 10325 }
582e12bf
RS
10326 }
10327 }
10328 }
10329 }
10330 }
10331 }
10332 }
10333 }
42e6288f
MM
10334 }
10335 }
10336 else
10337 {
10338 if (((word >> 29) & 0x1) == 0)
10339 {
10340 if (((word >> 30) & 0x1) == 0)
582e12bf 10341 {
42e6288f 10342 if (((word >> 31) & 0x1) == 0)
582e12bf 10343 {
42e6288f 10344 if (((word >> 21) & 0x1) == 0)
582e12bf 10345 {
42e6288f 10346 if (((word >> 20) & 0x1) == 0)
582e12bf 10347 {
42e6288f 10348 if (((word >> 22) & 0x1) == 0)
582e12bf 10349 {
42e6288f
MM
10350 if (((word >> 23) & 0x1) == 0)
10351 {
10352 /* 33222222222211111111110000000000
10353 10987654321098765432109876543210
10354 000001x10000xxxxxxxxxxxxxxxxxxxx
10355 orr. */
82c70b08 10356 return 1766;
42e6288f
MM
10357 }
10358 else
10359 {
10360 /* 33222222222211111111110000000000
10361 10987654321098765432109876543210
10362 000001x11000xxxxxxxxxxxxxxxxxxxx
10363 and. */
82c70b08 10364 return 1294;
42e6288f 10365 }
582e12bf
RS
10366 }
10367 else
10368 {
42e6288f
MM
10369 if (((word >> 23) & 0x1) == 0)
10370 {
10371 /* 33222222222211111111110000000000
10372 10987654321098765432109876543210
10373 000001x10100xxxxxxxxxxxxxxxxxxxx
10374 eor. */
82c70b08 10375 return 1381;
42e6288f
MM
10376 }
10377 else
10378 {
10379 /* 33222222222211111111110000000000
10380 10987654321098765432109876543210
10381 000001x11100xxxxxxxxxxxxxxxxxxxx
10382 dupm. */
82c70b08 10383 return 1379;
42e6288f 10384 }
582e12bf
RS
10385 }
10386 }
10387 else
10388 {
10389 if (((word >> 15) & 0x1) == 0)
10390 {
42e6288f
MM
10391 /* 33222222222211111111110000000000
10392 10987654321098765432109876543210
10393 000001x1xx01xxxx0xxxxxxxxxxxxxxx
10394 cpy. */
82c70b08 10395 return 1364;
42e6288f
MM
10396 }
10397 else
10398 {
10399 /* 33222222222211111111110000000000
10400 10987654321098765432109876543210
10401 000001x1xx01xxxx1xxxxxxxxxxxxxxx
10402 fcpy. */
82c70b08 10403 return 1411;
582e12bf 10404 }
42e6288f
MM
10405 }
10406 }
10407 else
10408 {
10409 if (((word >> 14) & 0x1) == 0)
10410 {
10411 if (((word >> 13) & 0x1) == 0)
582e12bf 10412 {
42e6288f 10413 if (((word >> 15) & 0x1) == 0)
582e12bf 10414 {
42e6288f 10415 if (((word >> 22) & 0x1) == 0)
582e12bf 10416 {
8382113f
MM
10417 if (((word >> 23) & 0x1) == 0)
10418 {
10419 /* 33222222222211111111110000000000
10420 10987654321098765432109876543210
10421 000001x1001xxxxx000xxxxxxxxxxxxx
10422 ext. */
82c70b08 10423 return 1386;
8382113f
MM
10424 }
10425 else
10426 {
10427 if (((word >> 10) & 0x1) == 0)
10428 {
10429 if (((word >> 11) & 0x1) == 0)
10430 {
10431 /* 33222222222211111111110000000000
10432 10987654321098765432109876543210
10433 000001x1101xxxxx000x00xxxxxxxxxx
10434 zip1. */
01a4d082 10435 return 2472;
8382113f
MM
10436 }
10437 else
10438 {
10439 if (((word >> 12) & 0x1) == 0)
10440 {
10441 /* 33222222222211111111110000000000
10442 10987654321098765432109876543210
10443 000001x1101xxxxx000010xxxxxxxxxx
8c45011a 10444 uzp1. */
01a4d082 10445 return 2474;
8382113f
MM
10446 }
10447 else
10448 {
10449 /* 33222222222211111111110000000000
10450 10987654321098765432109876543210
10451 000001x1101xxxxx000110xxxxxxxxxx
10452 trn1. */
01a4d082 10453 return 2476;
8382113f
MM
10454 }
10455 }
10456 }
10457 else
10458 {
10459 if (((word >> 11) & 0x1) == 0)
10460 {
10461 /* 33222222222211111111110000000000
10462 10987654321098765432109876543210
10463 000001x1101xxxxx000x01xxxxxxxxxx
10464 zip2. */
01a4d082 10465 return 2473;
8382113f
MM
10466 }
10467 else
10468 {
10469 if (((word >> 12) & 0x1) == 0)
10470 {
10471 /* 33222222222211111111110000000000
10472 10987654321098765432109876543210
10473 000001x1101xxxxx000011xxxxxxxxxx
8c45011a 10474 uzp2. */
01a4d082 10475 return 2475;
8382113f
MM
10476 }
10477 else
10478 {
10479 /* 33222222222211111111110000000000
10480 10987654321098765432109876543210
10481 000001x1101xxxxx000111xxxxxxxxxx
10482 trn2. */
01a4d082 10483 return 2477;
8382113f
MM
10484 }
10485 }
10486 }
10487 }
582e12bf
RS
10488 }
10489 else
10490 {
10491 /* 33222222222211111111110000000000
10492 10987654321098765432109876543210
42e6288f
MM
10493 000001x1x11xxxxx000xxxxxxxxxxxxx
10494 ext. */
82c70b08 10495 return 2076;
582e12bf
RS
10496 }
10497 }
10498 else
10499 {
42e6288f 10500 if (((word >> 16) & 0x1) == 0)
582e12bf 10501 {
42e6288f
MM
10502 if (((word >> 17) & 0x1) == 0)
10503 {
10504 if (((word >> 18) & 0x1) == 0)
10505 {
10506 if (((word >> 19) & 0x1) == 0)
10507 {
10508 /* 33222222222211111111110000000000
10509 10987654321098765432109876543210
10510 000001x1xx1x0000100xxxxxxxxxxxxx
10511 cpy. */
82c70b08 10512 return 1362;
42e6288f
MM
10513 }
10514 else
10515 {
10516 /* 33222222222211111111110000000000
10517 10987654321098765432109876543210
10518 000001x1xx1x1000100xxxxxxxxxxxxx
10519 clasta. */
82c70b08 10520 return 1320;
42e6288f
MM
10521 }
10522 }
10523 else
10524 {
10525 if (((word >> 19) & 0x1) == 0)
10526 {
10527 /* 33222222222211111111110000000000
10528 10987654321098765432109876543210
10529 000001x1xx1x0100100xxxxxxxxxxxxx
10530 revb. */
82c70b08 10531 return 1814;
42e6288f
MM
10532 }
10533 else
10534 {
10535 /* 33222222222211111111110000000000
10536 10987654321098765432109876543210
10537 000001x1xx1x1100100xxxxxxxxxxxxx
10538 splice. */
82c70b08 10539 return 1841;
42e6288f
MM
10540 }
10541 }
10542 }
10543 else
10544 {
10545 if (((word >> 18) & 0x1) == 0)
10546 {
10547 if (((word >> 19) & 0x1) == 0)
10548 {
10549 /* 33222222222211111111110000000000
10550 10987654321098765432109876543210
10551 000001x1xx1x0010100xxxxxxxxxxxxx
10552 lasta. */
82c70b08 10553 return 1508;
42e6288f
MM
10554 }
10555 else
10556 {
10557 /* 33222222222211111111110000000000
10558 10987654321098765432109876543210
10559 000001x1xx1x1010100xxxxxxxxxxxxx
10560 clasta. */
82c70b08 10561 return 1321;
42e6288f
MM
10562 }
10563 }
10564 else
10565 {
10566 /* 33222222222211111111110000000000
10567 10987654321098765432109876543210
10568 000001x1xx1xx110100xxxxxxxxxxxxx
10569 revw. */
82c70b08 10570 return 1816;
42e6288f
MM
10571 }
10572 }
582e12bf
RS
10573 }
10574 else
10575 {
42e6288f
MM
10576 if (((word >> 17) & 0x1) == 0)
10577 {
10578 if (((word >> 18) & 0x1) == 0)
10579 {
10580 if (((word >> 19) & 0x1) == 0)
10581 {
10582 /* 33222222222211111111110000000000
10583 10987654321098765432109876543210
10584 000001x1xx1x0001100xxxxxxxxxxxxx
10585 compact. */
82c70b08 10586 return 1361;
42e6288f
MM
10587 }
10588 else
10589 {
10590 /* 33222222222211111111110000000000
10591 10987654321098765432109876543210
10592 000001x1xx1x1001100xxxxxxxxxxxxx
10593 clastb. */
82c70b08 10594 return 1323;
42e6288f
MM
10595 }
10596 }
10597 else
10598 {
10599 if (((word >> 19) & 0x1) == 0)
10600 {
10601 /* 33222222222211111111110000000000
10602 10987654321098765432109876543210
10603 000001x1xx1x0101100xxxxxxxxxxxxx
10604 revh. */
82c70b08 10605 return 1815;
42e6288f
MM
10606 }
10607 else
10608 {
10609 /* 33222222222211111111110000000000
10610 10987654321098765432109876543210
10611 000001x1xx1x1101100xxxxxxxxxxxxx
10612 splice. */
82c70b08 10613 return 2171;
42e6288f
MM
10614 }
10615 }
10616 }
10617 else
10618 {
10619 if (((word >> 18) & 0x1) == 0)
10620 {
10621 if (((word >> 19) & 0x1) == 0)
10622 {
10623 /* 33222222222211111111110000000000
10624 10987654321098765432109876543210
10625 000001x1xx1x0011100xxxxxxxxxxxxx
10626 lastb. */
82c70b08 10627 return 1510;
42e6288f
MM
10628 }
10629 else
10630 {
10631 /* 33222222222211111111110000000000
10632 10987654321098765432109876543210
10633 000001x1xx1x1011100xxxxxxxxxxxxx
10634 clastb. */
82c70b08 10635 return 1324;
42e6288f
MM
10636 }
10637 }
10638 else
10639 {
10640 /* 33222222222211111111110000000000
10641 10987654321098765432109876543210
10642 000001x1xx1xx111100xxxxxxxxxxxxx
10643 rbit. */
82c70b08 10644 return 1807;
42e6288f
MM
10645 }
10646 }
582e12bf
RS
10647 }
10648 }
10649 }
42e6288f 10650 else
582e12bf 10651 {
42e6288f
MM
10652 if (((word >> 15) & 0x1) == 0)
10653 {
10654 if (((word >> 10) & 0x1) == 0)
10655 {
10656 if (((word >> 11) & 0x1) == 0)
10657 {
10658 if (((word >> 12) & 0x1) == 0)
10659 {
10660 /* 33222222222211111111110000000000
10661 10987654321098765432109876543210
10662 000001x1xx1xxxxx001000xxxxxxxxxx
10663 dup. */
82c70b08 10664 return 1377;
42e6288f
MM
10665 }
10666 else
10667 {
10668 /* 33222222222211111111110000000000
10669 10987654321098765432109876543210
10670 000001x1xx1xxxxx001100xxxxxxxxxx
10671 tbl. */
82c70b08 10672 return 1964;
42e6288f
MM
10673 }
10674 }
10675 else
10676 {
10677 if (((word >> 12) & 0x1) == 0)
10678 {
10679 /* 33222222222211111111110000000000
10680 10987654321098765432109876543210
10681 000001x1xx1xxxxx001010xxxxxxxxxx
10682 tbl. */
82c70b08 10683 return 2260;
42e6288f
MM
10684 }
10685 else
10686 {
10687 if (((word >> 16) & 0x1) == 0)
10688 {
10689 if (((word >> 17) & 0x1) == 0)
10690 {
10691 if (((word >> 18) & 0x1) == 0)
10692 {
10693 if (((word >> 19) & 0x1) == 0)
10694 {
10695 if (((word >> 20) & 0x1) == 0)
10696 {
10697 /* 33222222222211111111110000000000
10698 10987654321098765432109876543210
10699 000001x1xx100000001110xxxxxxxxxx
10700 dup. */
82c70b08 10701 return 1376;
42e6288f
MM
10702 }
10703 else
10704 {
10705 /* 33222222222211111111110000000000
10706 10987654321098765432109876543210
10707 000001x1xx110000001110xxxxxxxxxx
10708 sunpklo. */
82c70b08 10709 return 1960;
42e6288f
MM
10710 }
10711 }
10712 else
10713 {
10714 /* 33222222222211111111110000000000
10715 10987654321098765432109876543210
10716 000001x1xx1x1000001110xxxxxxxxxx
10717 rev. */
82c70b08 10718 return 1813;
42e6288f
MM
10719 }
10720 }
10721 else
10722 {
10723 if (((word >> 20) & 0x1) == 0)
10724 {
10725 /* 33222222222211111111110000000000
10726 10987654321098765432109876543210
10727 000001x1xx10x100001110xxxxxxxxxx
10728 insr. */
82c70b08 10729 return 1505;
42e6288f
MM
10730 }
10731 else
10732 {
10733 /* 33222222222211111111110000000000
10734 10987654321098765432109876543210
10735 000001x1xx11x100001110xxxxxxxxxx
10736 insr. */
82c70b08 10737 return 1506;
42e6288f
MM
10738 }
10739 }
10740 }
10741 else
10742 {
10743 /* 33222222222211111111110000000000
10744 10987654321098765432109876543210
10745 000001x1xx1xxx10001110xxxxxxxxxx
10746 uunpklo. */
82c70b08 10747 return 2023;
42e6288f
MM
10748 }
10749 }
10750 else
10751 {
10752 if (((word >> 17) & 0x1) == 0)
10753 {
10754 /* 33222222222211111111110000000000
10755 10987654321098765432109876543210
10756 000001x1xx1xxx01001110xxxxxxxxxx
10757 sunpkhi. */
82c70b08 10758 return 1959;
42e6288f
MM
10759 }
10760 else
10761 {
10762 /* 33222222222211111111110000000000
10763 10987654321098765432109876543210
10764 000001x1xx1xxx11001110xxxxxxxxxx
10765 uunpkhi. */
82c70b08 10766 return 2022;
42e6288f
MM
10767 }
10768 }
10769 }
10770 }
10771 }
10772 else
10773 {
10774 /* 33222222222211111111110000000000
10775 10987654321098765432109876543210
10776 000001x1xx1xxxxx001xx1xxxxxxxxxx
10777 tbx. */
82c70b08 10778 return 2261;
42e6288f
MM
10779 }
10780 }
10781 else
582e12bf 10782 {
42e6288f 10783 if (((word >> 16) & 0x1) == 0)
582e12bf 10784 {
42e6288f 10785 if (((word >> 19) & 0x1) == 0)
582e12bf 10786 {
42e6288f 10787 if (((word >> 20) & 0x1) == 0)
582e12bf
RS
10788 {
10789 /* 33222222222211111111110000000000
10790 10987654321098765432109876543210
42e6288f
MM
10791 000001x1xx100xx0101xxxxxxxxxxxxx
10792 lasta. */
82c70b08 10793 return 1507;
582e12bf
RS
10794 }
10795 else
10796 {
10797 /* 33222222222211111111110000000000
10798 10987654321098765432109876543210
42e6288f
MM
10799 000001x1xx110xx0101xxxxxxxxxxxxx
10800 clasta. */
82c70b08 10801 return 1322;
582e12bf
RS
10802 }
10803 }
42e6288f
MM
10804 else
10805 {
10806 /* 33222222222211111111110000000000
10807 10987654321098765432109876543210
10808 000001x1xx1x1xx0101xxxxxxxxxxxxx
10809 cpy. */
82c70b08 10810 return 1363;
42e6288f 10811 }
582e12bf
RS
10812 }
10813 else
10814 {
42e6288f 10815 if (((word >> 20) & 0x1) == 0)
582e12bf
RS
10816 {
10817 /* 33222222222211111111110000000000
10818 10987654321098765432109876543210
42e6288f
MM
10819 000001x1xx10xxx1101xxxxxxxxxxxxx
10820 lastb. */
82c70b08 10821 return 1509;
582e12bf
RS
10822 }
10823 else
10824 {
42e6288f
MM
10825 /* 33222222222211111111110000000000
10826 10987654321098765432109876543210
10827 000001x1xx11xxx1101xxxxxxxxxxxxx
10828 clastb. */
82c70b08 10829 return 1325;
c0890d26
RS
10830 }
10831 }
10832 }
c0890d26
RS
10833 }
10834 }
10835 else
10836 {
42e6288f 10837 if (((word >> 15) & 0x1) == 0)
c0890d26 10838 {
42e6288f 10839 if (((word >> 10) & 0x1) == 0)
c0890d26 10840 {
42e6288f 10841 if (((word >> 11) & 0x1) == 0)
c0890d26 10842 {
42e6288f 10843 if (((word >> 12) & 0x1) == 0)
c0890d26 10844 {
42e6288f
MM
10845 if (((word >> 13) & 0x1) == 0)
10846 {
10847 if (((word >> 20) & 0x1) == 0)
10848 {
10849 /* 33222222222211111111110000000000
10850 10987654321098765432109876543210
10851 000001x1xx10xxxx010000xxxxxxxxxx
10852 zip1. */
82c70b08 10853 return 2040;
42e6288f
MM
10854 }
10855 else
10856 {
10857 if (((word >> 16) & 0x1) == 0)
10858 {
10859 if (((word >> 18) & 0x1) == 0)
10860 {
10861 /* 33222222222211111111110000000000
10862 10987654321098765432109876543210
10863 000001x1xx11x0x0010000xxxxxxxxxx
10864 punpklo. */
82c70b08 10865 return 1806;
42e6288f
MM
10866 }
10867 else
10868 {
10869 /* 33222222222211111111110000000000
10870 10987654321098765432109876543210
10871 000001x1xx11x1x0010000xxxxxxxxxx
10872 rev. */
82c70b08 10873 return 1812;
42e6288f
MM
10874 }
10875 }
10876 else
10877 {
10878 /* 33222222222211111111110000000000
10879 10987654321098765432109876543210
10880 000001x1xx11xxx1010000xxxxxxxxxx
10881 punpkhi. */
82c70b08 10882 return 1805;
42e6288f
MM
10883 }
10884 }
10885 }
10886 else
10887 {
10888 /* 33222222222211111111110000000000
10889 10987654321098765432109876543210
10890 000001x1xx1xxxxx011000xxxxxxxxxx
10891 zip1. */
82c70b08 10892 return 2041;
42e6288f 10893 }
c0890d26
RS
10894 }
10895 else
10896 {
42e6288f
MM
10897 if (((word >> 13) & 0x1) == 0)
10898 {
10899 /* 33222222222211111111110000000000
10900 10987654321098765432109876543210
10901 000001x1xx1xxxxx010100xxxxxxxxxx
10902 trn1. */
82c70b08 10903 return 1965;
42e6288f
MM
10904 }
10905 else
10906 {
10907 /* 33222222222211111111110000000000
10908 10987654321098765432109876543210
10909 000001x1xx1xxxxx011100xxxxxxxxxx
10910 trn1. */
82c70b08 10911 return 1966;
42e6288f 10912 }
c0890d26
RS
10913 }
10914 }
10915 else
10916 {
42e6288f 10917 if (((word >> 13) & 0x1) == 0)
c0890d26
RS
10918 {
10919 /* 33222222222211111111110000000000
10920 10987654321098765432109876543210
42e6288f
MM
10921 000001x1xx1xxxxx010x10xxxxxxxxxx
10922 uzp1. */
82c70b08 10923 return 2027;
c0890d26
RS
10924 }
10925 else
10926 {
10927 /* 33222222222211111111110000000000
10928 10987654321098765432109876543210
42e6288f
MM
10929 000001x1xx1xxxxx011x10xxxxxxxxxx
10930 uzp1. */
82c70b08 10931 return 2028;
c0890d26
RS
10932 }
10933 }
10934 }
10935 else
10936 {
42e6288f 10937 if (((word >> 11) & 0x1) == 0)
c0890d26 10938 {
42e6288f 10939 if (((word >> 12) & 0x1) == 0)
c0890d26 10940 {
42e6288f
MM
10941 if (((word >> 13) & 0x1) == 0)
10942 {
10943 /* 33222222222211111111110000000000
10944 10987654321098765432109876543210
10945 000001x1xx1xxxxx010001xxxxxxxxxx
10946 zip2. */
82c70b08 10947 return 2042;
42e6288f
MM
10948 }
10949 else
10950 {
10951 /* 33222222222211111111110000000000
10952 10987654321098765432109876543210
10953 000001x1xx1xxxxx011001xxxxxxxxxx
10954 zip2. */
82c70b08 10955 return 2043;
42e6288f 10956 }
c0890d26
RS
10957 }
10958 else
10959 {
42e6288f
MM
10960 if (((word >> 13) & 0x1) == 0)
10961 {
10962 /* 33222222222211111111110000000000
10963 10987654321098765432109876543210
10964 000001x1xx1xxxxx010101xxxxxxxxxx
10965 trn2. */
82c70b08 10966 return 1967;
42e6288f
MM
10967 }
10968 else
10969 {
10970 /* 33222222222211111111110000000000
10971 10987654321098765432109876543210
10972 000001x1xx1xxxxx011101xxxxxxxxxx
10973 trn2. */
82c70b08 10974 return 1968;
42e6288f 10975 }
c0890d26
RS
10976 }
10977 }
10978 else
10979 {
42e6288f 10980 if (((word >> 13) & 0x1) == 0)
c0890d26
RS
10981 {
10982 /* 33222222222211111111110000000000
10983 10987654321098765432109876543210
42e6288f
MM
10984 000001x1xx1xxxxx010x11xxxxxxxxxx
10985 uzp2. */
82c70b08 10986 return 2029;
c0890d26
RS
10987 }
10988 else
10989 {
10990 /* 33222222222211111111110000000000
10991 10987654321098765432109876543210
42e6288f
MM
10992 000001x1xx1xxxxx011x11xxxxxxxxxx
10993 uzp2. */
82c70b08 10994 return 2030;
c0890d26
RS
10995 }
10996 }
10997 }
10998 }
10999 else
11000 {
42e6288f
MM
11001 /* 33222222222211111111110000000000
11002 10987654321098765432109876543210
11003 000001x1xx1xxxxx11xxxxxxxxxxxxxx
11004 sel. */
82c70b08 11005 return 1831;
42e6288f
MM
11006 }
11007 }
11008 }
11009 }
11010 else
11011 {
11012 if (((word >> 13) & 0x1) == 0)
11013 {
11014 if (((word >> 14) & 0x1) == 0)
11015 {
11016 if (((word >> 15) & 0x1) == 0)
11017 {
11018 if (((word >> 22) & 0x1) == 0)
c0890d26 11019 {
42e6288f
MM
11020 /* 33222222222211111111110000000000
11021 10987654321098765432109876543210
11022 100001x1x0xxxxxx000xxxxxxxxxxxxx
11023 ldr. */
82c70b08 11024 return 1735;
42e6288f
MM
11025 }
11026 else
11027 {
11028 /* 33222222222211111111110000000000
11029 10987654321098765432109876543210
11030 100001x1x1xxxxxx000xxxxxxxxxxxxx
11031 prfb. */
82c70b08 11032 return 1779;
42e6288f
MM
11033 }
11034 }
11035 else
11036 {
11037 if (((word >> 23) & 0x1) == 0)
11038 {
11039 /* 33222222222211111111110000000000
11040 10987654321098765432109876543210
11041 100001x10xxxxxxx100xxxxxxxxxxxxx
11042 ld1rsh. */
82c70b08 11043 return 1564;
42e6288f
MM
11044 }
11045 else
11046 {
11047 /* 33222222222211111111110000000000
11048 10987654321098765432109876543210
11049 100001x11xxxxxxx100xxxxxxxxxxxxx
11050 ld1rsb. */
82c70b08 11051 return 1561;
42e6288f
MM
11052 }
11053 }
11054 }
11055 else
11056 {
11057 if (((word >> 15) & 0x1) == 0)
11058 {
11059 if (((word >> 23) & 0x1) == 0)
11060 {
11061 if (((word >> 21) & 0x1) == 0)
c0890d26 11062 {
42e6288f
MM
11063 /* 33222222222211111111110000000000
11064 10987654321098765432109876543210
11065 100001x10x0xxxxx010xxxxxxxxxxxxx
11066 ld1w. */
82c70b08 11067 return 1599;
c0890d26
RS
11068 }
11069 else
11070 {
42e6288f
MM
11071 /* 33222222222211111111110000000000
11072 10987654321098765432109876543210
11073 100001x10x1xxxxx010xxxxxxxxxxxxx
11074 ld1w. */
82c70b08 11075 return 1600;
c0890d26
RS
11076 }
11077 }
11078 else
11079 {
11080 if (((word >> 22) & 0x1) == 0)
11081 {
42e6288f
MM
11082 /* 33222222222211111111110000000000
11083 10987654321098765432109876543210
11084 100001x110xxxxxx010xxxxxxxxxxxxx
11085 ldr. */
82c70b08 11086 return 1736;
c0890d26
RS
11087 }
11088 else
42e6288f
MM
11089 {
11090 /* 33222222222211111111110000000000
11091 10987654321098765432109876543210
11092 100001x111xxxxxx010xxxxxxxxxxxxx
11093 prfw. */
82c70b08 11094 return 1800;
42e6288f
MM
11095 }
11096 }
11097 }
11098 else
11099 {
11100 if (((word >> 22) & 0x1) == 0)
11101 {
11102 if (((word >> 21) & 0x1) == 0)
c0890d26
RS
11103 {
11104 if (((word >> 23) & 0x1) == 0)
11105 {
11106 /* 33222222222211111111110000000000
11107 10987654321098765432109876543210
42e6288f
MM
11108 100001x1000xxxxx110xxxxxxxxxxxxx
11109 prfw. */
82c70b08 11110 return 1796;
c0890d26
RS
11111 }
11112 else
11113 {
11114 /* 33222222222211111111110000000000
11115 10987654321098765432109876543210
42e6288f
MM
11116 100001x1100xxxxx110xxxxxxxxxxxxx
11117 prfd. */
82c70b08 11118 return 1782;
c0890d26
RS
11119 }
11120 }
42e6288f
MM
11121 else
11122 {
11123 /* 33222222222211111111110000000000
11124 10987654321098765432109876543210
11125 100001x1x01xxxxx110xxxxxxxxxxxxx
11126 ld1w. */
82c70b08 11127 return 1607;
42e6288f 11128 }
c0890d26
RS
11129 }
11130 else
582e12bf 11131 {
42e6288f 11132 if (((word >> 23) & 0x1) == 0)
582e12bf
RS
11133 {
11134 /* 33222222222211111111110000000000
11135 10987654321098765432109876543210
42e6288f
MM
11136 100001x101xxxxxx110xxxxxxxxxxxxx
11137 ld1rw. */
82c70b08 11138 return 1567;
582e12bf
RS
11139 }
11140 else
11141 {
11142 /* 33222222222211111111110000000000
42e6288f
MM
11143 10987654321098765432109876543210
11144 100001x111xxxxxx110xxxxxxxxxxxxx
11145 ld1rsb. */
82c70b08 11146 return 1563;
582e12bf
RS
11147 }
11148 }
11149 }
42e6288f
MM
11150 }
11151 }
11152 else
11153 {
11154 if (((word >> 14) & 0x1) == 0)
11155 {
11156 if (((word >> 15) & 0x1) == 0)
11157 {
11158 /* 33222222222211111111110000000000
11159 10987654321098765432109876543210
11160 100001x1xxxxxxxx001xxxxxxxxxxxxx
11161 prfh. */
82c70b08 11162 return 1793;
42e6288f 11163 }
582e12bf
RS
11164 else
11165 {
11166 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
11167 {
11168 /* 33222222222211111111110000000000
11169 10987654321098765432109876543210
42e6288f
MM
11170 100001x1x0xxxxxx101xxxxxxxxxxxxx
11171 ldnt1w. */
82c70b08 11172 return 2107;
582e12bf
RS
11173 }
11174 else
11175 {
11176 if (((word >> 23) & 0x1) == 0)
11177 {
11178 /* 33222222222211111111110000000000
11179 10987654321098765432109876543210
42e6288f
MM
11180 100001x101xxxxxx101xxxxxxxxxxxxx
11181 ld1rsh. */
82c70b08 11182 return 1565;
582e12bf
RS
11183 }
11184 else
11185 {
11186 /* 33222222222211111111110000000000
11187 10987654321098765432109876543210
42e6288f
MM
11188 100001x111xxxxxx101xxxxxxxxxxxxx
11189 ld1rsb. */
82c70b08 11190 return 1562;
582e12bf 11191 }
c0890d26
RS
11192 }
11193 }
11194 }
11195 else
11196 {
11197 if (((word >> 15) & 0x1) == 0)
11198 {
42e6288f 11199 if (((word >> 23) & 0x1) == 0)
c0890d26 11200 {
42e6288f 11201 if (((word >> 21) & 0x1) == 0)
c0890d26
RS
11202 {
11203 /* 33222222222211111111110000000000
11204 10987654321098765432109876543210
42e6288f
MM
11205 100001x10x0xxxxx011xxxxxxxxxxxxx
11206 ldff1w. */
82c70b08 11207 return 1699;
c0890d26
RS
11208 }
11209 else
11210 {
11211 /* 33222222222211111111110000000000
11212 10987654321098765432109876543210
42e6288f
MM
11213 100001x10x1xxxxx011xxxxxxxxxxxxx
11214 ldff1w. */
82c70b08 11215 return 1700;
c0890d26
RS
11216 }
11217 }
11218 else
11219 {
42e6288f
MM
11220 /* 33222222222211111111110000000000
11221 10987654321098765432109876543210
11222 100001x11xxxxxxx011xxxxxxxxxxxxx
11223 prfd. */
82c70b08 11224 return 1786;
c0890d26
RS
11225 }
11226 }
11227 else
11228 {
42e6288f 11229 if (((word >> 22) & 0x1) == 0)
c0890d26 11230 {
42e6288f 11231 if (((word >> 21) & 0x1) == 0)
c0890d26
RS
11232 {
11233 if (((word >> 23) & 0x1) == 0)
11234 {
11235 /* 33222222222211111111110000000000
11236 10987654321098765432109876543210
42e6288f
MM
11237 100001x1000xxxxx111xxxxxxxxxxxxx
11238 prfw. */
82c70b08 11239 return 1799;
c0890d26
RS
11240 }
11241 else
11242 {
11243 /* 33222222222211111111110000000000
11244 10987654321098765432109876543210
42e6288f
MM
11245 100001x1100xxxxx111xxxxxxxxxxxxx
11246 prfd. */
82c70b08 11247 return 1785;
c0890d26
RS
11248 }
11249 }
11250 else
11251 {
42e6288f
MM
11252 /* 33222222222211111111110000000000
11253 10987654321098765432109876543210
11254 100001x1x01xxxxx111xxxxxxxxxxxxx
11255 ldff1w. */
82c70b08 11256 return 1709;
c0890d26
RS
11257 }
11258 }
11259 else
11260 {
42e6288f 11261 if (((word >> 23) & 0x1) == 0)
c0890d26 11262 {
42e6288f
MM
11263 /* 33222222222211111111110000000000
11264 10987654321098765432109876543210
11265 100001x101xxxxxx111xxxxxxxxxxxxx
11266 ld1rw. */
82c70b08 11267 return 1568;
c0890d26
RS
11268 }
11269 else
11270 {
42e6288f
MM
11271 /* 33222222222211111111110000000000
11272 10987654321098765432109876543210
11273 100001x111xxxxxx111xxxxxxxxxxxxx
11274 ld1rd. */
82c70b08 11275 return 1549;
c0890d26
RS
11276 }
11277 }
11278 }
11279 }
11280 }
11281 }
11282 }
42e6288f 11283 else
c0890d26 11284 {
42e6288f 11285 if (((word >> 13) & 0x1) == 0)
c0890d26 11286 {
42e6288f 11287 if (((word >> 14) & 0x1) == 0)
c0890d26 11288 {
42e6288f 11289 if (((word >> 15) & 0x1) == 0)
c0890d26 11290 {
42e6288f 11291 if (((word >> 21) & 0x1) == 0)
c0890d26 11292 {
42e6288f 11293 if (((word >> 31) & 0x1) == 0)
c0890d26 11294 {
42e6288f
MM
11295 if (((word >> 10) & 0x1) == 0)
11296 {
11297 if (((word >> 11) & 0x1) == 0)
11298 {
11299 if (((word >> 12) & 0x1) == 0)
11300 {
11301 /* 33222222222211111111110000000000
11302 10987654321098765432109876543210
11303 010001x1xx0xxxxx000000xxxxxxxxxx
11304 saddlb. */
82c70b08 11305 return 2137;
42e6288f
MM
11306 }
11307 else
11308 {
11309 /* 33222222222211111111110000000000
11310 10987654321098765432109876543210
11311 010001x1xx0xxxxx000100xxxxxxxxxx
11312 ssublb. */
82c70b08 11313 return 2244;
42e6288f
MM
11314 }
11315 }
11316 else
11317 {
11318 if (((word >> 12) & 0x1) == 0)
11319 {
11320 /* 33222222222211111111110000000000
11321 10987654321098765432109876543210
11322 010001x1xx0xxxxx000010xxxxxxxxxx
11323 uaddlb. */
82c70b08 11324 return 2268;
42e6288f
MM
11325 }
11326 else
11327 {
11328 /* 33222222222211111111110000000000
11329 10987654321098765432109876543210
11330 010001x1xx0xxxxx000110xxxxxxxxxx
11331 usublb. */
82c70b08 11332 return 2321;
42e6288f
MM
11333 }
11334 }
11335 }
11336 else
11337 {
11338 if (((word >> 11) & 0x1) == 0)
11339 {
11340 if (((word >> 12) & 0x1) == 0)
11341 {
11342 /* 33222222222211111111110000000000
11343 10987654321098765432109876543210
11344 010001x1xx0xxxxx000001xxxxxxxxxx
11345 saddlt. */
82c70b08 11346 return 2139;
42e6288f
MM
11347 }
11348 else
11349 {
11350 /* 33222222222211111111110000000000
11351 10987654321098765432109876543210
11352 010001x1xx0xxxxx000101xxxxxxxxxx
11353 ssublt. */
82c70b08 11354 return 2246;
42e6288f
MM
11355 }
11356 }
11357 else
11358 {
11359 if (((word >> 12) & 0x1) == 0)
11360 {
11361 /* 33222222222211111111110000000000
11362 10987654321098765432109876543210
11363 010001x1xx0xxxxx000011xxxxxxxxxx
11364 uaddlt. */
82c70b08 11365 return 2269;
42e6288f
MM
11366 }
11367 else
11368 {
11369 /* 33222222222211111111110000000000
11370 10987654321098765432109876543210
11371 010001x1xx0xxxxx000111xxxxxxxxxx
11372 usublt. */
82c70b08 11373 return 2322;
42e6288f
MM
11374 }
11375 }
11376 }
11377 }
11378 else
c0890d26
RS
11379 {
11380 /* 33222222222211111111110000000000
11381 10987654321098765432109876543210
42e6288f
MM
11382 110001x1xx0xxxxx000xxxxxxxxxxxxx
11383 ld1sw. */
82c70b08 11384 return 1593;
c0890d26 11385 }
42e6288f
MM
11386 }
11387 else
11388 {
11389 if (((word >> 31) & 0x1) == 0)
c0890d26 11390 {
42e6288f 11391 if (((word >> 10) & 0x1) == 0)
c0890d26 11392 {
42e6288f 11393 if (((word >> 11) & 0x1) == 0)
c0890d26 11394 {
42e6288f 11395 if (((word >> 12) & 0x1) == 0)
c0890d26 11396 {
42e6288f
MM
11397 /* 33222222222211111111110000000000
11398 10987654321098765432109876543210
11399 010001x1xx1xxxxx000000xxxxxxxxxx
11400 sqshrunb. */
82c70b08 11401 return 2227;
c0890d26
RS
11402 }
11403 else
11404 {
42e6288f
MM
11405 /* 33222222222211111111110000000000
11406 10987654321098765432109876543210
11407 010001x1xx1xxxxx000100xxxxxxxxxx
11408 shrnb. */
82c70b08 11409 return 2145;
c0890d26
RS
11410 }
11411 }
11412 else
11413 {
42e6288f 11414 if (((word >> 12) & 0x1) == 0)
c0890d26 11415 {
42e6288f
MM
11416 /* 33222222222211111111110000000000
11417 10987654321098765432109876543210
11418 010001x1xx1xxxxx000010xxxxxxxxxx
11419 sqrshrunb. */
82c70b08 11420 return 2219;
c0890d26
RS
11421 }
11422 else
11423 {
11424 /* 33222222222211111111110000000000
11425 10987654321098765432109876543210
42e6288f
MM
11426 010001x1xx1xxxxx000110xxxxxxxxxx
11427 rshrnb. */
82c70b08 11428 return 2127;
c0890d26
RS
11429 }
11430 }
11431 }
11432 else
11433 {
42e6288f 11434 if (((word >> 11) & 0x1) == 0)
c0890d26 11435 {
42e6288f 11436 if (((word >> 12) & 0x1) == 0)
c0890d26 11437 {
42e6288f
MM
11438 /* 33222222222211111111110000000000
11439 10987654321098765432109876543210
11440 010001x1xx1xxxxx000001xxxxxxxxxx
11441 sqshrunt. */
82c70b08 11442 return 2228;
c0890d26
RS
11443 }
11444 else
11445 {
11446 /* 33222222222211111111110000000000
11447 10987654321098765432109876543210
42e6288f
MM
11448 010001x1xx1xxxxx000101xxxxxxxxxx
11449 shrnt. */
82c70b08 11450 return 2146;
c0890d26
RS
11451 }
11452 }
11453 else
11454 {
42e6288f 11455 if (((word >> 12) & 0x1) == 0)
c0890d26 11456 {
42e6288f
MM
11457 /* 33222222222211111111110000000000
11458 10987654321098765432109876543210
11459 010001x1xx1xxxxx000011xxxxxxxxxx
11460 sqrshrunt. */
82c70b08 11461 return 2220;
c0890d26
RS
11462 }
11463 else
11464 {
11465 /* 33222222222211111111110000000000
11466 10987654321098765432109876543210
42e6288f
MM
11467 010001x1xx1xxxxx000111xxxxxxxxxx
11468 rshrnt. */
82c70b08 11469 return 2128;
c0890d26
RS
11470 }
11471 }
11472 }
11473 }
42e6288f
MM
11474 else
11475 {
11476 /* 33222222222211111111110000000000
11477 10987654321098765432109876543210
11478 110001x1xx1xxxxx000xxxxxxxxxxxxx
11479 ld1sw. */
82c70b08 11480 return 1594;
42e6288f 11481 }
c0890d26 11482 }
42e6288f
MM
11483 }
11484 else
11485 {
11486 if (((word >> 21) & 0x1) == 0)
c0890d26 11487 {
42e6288f 11488 if (((word >> 31) & 0x1) == 0)
c0890d26 11489 {
42e6288f 11490 if (((word >> 10) & 0x1) == 0)
c0890d26 11491 {
42e6288f
MM
11492 if (((word >> 11) & 0x1) == 0)
11493 {
11494 if (((word >> 12) & 0x1) == 0)
11495 {
11496 /* 33222222222211111111110000000000
11497 10987654321098765432109876543210
11498 010001x1xx0xxxxx100000xxxxxxxxxx
11499 saddlbt. */
82c70b08 11500 return 2138;
42e6288f
MM
11501 }
11502 else
11503 {
11504 /* 33222222222211111111110000000000
11505 10987654321098765432109876543210
11506 010001x1xx0xxxxx100100xxxxxxxxxx
11507 eorbt. */
82c70b08 11508 return 2074;
42e6288f
MM
11509 }
11510 }
11511 else
c0890d26 11512 {
8382113f
MM
11513 if (((word >> 12) & 0x1) == 0)
11514 {
11515 /* 33222222222211111111110000000000
11516 10987654321098765432109876543210
11517 010001x1xx0xxxxx100010xxxxxxxxxx
11518 ssublbt. */
82c70b08 11519 return 2245;
8382113f
MM
11520 }
11521 else
11522 {
11523 if (((word >> 22) & 0x1) == 0)
11524 {
11525 if (((word >> 23) & 0x1) == 0)
11526 {
11527 /* 33222222222211111111110000000000
11528 10987654321098765432109876543210
11529 010001x1000xxxxx100110xxxxxxxxxx
11530 smmla. */
01a4d082 11531 return 2456;
8382113f
MM
11532 }
11533 else
11534 {
11535 /* 33222222222211111111110000000000
11536 10987654321098765432109876543210
11537 010001x1100xxxxx100110xxxxxxxxxx
11538 usmmla. */
01a4d082 11539 return 2458;
8382113f
MM
11540 }
11541 }
11542 else
11543 {
11544 /* 33222222222211111111110000000000
11545 10987654321098765432109876543210
11546 010001x1x10xxxxx100110xxxxxxxxxx
11547 ummla. */
01a4d082 11548 return 2457;
8382113f
MM
11549 }
11550 }
42e6288f
MM
11551 }
11552 }
11553 else
11554 {
11555 if (((word >> 11) & 0x1) == 0)
11556 {
11557 /* 33222222222211111111110000000000
11558 10987654321098765432109876543210
11559 010001x1xx0xxxxx100x01xxxxxxxxxx
11560 eortb. */
82c70b08 11561 return 2075;
c0890d26
RS
11562 }
11563 else
11564 {
11565 /* 33222222222211111111110000000000
11566 10987654321098765432109876543210
42e6288f
MM
11567 010001x1xx0xxxxx100x11xxxxxxxxxx
11568 ssubltb. */
82c70b08 11569 return 2247;
c0890d26
RS
11570 }
11571 }
42e6288f
MM
11572 }
11573 else
11574 {
11575 if (((word >> 22) & 0x1) == 0)
11576 {
11577 /* 33222222222211111111110000000000
11578 10987654321098765432109876543210
11579 110001x1x00xxxxx100xxxxxxxxxxxxx
11580 ldnt1sw. */
82c70b08 11581 return 2106;
42e6288f 11582 }
c0890d26
RS
11583 else
11584 {
42e6288f
MM
11585 /* 33222222222211111111110000000000
11586 10987654321098765432109876543210
11587 110001x1x10xxxxx100xxxxxxxxxxxxx
11588 ld1sw. */
82c70b08 11589 return 1595;
42e6288f
MM
11590 }
11591 }
11592 }
11593 else
11594 {
11595 if (((word >> 31) & 0x1) == 0)
11596 {
11597 if (((word >> 4) & 0x1) == 0)
11598 {
11599 /* 33222222222211111111110000000000
11600 10987654321098765432109876543210
11601 010001x1xx1xxxxx100xxxxxxxx0xxxx
11602 match. */
82c70b08 11603 return 2109;
42e6288f
MM
11604 }
11605 else
11606 {
11607 /* 33222222222211111111110000000000
11608 10987654321098765432109876543210
11609 010001x1xx1xxxxx100xxxxxxxx1xxxx
11610 nmatch. */
82c70b08 11611 return 2121;
42e6288f
MM
11612 }
11613 }
11614 else
11615 {
11616 if (((word >> 22) & 0x1) == 0)
11617 {
11618 /* 33222222222211111111110000000000
11619 10987654321098765432109876543210
11620 110001x1x01xxxxx100xxxxxxxxxxxxx
11621 ld1sw. */
82c70b08 11622 return 1598;
42e6288f
MM
11623 }
11624 else
11625 {
11626 /* 33222222222211111111110000000000
11627 10987654321098765432109876543210
11628 110001x1x11xxxxx100xxxxxxxxxxxxx
11629 ld1sw. */
82c70b08 11630 return 1596;
42e6288f
MM
11631 }
11632 }
11633 }
11634 }
11635 }
11636 else
11637 {
11638 if (((word >> 15) & 0x1) == 0)
11639 {
11640 if (((word >> 21) & 0x1) == 0)
11641 {
11642 if (((word >> 31) & 0x1) == 0)
11643 {
11644 if (((word >> 10) & 0x1) == 0)
11645 {
11646 if (((word >> 11) & 0x1) == 0)
11647 {
11648 if (((word >> 12) & 0x1) == 0)
11649 {
11650 /* 33222222222211111111110000000000
11651 10987654321098765432109876543210
11652 010001x1xx0xxxxx010000xxxxxxxxxx
11653 saddwb. */
82c70b08 11654 return 2140;
c0890d26
RS
11655 }
11656 else
11657 {
11658 /* 33222222222211111111110000000000
11659 10987654321098765432109876543210
42e6288f
MM
11660 010001x1xx0xxxxx010100xxxxxxxxxx
11661 ssubwb. */
82c70b08 11662 return 2248;
c0890d26
RS
11663 }
11664 }
11665 else
11666 {
42e6288f 11667 if (((word >> 12) & 0x1) == 0)
c0890d26
RS
11668 {
11669 /* 33222222222211111111110000000000
11670 10987654321098765432109876543210
42e6288f
MM
11671 010001x1xx0xxxxx010010xxxxxxxxxx
11672 uaddwb. */
82c70b08 11673 return 2270;
c0890d26
RS
11674 }
11675 else
11676 {
11677 /* 33222222222211111111110000000000
11678 10987654321098765432109876543210
42e6288f
MM
11679 010001x1xx0xxxxx010110xxxxxxxxxx
11680 usubwb. */
82c70b08 11681 return 2323;
c0890d26
RS
11682 }
11683 }
11684 }
42e6288f 11685 else
c0890d26 11686 {
42e6288f 11687 if (((word >> 11) & 0x1) == 0)
c0890d26 11688 {
42e6288f 11689 if (((word >> 12) & 0x1) == 0)
c0890d26
RS
11690 {
11691 /* 33222222222211111111110000000000
11692 10987654321098765432109876543210
42e6288f
MM
11693 010001x1xx0xxxxx010001xxxxxxxxxx
11694 saddwt. */
82c70b08 11695 return 2141;
c0890d26
RS
11696 }
11697 else
11698 {
11699 /* 33222222222211111111110000000000
11700 10987654321098765432109876543210
42e6288f
MM
11701 010001x1xx0xxxxx010101xxxxxxxxxx
11702 ssubwt. */
82c70b08 11703 return 2249;
c0890d26
RS
11704 }
11705 }
11706 else
11707 {
42e6288f
MM
11708 if (((word >> 12) & 0x1) == 0)
11709 {
11710 /* 33222222222211111111110000000000
11711 10987654321098765432109876543210
11712 010001x1xx0xxxxx010011xxxxxxxxxx
11713 uaddwt. */
82c70b08 11714 return 2271;
42e6288f
MM
11715 }
11716 else
11717 {
11718 /* 33222222222211111111110000000000
11719 10987654321098765432109876543210
11720 010001x1xx0xxxxx010111xxxxxxxxxx
11721 usubwt. */
82c70b08 11722 return 2324;
42e6288f 11723 }
c0890d26
RS
11724 }
11725 }
42e6288f
MM
11726 }
11727 else
11728 {
11729 if (((word >> 23) & 0x1) == 0)
11730 {
11731 /* 33222222222211111111110000000000
11732 10987654321098765432109876543210
11733 110001x10x0xxxxx010xxxxxxxxxxxxx
11734 ld1w. */
82c70b08 11735 return 1603;
42e6288f 11736 }
c0890d26
RS
11737 else
11738 {
42e6288f
MM
11739 /* 33222222222211111111110000000000
11740 10987654321098765432109876543210
11741 110001x11x0xxxxx010xxxxxxxxxxxxx
11742 ld1d. */
82c70b08 11743 return 1525;
c0890d26
RS
11744 }
11745 }
11746 }
42e6288f 11747 else
c0890d26 11748 {
42e6288f 11749 if (((word >> 23) & 0x1) == 0)
c0890d26 11750 {
42e6288f 11751 if (((word >> 31) & 0x1) == 0)
c0890d26 11752 {
42e6288f 11753 if (((word >> 10) & 0x1) == 0)
c0890d26 11754 {
42e6288f 11755 if (((word >> 11) & 0x1) == 0)
c0890d26 11756 {
42e6288f 11757 if (((word >> 12) & 0x1) == 0)
c0890d26
RS
11758 {
11759 /* 33222222222211111111110000000000
11760 10987654321098765432109876543210
42e6288f
MM
11761 010001x10x1xxxxx010000xxxxxxxxxx
11762 sqxtnb. */
82c70b08 11763 return 2231;
c0890d26
RS
11764 }
11765 else
11766 {
42e6288f
MM
11767 /* 33222222222211111111110000000000
11768 10987654321098765432109876543210
11769 010001x10x1xxxxx010100xxxxxxxxxx
11770 sqxtunb. */
82c70b08 11771 return 2233;
c0890d26
RS
11772 }
11773 }
11774 else
11775 {
11776 /* 33222222222211111111110000000000
11777 10987654321098765432109876543210
42e6288f
MM
11778 010001x10x1xxxxx010x10xxxxxxxxxx
11779 uqxtnb. */
82c70b08 11780 return 2308;
c0890d26
RS
11781 }
11782 }
11783 else
11784 {
42e6288f 11785 if (((word >> 11) & 0x1) == 0)
c0890d26 11786 {
42e6288f
MM
11787 if (((word >> 12) & 0x1) == 0)
11788 {
11789 /* 33222222222211111111110000000000
11790 10987654321098765432109876543210
11791 010001x10x1xxxxx010001xxxxxxxxxx
11792 sqxtnt. */
82c70b08 11793 return 2232;
42e6288f
MM
11794 }
11795 else
11796 {
11797 /* 33222222222211111111110000000000
11798 10987654321098765432109876543210
11799 010001x10x1xxxxx010101xxxxxxxxxx
11800 sqxtunt. */
82c70b08 11801 return 2234;
42e6288f 11802 }
c0890d26
RS
11803 }
11804 else
11805 {
11806 /* 33222222222211111111110000000000
11807 10987654321098765432109876543210
42e6288f
MM
11808 010001x10x1xxxxx010x11xxxxxxxxxx
11809 uqxtnt. */
82c70b08 11810 return 2309;
c0890d26
RS
11811 }
11812 }
11813 }
11814 else
11815 {
42e6288f
MM
11816 /* 33222222222211111111110000000000
11817 10987654321098765432109876543210
11818 110001x10x1xxxxx010xxxxxxxxxxxxx
11819 ld1w. */
82c70b08 11820 return 1604;
42e6288f
MM
11821 }
11822 }
11823 else
11824 {
11825 /* 33222222222211111111110000000000
11826 10987654321098765432109876543210
11827 x10001x11x1xxxxx010xxxxxxxxxxxxx
11828 ld1d. */
82c70b08 11829 return 1526;
42e6288f
MM
11830 }
11831 }
11832 }
11833 else
11834 {
11835 if (((word >> 21) & 0x1) == 0)
11836 {
11837 if (((word >> 31) & 0x1) == 0)
11838 {
11839 if (((word >> 11) & 0x1) == 0)
11840 {
11841 if (((word >> 10) & 0x1) == 0)
c0890d26 11842 {
42e6288f
MM
11843 if (((word >> 12) & 0x1) == 0)
11844 {
11845 /* 33222222222211111111110000000000
11846 10987654321098765432109876543210
11847 010001x1xx0xxxxx110000xxxxxxxxxx
11848 sabalb. */
82c70b08 11849 return 2132;
42e6288f
MM
11850 }
11851 else
11852 {
11853 if (((word >> 23) & 0x1) == 0)
11854 {
11855 /* 33222222222211111111110000000000
11856 10987654321098765432109876543210
11857 010001x10x0xxxxx110100xxxxxxxxxx
11858 adclb. */
82c70b08 11859 return 2057;
42e6288f
MM
11860 }
11861 else
11862 {
11863 /* 33222222222211111111110000000000
11864 10987654321098765432109876543210
11865 010001x11x0xxxxx110100xxxxxxxxxx
11866 sbclb. */
82c70b08 11867 return 2142;
42e6288f
MM
11868 }
11869 }
c0890d26
RS
11870 }
11871 else
11872 {
42e6288f
MM
11873 if (((word >> 12) & 0x1) == 0)
11874 {
11875 /* 33222222222211111111110000000000
11876 10987654321098765432109876543210
11877 010001x1xx0xxxxx110001xxxxxxxxxx
11878 sabalt. */
82c70b08 11879 return 2133;
42e6288f
MM
11880 }
11881 else
11882 {
11883 if (((word >> 23) & 0x1) == 0)
11884 {
11885 /* 33222222222211111111110000000000
11886 10987654321098765432109876543210
11887 010001x10x0xxxxx110101xxxxxxxxxx
11888 adclt. */
82c70b08 11889 return 2058;
42e6288f
MM
11890 }
11891 else
11892 {
11893 /* 33222222222211111111110000000000
11894 10987654321098765432109876543210
11895 010001x11x0xxxxx110101xxxxxxxxxx
11896 sbclt. */
82c70b08 11897 return 2143;
42e6288f
MM
11898 }
11899 }
c0890d26
RS
11900 }
11901 }
42e6288f 11902 else
c0890d26
RS
11903 {
11904 if (((word >> 12) & 0x1) == 0)
11905 {
42e6288f 11906 if (((word >> 10) & 0x1) == 0)
c0890d26
RS
11907 {
11908 /* 33222222222211111111110000000000
11909 10987654321098765432109876543210
42e6288f
MM
11910 010001x1xx0xxxxx110010xxxxxxxxxx
11911 uabalb. */
82c70b08 11912 return 2263;
c0890d26
RS
11913 }
11914 else
11915 {
11916 /* 33222222222211111111110000000000
11917 10987654321098765432109876543210
42e6288f
MM
11918 010001x1xx0xxxxx110011xxxxxxxxxx
11919 uabalt. */
82c70b08 11920 return 2264;
c0890d26
RS
11921 }
11922 }
11923 else
11924 {
42e6288f 11925 if (((word >> 16) & 0x1) == 0)
c0890d26
RS
11926 {
11927 /* 33222222222211111111110000000000
11928 10987654321098765432109876543210
42e6288f
MM
11929 010001x1xx0xxxx011011xxxxxxxxxxx
11930 cadd. */
82c70b08 11931 return 2066;
c0890d26
RS
11932 }
11933 else
11934 {
11935 /* 33222222222211111111110000000000
11936 10987654321098765432109876543210
42e6288f
MM
11937 010001x1xx0xxxx111011xxxxxxxxxxx
11938 sqcadd. */
82c70b08 11939 return 2174;
c0890d26
RS
11940 }
11941 }
11942 }
42e6288f
MM
11943 }
11944 else
11945 {
11946 if (((word >> 22) & 0x1) == 0)
11947 {
11948 if (((word >> 23) & 0x1) == 0)
11949 {
11950 /* 33222222222211111111110000000000
11951 10987654321098765432109876543210
11952 110001x1000xxxxx110xxxxxxxxxxxxx
11953 ldnt1w. */
82c70b08 11954 return 2108;
42e6288f
MM
11955 }
11956 else
11957 {
11958 /* 33222222222211111111110000000000
11959 10987654321098765432109876543210
11960 110001x1100xxxxx110xxxxxxxxxxxxx
11961 ldnt1d. */
82c70b08 11962 return 2101;
42e6288f
MM
11963 }
11964 }
c0890d26
RS
11965 else
11966 {
42e6288f 11967 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
11968 {
11969 /* 33222222222211111111110000000000
11970 10987654321098765432109876543210
42e6288f
MM
11971 110001x1010xxxxx110xxxxxxxxxxxxx
11972 ld1w. */
82c70b08 11973 return 1605;
c0890d26
RS
11974 }
11975 else
11976 {
11977 /* 33222222222211111111110000000000
11978 10987654321098765432109876543210
42e6288f
MM
11979 110001x1110xxxxx110xxxxxxxxxxxxx
11980 ld1d. */
82c70b08 11981 return 1527;
c0890d26
RS
11982 }
11983 }
11984 }
11985 }
11986 else
c0890d26
RS
11987 {
11988 if (((word >> 23) & 0x1) == 0)
11989 {
42e6288f 11990 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
11991 {
11992 /* 33222222222211111111110000000000
11993 10987654321098765432109876543210
42e6288f 11994 x10001x1001xxxxx110xxxxxxxxxxxxx
c0890d26 11995 ld1w. */
82c70b08 11996 return 1610;
c0890d26
RS
11997 }
11998 else
11999 {
12000 /* 33222222222211111111110000000000
12001 10987654321098765432109876543210
42e6288f 12002 x10001x1011xxxxx110xxxxxxxxxxxxx
c0890d26 12003 ld1w. */
82c70b08 12004 return 1606;
c0890d26
RS
12005 }
12006 }
12007 else
12008 {
42e6288f 12009 if (((word >> 31) & 0x1) == 0)
c0890d26
RS
12010 {
12011 /* 33222222222211111111110000000000
12012 10987654321098765432109876543210
42e6288f
MM
12013 010001x11x1xxxxx110xxxxxxxxxxxxx
12014 histcnt. */
82c70b08 12015 return 2097;
c0890d26
RS
12016 }
12017 else
12018 {
42e6288f
MM
12019 if (((word >> 22) & 0x1) == 0)
12020 {
12021 /* 33222222222211111111110000000000
12022 10987654321098765432109876543210
12023 110001x1101xxxxx110xxxxxxxxxxxxx
12024 ld1d. */
82c70b08 12025 return 1530;
42e6288f
MM
12026 }
12027 else
12028 {
12029 /* 33222222222211111111110000000000
12030 10987654321098765432109876543210
12031 110001x1111xxxxx110xxxxxxxxxxxxx
12032 ld1d. */
82c70b08 12033 return 1528;
42e6288f 12034 }
c0890d26
RS
12035 }
12036 }
12037 }
42e6288f
MM
12038 }
12039 }
12040 }
12041 else
12042 {
12043 if (((word >> 14) & 0x1) == 0)
12044 {
12045 if (((word >> 15) & 0x1) == 0)
12046 {
12047 if (((word >> 21) & 0x1) == 0)
c0890d26 12048 {
42e6288f 12049 if (((word >> 31) & 0x1) == 0)
c0890d26 12050 {
42e6288f 12051 if (((word >> 10) & 0x1) == 0)
c0890d26 12052 {
42e6288f 12053 if (((word >> 11) & 0x1) == 0)
c0890d26
RS
12054 {
12055 /* 33222222222211111111110000000000
12056 10987654321098765432109876543210
42e6288f
MM
12057 010001x1xx0xxxxx001x00xxxxxxxxxx
12058 sabdlb. */
82c70b08 12059 return 2134;
c0890d26
RS
12060 }
12061 else
12062 {
12063 /* 33222222222211111111110000000000
12064 10987654321098765432109876543210
42e6288f
MM
12065 010001x1xx0xxxxx001x10xxxxxxxxxx
12066 uabdlb. */
82c70b08 12067 return 2265;
c0890d26
RS
12068 }
12069 }
12070 else
12071 {
42e6288f
MM
12072 if (((word >> 11) & 0x1) == 0)
12073 {
12074 /* 33222222222211111111110000000000
12075 10987654321098765432109876543210
12076 010001x1xx0xxxxx001x01xxxxxxxxxx
12077 sabdlt. */
82c70b08 12078 return 2135;
42e6288f
MM
12079 }
12080 else
12081 {
12082 /* 33222222222211111111110000000000
12083 10987654321098765432109876543210
12084 010001x1xx0xxxxx001x11xxxxxxxxxx
12085 uabdlt. */
82c70b08 12086 return 2266;
42e6288f 12087 }
c0890d26
RS
12088 }
12089 }
c0890d26
RS
12090 else
12091 {
12092 /* 33222222222211111111110000000000
12093 10987654321098765432109876543210
42e6288f
MM
12094 110001x1xx0xxxxx001xxxxxxxxxxxxx
12095 ldff1sw. */
82c70b08 12096 return 1694;
c0890d26
RS
12097 }
12098 }
42e6288f 12099 else
c0890d26 12100 {
42e6288f 12101 if (((word >> 31) & 0x1) == 0)
c0890d26 12102 {
42e6288f 12103 if (((word >> 10) & 0x1) == 0)
c0890d26 12104 {
42e6288f
MM
12105 if (((word >> 11) & 0x1) == 0)
12106 {
12107 if (((word >> 12) & 0x1) == 0)
12108 {
12109 /* 33222222222211111111110000000000
12110 10987654321098765432109876543210
12111 010001x1xx1xxxxx001000xxxxxxxxxx
12112 sqshrnb. */
82c70b08 12113 return 2225;
42e6288f
MM
12114 }
12115 else
12116 {
12117 /* 33222222222211111111110000000000
12118 10987654321098765432109876543210
12119 010001x1xx1xxxxx001100xxxxxxxxxx
12120 uqshrnb. */
82c70b08 12121 return 2304;
42e6288f
MM
12122 }
12123 }
12124 else
12125 {
12126 if (((word >> 12) & 0x1) == 0)
12127 {
12128 /* 33222222222211111111110000000000
12129 10987654321098765432109876543210
12130 010001x1xx1xxxxx001010xxxxxxxxxx
12131 sqrshrnb. */
82c70b08 12132 return 2217;
42e6288f
MM
12133 }
12134 else
12135 {
12136 /* 33222222222211111111110000000000
12137 10987654321098765432109876543210
12138 010001x1xx1xxxxx001110xxxxxxxxxx
12139 uqrshrnb. */
82c70b08 12140 return 2299;
42e6288f
MM
12141 }
12142 }
c0890d26
RS
12143 }
12144 else
12145 {
42e6288f
MM
12146 if (((word >> 11) & 0x1) == 0)
12147 {
12148 if (((word >> 12) & 0x1) == 0)
12149 {
12150 /* 33222222222211111111110000000000
12151 10987654321098765432109876543210
12152 010001x1xx1xxxxx001001xxxxxxxxxx
12153 sqshrnt. */
82c70b08 12154 return 2226;
42e6288f
MM
12155 }
12156 else
12157 {
12158 /* 33222222222211111111110000000000
12159 10987654321098765432109876543210
12160 010001x1xx1xxxxx001101xxxxxxxxxx
12161 uqshrnt. */
82c70b08 12162 return 2305;
42e6288f
MM
12163 }
12164 }
12165 else
12166 {
12167 if (((word >> 12) & 0x1) == 0)
12168 {
12169 /* 33222222222211111111110000000000
12170 10987654321098765432109876543210
12171 010001x1xx1xxxxx001011xxxxxxxxxx
12172 sqrshrnt. */
82c70b08 12173 return 2218;
42e6288f
MM
12174 }
12175 else
12176 {
12177 /* 33222222222211111111110000000000
12178 10987654321098765432109876543210
12179 010001x1xx1xxxxx001111xxxxxxxxxx
12180 uqrshrnt. */
82c70b08 12181 return 2300;
42e6288f
MM
12182 }
12183 }
c0890d26
RS
12184 }
12185 }
12186 else
12187 {
12188 /* 33222222222211111111110000000000
12189 10987654321098765432109876543210
42e6288f
MM
12190 110001x1xx1xxxxx001xxxxxxxxxxxxx
12191 ldff1sw. */
82c70b08 12192 return 1695;
c0890d26
RS
12193 }
12194 }
42e6288f
MM
12195 }
12196 else
12197 {
12198 if (((word >> 21) & 0x1) == 0)
c0890d26 12199 {
42e6288f 12200 if (((word >> 31) & 0x1) == 0)
c0890d26 12201 {
42e6288f 12202 if (((word >> 10) & 0x1) == 0)
c0890d26 12203 {
42e6288f 12204 if (((word >> 11) & 0x1) == 0)
c0890d26 12205 {
42e6288f
MM
12206 if (((word >> 12) & 0x1) == 0)
12207 {
12208 /* 33222222222211111111110000000000
12209 10987654321098765432109876543210
12210 010001x1xx0xxxxx101000xxxxxxxxxx
12211 sshllb. */
82c70b08 12212 return 2241;
42e6288f
MM
12213 }
12214 else
12215 {
12216 /* 33222222222211111111110000000000
12217 10987654321098765432109876543210
12218 010001x1xx0xxxxx101100xxxxxxxxxx
12219 bext. */
82c70b08 12220 return 2346;
42e6288f 12221 }
c0890d26
RS
12222 }
12223 else
12224 {
42e6288f
MM
12225 if (((word >> 12) & 0x1) == 0)
12226 {
12227 /* 33222222222211111111110000000000
12228 10987654321098765432109876543210
12229 010001x1xx0xxxxx101010xxxxxxxxxx
12230 ushllb. */
82c70b08 12231 return 2317;
42e6288f
MM
12232 }
12233 else
12234 {
12235 /* 33222222222211111111110000000000
12236 10987654321098765432109876543210
12237 010001x1xx0xxxxx101110xxxxxxxxxx
12238 bgrp. */
82c70b08 12239 return 2347;
42e6288f 12240 }
c0890d26
RS
12241 }
12242 }
12243 else
12244 {
42e6288f
MM
12245 if (((word >> 11) & 0x1) == 0)
12246 {
12247 if (((word >> 12) & 0x1) == 0)
12248 {
12249 /* 33222222222211111111110000000000
12250 10987654321098765432109876543210
12251 010001x1xx0xxxxx101001xxxxxxxxxx
12252 sshllt. */
82c70b08 12253 return 2242;
42e6288f
MM
12254 }
12255 else
12256 {
12257 /* 33222222222211111111110000000000
12258 10987654321098765432109876543210
12259 010001x1xx0xxxxx101101xxxxxxxxxx
12260 bdep. */
82c70b08 12261 return 2345;
42e6288f
MM
12262 }
12263 }
12264 else
12265 {
12266 /* 33222222222211111111110000000000
12267 10987654321098765432109876543210
12268 010001x1xx0xxxxx101x11xxxxxxxxxx
12269 ushllt. */
82c70b08 12270 return 2318;
42e6288f 12271 }
c0890d26
RS
12272 }
12273 }
12274 else
12275 {
42e6288f
MM
12276 /* 33222222222211111111110000000000
12277 10987654321098765432109876543210
12278 110001x1xx0xxxxx101xxxxxxxxxxxxx
12279 ldff1sw. */
82c70b08 12280 return 1696;
42e6288f
MM
12281 }
12282 }
12283 else
12284 {
12285 if (((word >> 22) & 0x1) == 0)
12286 {
12287 if (((word >> 31) & 0x1) == 0)
c0890d26
RS
12288 {
12289 /* 33222222222211111111110000000000
12290 10987654321098765432109876543210
42e6288f
MM
12291 010001x1x01xxxxx101xxxxxxxxxxxxx
12292 histseg. */
82c70b08 12293 return 2098;
c0890d26
RS
12294 }
12295 else
12296 {
12297 /* 33222222222211111111110000000000
12298 10987654321098765432109876543210
42e6288f
MM
12299 110001x1x01xxxxx101xxxxxxxxxxxxx
12300 ldff1sw. */
82c70b08 12301 return 1698;
c0890d26
RS
12302 }
12303 }
c0890d26
RS
12304 else
12305 {
12306 /* 33222222222211111111110000000000
12307 10987654321098765432109876543210
42e6288f
MM
12308 x10001x1x11xxxxx101xxxxxxxxxxxxx
12309 ldff1sw. */
82c70b08 12310 return 1697;
c0890d26
RS
12311 }
12312 }
12313 }
12314 }
12315 else
12316 {
12317 if (((word >> 15) & 0x1) == 0)
12318 {
12319 if (((word >> 21) & 0x1) == 0)
12320 {
42e6288f 12321 if (((word >> 31) & 0x1) == 0)
c0890d26 12322 {
42e6288f
MM
12323 if (((word >> 10) & 0x1) == 0)
12324 {
12325 if (((word >> 11) & 0x1) == 0)
12326 {
12327 if (((word >> 12) & 0x1) == 0)
12328 {
12329 /* 33222222222211111111110000000000
12330 10987654321098765432109876543210
12331 010001x1xx0xxxxx011000xxxxxxxxxx
12332 sqdmullb. */
82c70b08 12333 return 2195;
42e6288f
MM
12334 }
12335 else
12336 {
12337 /* 33222222222211111111110000000000
12338 10987654321098765432109876543210
12339 010001x1xx0xxxxx011100xxxxxxxxxx
12340 smullb. */
82c70b08 12341 return 2167;
42e6288f
MM
12342 }
12343 }
12344 else
12345 {
12346 if (((word >> 12) & 0x1) == 0)
12347 {
41be57ca
MM
12348 if (((word >> 22) & 0x1) == 0)
12349 {
12350 /* 33222222222211111111110000000000
12351 10987654321098765432109876543210
12352 010001x1x00xxxxx011010xxxxxxxxxx
12353 pmullb. */
82c70b08 12354 return 2342;
41be57ca
MM
12355 }
12356 else
12357 {
12358 /* 33222222222211111111110000000000
12359 10987654321098765432109876543210
12360 010001x1x10xxxxx011010xxxxxxxxxx
12361 pmullb. */
82c70b08 12362 return 2123;
41be57ca 12363 }
42e6288f
MM
12364 }
12365 else
12366 {
12367 /* 33222222222211111111110000000000
12368 10987654321098765432109876543210
12369 010001x1xx0xxxxx011110xxxxxxxxxx
12370 umullb. */
82c70b08 12371 return 2292;
42e6288f
MM
12372 }
12373 }
12374 }
12375 else
12376 {
12377 if (((word >> 11) & 0x1) == 0)
12378 {
12379 if (((word >> 12) & 0x1) == 0)
12380 {
12381 /* 33222222222211111111110000000000
12382 10987654321098765432109876543210
12383 010001x1xx0xxxxx011001xxxxxxxxxx
12384 sqdmullt. */
82c70b08 12385 return 2198;
42e6288f
MM
12386 }
12387 else
12388 {
12389 /* 33222222222211111111110000000000
12390 10987654321098765432109876543210
12391 010001x1xx0xxxxx011101xxxxxxxxxx
12392 smullt. */
82c70b08 12393 return 2170;
42e6288f
MM
12394 }
12395 }
12396 else
12397 {
12398 if (((word >> 12) & 0x1) == 0)
12399 {
41be57ca
MM
12400 if (((word >> 22) & 0x1) == 0)
12401 {
12402 /* 33222222222211111111110000000000
12403 10987654321098765432109876543210
12404 010001x1x00xxxxx011011xxxxxxxxxx
12405 pmullt. */
82c70b08 12406 return 2343;
41be57ca
MM
12407 }
12408 else
12409 {
12410 /* 33222222222211111111110000000000
12411 10987654321098765432109876543210
12412 010001x1x10xxxxx011011xxxxxxxxxx
12413 pmullt. */
82c70b08 12414 return 2124;
41be57ca 12415 }
42e6288f
MM
12416 }
12417 else
12418 {
12419 /* 33222222222211111111110000000000
12420 10987654321098765432109876543210
12421 010001x1xx0xxxxx011111xxxxxxxxxx
12422 umullt. */
82c70b08 12423 return 2295;
42e6288f
MM
12424 }
12425 }
12426 }
c0890d26
RS
12427 }
12428 else
12429 {
42e6288f
MM
12430 if (((word >> 23) & 0x1) == 0)
12431 {
12432 /* 33222222222211111111110000000000
12433 10987654321098765432109876543210
12434 110001x10x0xxxxx011xxxxxxxxxxxxx
12435 ldff1w. */
82c70b08 12436 return 1705;
42e6288f
MM
12437 }
12438 else
12439 {
12440 /* 33222222222211111111110000000000
12441 10987654321098765432109876543210
12442 110001x11x0xxxxx011xxxxxxxxxxxxx
12443 ldff1d. */
82c70b08 12444 return 1650;
42e6288f 12445 }
c0890d26
RS
12446 }
12447 }
12448 else
12449 {
42e6288f 12450 if (((word >> 31) & 0x1) == 0)
c0890d26 12451 {
42e6288f 12452 if (((word >> 10) & 0x1) == 0)
c0890d26 12453 {
42e6288f
MM
12454 if (((word >> 11) & 0x1) == 0)
12455 {
12456 if (((word >> 12) & 0x1) == 0)
12457 {
12458 /* 33222222222211111111110000000000
12459 10987654321098765432109876543210
12460 010001x1xx1xxxxx011000xxxxxxxxxx
12461 addhnb. */
82c70b08 12462 return 2059;
42e6288f
MM
12463 }
12464 else
12465 {
12466 /* 33222222222211111111110000000000
12467 10987654321098765432109876543210
12468 010001x1xx1xxxxx011100xxxxxxxxxx
12469 subhnb. */
82c70b08 12470 return 2257;
42e6288f
MM
12471 }
12472 }
12473 else
12474 {
12475 if (((word >> 12) & 0x1) == 0)
12476 {
12477 /* 33222222222211111111110000000000
12478 10987654321098765432109876543210
12479 010001x1xx1xxxxx011010xxxxxxxxxx
12480 raddhnb. */
82c70b08 12481 return 2125;
42e6288f
MM
12482 }
12483 else
12484 {
12485 /* 33222222222211111111110000000000
12486 10987654321098765432109876543210
12487 010001x1xx1xxxxx011110xxxxxxxxxx
12488 rsubhnb. */
82c70b08 12489 return 2129;
42e6288f
MM
12490 }
12491 }
c0890d26
RS
12492 }
12493 else
12494 {
42e6288f
MM
12495 if (((word >> 11) & 0x1) == 0)
12496 {
12497 if (((word >> 12) & 0x1) == 0)
12498 {
12499 /* 33222222222211111111110000000000
12500 10987654321098765432109876543210
12501 010001x1xx1xxxxx011001xxxxxxxxxx
12502 addhnt. */
82c70b08 12503 return 2060;
42e6288f
MM
12504 }
12505 else
12506 {
12507 /* 33222222222211111111110000000000
12508 10987654321098765432109876543210
12509 010001x1xx1xxxxx011101xxxxxxxxxx
12510 subhnt. */
82c70b08 12511 return 2258;
42e6288f
MM
12512 }
12513 }
12514 else
12515 {
12516 if (((word >> 12) & 0x1) == 0)
12517 {
12518 /* 33222222222211111111110000000000
12519 10987654321098765432109876543210
12520 010001x1xx1xxxxx011011xxxxxxxxxx
12521 raddhnt. */
82c70b08 12522 return 2126;
42e6288f
MM
12523 }
12524 else
12525 {
12526 /* 33222222222211111111110000000000
12527 10987654321098765432109876543210
12528 010001x1xx1xxxxx011111xxxxxxxxxx
12529 rsubhnt. */
82c70b08 12530 return 2130;
42e6288f
MM
12531 }
12532 }
c0890d26
RS
12533 }
12534 }
12535 else
12536 {
12537 if (((word >> 23) & 0x1) == 0)
12538 {
12539 /* 33222222222211111111110000000000
12540 10987654321098765432109876543210
42e6288f
MM
12541 110001x10x1xxxxx011xxxxxxxxxxxxx
12542 ldff1w. */
82c70b08 12543 return 1706;
c0890d26
RS
12544 }
12545 else
12546 {
12547 /* 33222222222211111111110000000000
12548 10987654321098765432109876543210
42e6288f
MM
12549 110001x11x1xxxxx011xxxxxxxxxxxxx
12550 ldff1d. */
82c70b08 12551 return 1651;
c0890d26
RS
12552 }
12553 }
12554 }
12555 }
c0890d26
RS
12556 else
12557 {
12558 if (((word >> 21) & 0x1) == 0)
12559 {
42e6288f 12560 if (((word >> 31) & 0x1) == 0)
c0890d26 12561 {
42e6288f 12562 if (((word >> 10) & 0x1) == 0)
c0890d26 12563 {
42e6288f
MM
12564 if (((word >> 11) & 0x1) == 0)
12565 {
12566 if (((word >> 12) & 0x1) == 0)
12567 {
12568 /* 33222222222211111111110000000000
12569 10987654321098765432109876543210
12570 010001x1xx0xxxxx111000xxxxxxxxxx
12571 ssra. */
82c70b08 12572 return 2243;
42e6288f
MM
12573 }
12574 else
12575 {
12576 /* 33222222222211111111110000000000
12577 10987654321098765432109876543210
12578 010001x1xx0xxxxx111100xxxxxxxxxx
12579 sri. */
82c70b08 12580 return 2236;
42e6288f
MM
12581 }
12582 }
12583 else
12584 {
12585 if (((word >> 12) & 0x1) == 0)
12586 {
12587 /* 33222222222211111111110000000000
12588 10987654321098765432109876543210
12589 010001x1xx0xxxxx111010xxxxxxxxxx
12590 srsra. */
82c70b08 12591 return 2240;
42e6288f
MM
12592 }
12593 else
12594 {
12595 /* 33222222222211111111110000000000
12596 10987654321098765432109876543210
12597 010001x1xx0xxxxx111110xxxxxxxxxx
12598 saba. */
82c70b08 12599 return 2131;
42e6288f
MM
12600 }
12601 }
c0890d26
RS
12602 }
12603 else
12604 {
42e6288f
MM
12605 if (((word >> 11) & 0x1) == 0)
12606 {
12607 if (((word >> 12) & 0x1) == 0)
12608 {
12609 /* 33222222222211111111110000000000
12610 10987654321098765432109876543210
12611 010001x1xx0xxxxx111001xxxxxxxxxx
12612 usra. */
82c70b08 12613 return 2320;
42e6288f
MM
12614 }
12615 else
12616 {
12617 /* 33222222222211111111110000000000
12618 10987654321098765432109876543210
12619 010001x1xx0xxxxx111101xxxxxxxxxx
12620 sli. */
82c70b08 12621 return 2149;
42e6288f
MM
12622 }
12623 }
12624 else
12625 {
12626 if (((word >> 12) & 0x1) == 0)
12627 {
12628 /* 33222222222211111111110000000000
12629 10987654321098765432109876543210
12630 010001x1xx0xxxxx111011xxxxxxxxxx
12631 ursra. */
82c70b08 12632 return 2316;
42e6288f
MM
12633 }
12634 else
12635 {
12636 /* 33222222222211111111110000000000
12637 10987654321098765432109876543210
12638 010001x1xx0xxxxx111111xxxxxxxxxx
12639 uaba. */
82c70b08 12640 return 2262;
42e6288f
MM
12641 }
12642 }
c0890d26
RS
12643 }
12644 }
12645 else
12646 {
42e6288f 12647 if (((word >> 22) & 0x1) == 0)
c0890d26 12648 {
42e6288f
MM
12649 if (((word >> 23) & 0x1) == 0)
12650 {
12651 /* 33222222222211111111110000000000
12652 10987654321098765432109876543210
12653 110001x1000xxxxx111xxxxxxxxxxxxx
12654 prfw. */
82c70b08 12655 return 1801;
42e6288f
MM
12656 }
12657 else
12658 {
12659 /* 33222222222211111111110000000000
12660 10987654321098765432109876543210
12661 110001x1100xxxxx111xxxxxxxxxxxxx
12662 prfd. */
82c70b08 12663 return 1787;
42e6288f 12664 }
c0890d26
RS
12665 }
12666 else
12667 {
42e6288f
MM
12668 if (((word >> 23) & 0x1) == 0)
12669 {
12670 /* 33222222222211111111110000000000
12671 10987654321098765432109876543210
12672 110001x1010xxxxx111xxxxxxxxxxxxx
12673 ldff1w. */
82c70b08 12674 return 1707;
42e6288f
MM
12675 }
12676 else
12677 {
12678 /* 33222222222211111111110000000000
12679 10987654321098765432109876543210
12680 110001x1110xxxxx111xxxxxxxxxxxxx
12681 ldff1d. */
82c70b08 12682 return 1652;
42e6288f 12683 }
c0890d26
RS
12684 }
12685 }
12686 }
12687 else
12688 {
12689 if (((word >> 22) & 0x1) == 0)
12690 {
12691 if (((word >> 23) & 0x1) == 0)
12692 {
42e6288f
MM
12693 if (((word >> 31) & 0x1) == 0)
12694 {
12695 if (((word >> 10) & 0x1) == 0)
12696 {
12697 if (((word >> 12) & 0x1) == 0)
12698 {
12699 if (((word >> 16) & 0x1) == 0)
12700 {
12701 if (((word >> 17) & 0x1) == 0)
12702 {
12703 /* 33222222222211111111110000000000
12704 10987654321098765432109876543210
12705 010001x1001xxx001110x0xxxxxxxxxx
12706 aesmc. */
82c70b08 12707 return 2341;
42e6288f
MM
12708 }
12709 else
12710 {
12711 /* 33222222222211111111110000000000
12712 10987654321098765432109876543210
12713 010001x1001xxx101110x0xxxxxxxxxx
12714 aese. */
82c70b08 12715 return 2339;
42e6288f
MM
12716 }
12717 }
12718 else
12719 {
12720 /* 33222222222211111111110000000000
12721 10987654321098765432109876543210
12722 010001x1001xxxx11110x0xxxxxxxxxx
12723 sm4e. */
82c70b08 12724 return 2336;
42e6288f
MM
12725 }
12726 }
12727 else
12728 {
12729 /* 33222222222211111111110000000000
12730 10987654321098765432109876543210
12731 010001x1001xxxxx1111x0xxxxxxxxxx
12732 sm4ekey. */
82c70b08 12733 return 2337;
42e6288f
MM
12734 }
12735 }
12736 else
12737 {
12738 if (((word >> 12) & 0x1) == 0)
12739 {
12740 if (((word >> 17) & 0x1) == 0)
12741 {
12742 /* 33222222222211111111110000000000
12743 10987654321098765432109876543210
12744 010001x1001xxx0x1110x1xxxxxxxxxx
12745 aesimc. */
82c70b08 12746 return 2340;
42e6288f
MM
12747 }
12748 else
12749 {
12750 /* 33222222222211111111110000000000
12751 10987654321098765432109876543210
12752 010001x1001xxx1x1110x1xxxxxxxxxx
12753 aesd. */
82c70b08 12754 return 2338;
42e6288f
MM
12755 }
12756 }
12757 else
12758 {
12759 /* 33222222222211111111110000000000
12760 10987654321098765432109876543210
12761 010001x1001xxxxx1111x1xxxxxxxxxx
12762 rax1. */
82c70b08 12763 return 2344;
42e6288f
MM
12764 }
12765 }
12766 }
12767 else
12768 {
12769 /* 33222222222211111111110000000000
12770 10987654321098765432109876543210
12771 110001x1001xxxxx111xxxxxxxxxxxxx
12772 ldff1w. */
82c70b08 12773 return 1710;
42e6288f 12774 }
c0890d26
RS
12775 }
12776 else
12777 {
12778 /* 33222222222211111111110000000000
12779 10987654321098765432109876543210
7684e580 12780 x10001x1101xxxxx111xxxxxxxxxxxxx
c0890d26 12781 ldff1d. */
82c70b08 12782 return 1654;
c0890d26
RS
12783 }
12784 }
12785 else
12786 {
12787 if (((word >> 23) & 0x1) == 0)
12788 {
12789 /* 33222222222211111111110000000000
12790 10987654321098765432109876543210
7684e580 12791 x10001x1011xxxxx111xxxxxxxxxxxxx
c0890d26 12792 ldff1w. */
82c70b08 12793 return 1708;
c0890d26
RS
12794 }
12795 else
12796 {
12797 /* 33222222222211111111110000000000
12798 10987654321098765432109876543210
7684e580 12799 x10001x1111xxxxx111xxxxxxxxxxxxx
c0890d26 12800 ldff1d. */
82c70b08 12801 return 1653;
c0890d26
RS
12802 }
12803 }
12804 }
12805 }
12806 }
12807 }
12808 }
12809 }
12810 else
12811 {
12812 if (((word >> 15) & 0x1) == 0)
12813 {
12814 if (((word >> 14) & 0x1) == 0)
12815 {
12816 if (((word >> 13) & 0x1) == 0)
12817 {
12818 if (((word >> 30) & 0x1) == 0)
12819 {
582e12bf 12820 if (((word >> 21) & 0x1) == 0)
c0890d26 12821 {
582e12bf 12822 if (((word >> 31) & 0x1) == 0)
c0890d26 12823 {
582e12bf
RS
12824 if (((word >> 4) & 0x1) == 0)
12825 {
12826 /* 33222222222211111111110000000000
12827 10987654321098765432109876543210
7684e580 12828 001001x1xx0xxxxx000xxxxxxxx0xxxx
582e12bf 12829 cmpge. */
82c70b08 12830 return 1333;
582e12bf
RS
12831 }
12832 else
12833 {
12834 /* 33222222222211111111110000000000
12835 10987654321098765432109876543210
7684e580 12836 001001x1xx0xxxxx000xxxxxxxx1xxxx
582e12bf 12837 cmpgt. */
82c70b08 12838 return 1336;
582e12bf 12839 }
c0890d26
RS
12840 }
12841 else
582e12bf
RS
12842 {
12843 if (((word >> 23) & 0x1) == 0)
12844 {
12845 /* 33222222222211111111110000000000
12846 10987654321098765432109876543210
7684e580 12847 101001x10x0xxxxx000xxxxxxxxxxxxx
582e12bf 12848 ld1rqw. */
82c70b08 12849 return 1560;
582e12bf
RS
12850 }
12851 else
12852 {
12853 /* 33222222222211111111110000000000
12854 10987654321098765432109876543210
7684e580 12855 101001x11x0xxxxx000xxxxxxxxxxxxx
582e12bf 12856 ld1rqd. */
82c70b08 12857 return 1556;
582e12bf
RS
12858 }
12859 }
12860 }
12861 else
12862 {
8382113f 12863 if (((word >> 31) & 0x1) == 0)
c0890d26 12864 {
8382113f 12865 if (((word >> 4) & 0x1) == 0)
c0890d26 12866 {
8382113f 12867 if (((word >> 10) & 0x1) == 0)
c0890d26 12868 {
8382113f 12869 if (((word >> 11) & 0x1) == 0)
42e6288f 12870 {
8382113f
MM
12871 if (((word >> 12) & 0x1) == 0)
12872 {
12873 /* 33222222222211111111110000000000
12874 10987654321098765432109876543210
12875 001001x1xx1xxxxx000000xxxxx0xxxx
12876 whilege. */
82c70b08 12877 return 2325;
8382113f
MM
12878 }
12879 else
12880 {
12881 /* 33222222222211111111110000000000
12882 10987654321098765432109876543210
12883 001001x1xx1xxxxx000100xxxxx0xxxx
12884 whilege. */
82c70b08 12885 return 2326;
8382113f 12886 }
42e6288f
MM
12887 }
12888 else
12889 {
8382113f
MM
12890 if (((word >> 12) & 0x1) == 0)
12891 {
12892 /* 33222222222211111111110000000000
12893 10987654321098765432109876543210
12894 001001x1xx1xxxxx000010xxxxx0xxxx
12895 whilehs. */
82c70b08 12896 return 2331;
8382113f
MM
12897 }
12898 else
12899 {
12900 /* 33222222222211111111110000000000
12901 10987654321098765432109876543210
12902 001001x1xx1xxxxx000110xxxxx0xxxx
12903 whilehs. */
82c70b08 12904 return 2332;
8382113f 12905 }
42e6288f 12906 }
c0890d26
RS
12907 }
12908 else
12909 {
8382113f 12910 if (((word >> 11) & 0x1) == 0)
42e6288f 12911 {
8382113f
MM
12912 if (((word >> 12) & 0x1) == 0)
12913 {
12914 /* 33222222222211111111110000000000
12915 10987654321098765432109876543210
12916 001001x1xx1xxxxx000001xxxxx0xxxx
12917 whilelt. */
82c70b08 12918 return 2037;
8382113f
MM
12919 }
12920 else
12921 {
12922 /* 33222222222211111111110000000000
12923 10987654321098765432109876543210
12924 001001x1xx1xxxxx000101xxxxx0xxxx
12925 whilelt. */
82c70b08 12926 return 2038;
8382113f 12927 }
42e6288f
MM
12928 }
12929 else
12930 {
8382113f
MM
12931 if (((word >> 12) & 0x1) == 0)
12932 {
12933 /* 33222222222211111111110000000000
12934 10987654321098765432109876543210
12935 001001x1xx1xxxxx000011xxxxx0xxxx
12936 whilelo. */
82c70b08 12937 return 2033;
8382113f
MM
12938 }
12939 else
12940 {
12941 /* 33222222222211111111110000000000
12942 10987654321098765432109876543210
12943 001001x1xx1xxxxx000111xxxxx0xxxx
12944 whilelo. */
82c70b08 12945 return 2034;
8382113f 12946 }
42e6288f 12947 }
c0890d26
RS
12948 }
12949 }
12950 else
12951 {
8382113f 12952 if (((word >> 10) & 0x1) == 0)
c0890d26 12953 {
8382113f 12954 if (((word >> 11) & 0x1) == 0)
42e6288f 12955 {
8382113f
MM
12956 if (((word >> 12) & 0x1) == 0)
12957 {
12958 /* 33222222222211111111110000000000
12959 10987654321098765432109876543210
12960 001001x1xx1xxxxx000000xxxxx1xxxx
12961 whilegt. */
82c70b08 12962 return 2327;
8382113f
MM
12963 }
12964 else
12965 {
12966 /* 33222222222211111111110000000000
12967 10987654321098765432109876543210
12968 001001x1xx1xxxxx000100xxxxx1xxxx
12969 whilegt. */
82c70b08 12970 return 2328;
8382113f 12971 }
42e6288f
MM
12972 }
12973 else
12974 {
8382113f
MM
12975 if (((word >> 12) & 0x1) == 0)
12976 {
12977 /* 33222222222211111111110000000000
12978 10987654321098765432109876543210
12979 001001x1xx1xxxxx000010xxxxx1xxxx
12980 whilehi. */
82c70b08 12981 return 2329;
8382113f
MM
12982 }
12983 else
12984 {
12985 /* 33222222222211111111110000000000
12986 10987654321098765432109876543210
12987 001001x1xx1xxxxx000110xxxxx1xxxx
12988 whilehi. */
82c70b08 12989 return 2330;
8382113f 12990 }
42e6288f 12991 }
c0890d26
RS
12992 }
12993 else
12994 {
8382113f 12995 if (((word >> 11) & 0x1) == 0)
42e6288f 12996 {
8382113f
MM
12997 if (((word >> 12) & 0x1) == 0)
12998 {
12999 /* 33222222222211111111110000000000
13000 10987654321098765432109876543210
13001 001001x1xx1xxxxx000001xxxxx1xxxx
13002 whilele. */
82c70b08 13003 return 2031;
8382113f
MM
13004 }
13005 else
13006 {
13007 /* 33222222222211111111110000000000
13008 10987654321098765432109876543210
13009 001001x1xx1xxxxx000101xxxxx1xxxx
13010 whilele. */
82c70b08 13011 return 2032;
8382113f 13012 }
42e6288f
MM
13013 }
13014 else
13015 {
8382113f
MM
13016 if (((word >> 12) & 0x1) == 0)
13017 {
13018 /* 33222222222211111111110000000000
13019 10987654321098765432109876543210
13020 001001x1xx1xxxxx000011xxxxx1xxxx
13021 whilels. */
82c70b08 13022 return 2035;
8382113f
MM
13023 }
13024 else
13025 {
13026 /* 33222222222211111111110000000000
13027 10987654321098765432109876543210
13028 001001x1xx1xxxxx000111xxxxx1xxxx
13029 whilels. */
82c70b08 13030 return 2036;
8382113f 13031 }
42e6288f 13032 }
c0890d26
RS
13033 }
13034 }
13035 }
8382113f
MM
13036 else
13037 {
13038 if (((word >> 23) & 0x1) == 0)
13039 {
13040 /* 33222222222211111111110000000000
13041 10987654321098765432109876543210
13042 101001x10x1xxxxx000xxxxxxxxxxxxx
13043 ld1row. */
01a4d082 13044 return 2466;
8382113f
MM
13045 }
13046 else
13047 {
13048 /* 33222222222211111111110000000000
13049 10987654321098765432109876543210
13050 101001x11x1xxxxx000xxxxxxxxxxxxx
13051 ld1rod. */
01a4d082 13052 return 2467;
8382113f
MM
13053 }
13054 }
c0890d26
RS
13055 }
13056 }
13057 else
13058 {
13059 if (((word >> 31) & 0x1) == 0)
13060 {
13061 if (((word >> 21) & 0x1) == 0)
13062 {
13063 if (((word >> 10) & 0x1) == 0)
13064 {
13065 if (((word >> 11) & 0x1) == 0)
13066 {
13067 /* 33222222222211111111110000000000
13068 10987654321098765432109876543210
7684e580 13069 011001x1xx0xxxxx000x00xxxxxxxxxx
c0890d26 13070 fadd. */
82c70b08 13071 return 1391;
c0890d26
RS
13072 }
13073 else
13074 {
13075 if (((word >> 12) & 0x1) == 0)
13076 {
13077 /* 33222222222211111111110000000000
13078 10987654321098765432109876543210
7684e580 13079 011001x1xx0xxxxx000010xxxxxxxxxx
c0890d26 13080 fmul. */
82c70b08 13081 return 1458;
c0890d26
RS
13082 }
13083 else
13084 {
13085 /* 33222222222211111111110000000000
13086 10987654321098765432109876543210
7684e580 13087 011001x1xx0xxxxx000110xxxxxxxxxx
c0890d26 13088 frecps. */
82c70b08 13089 return 1471;
c0890d26
RS
13090 }
13091 }
13092 }
13093 else
13094 {
13095 if (((word >> 11) & 0x1) == 0)
13096 {
13097 /* 33222222222211111111110000000000
13098 10987654321098765432109876543210
7684e580 13099 011001x1xx0xxxxx000x01xxxxxxxxxx
c0890d26 13100 fsub. */
82c70b08 13101 return 1484;
c0890d26
RS
13102 }
13103 else
13104 {
13105 if (((word >> 12) & 0x1) == 0)
13106 {
13107 /* 33222222222211111111110000000000
13108 10987654321098765432109876543210
7684e580 13109 011001x1xx0xxxxx000011xxxxxxxxxx
c0890d26 13110 ftsmul. */
82c70b08 13111 return 1490;
c0890d26
RS
13112 }
13113 else
13114 {
13115 /* 33222222222211111111110000000000
13116 10987654321098765432109876543210
7684e580 13117 011001x1xx0xxxxx000111xxxxxxxxxx
c0890d26 13118 frsqrts. */
82c70b08 13119 return 1481;
c0890d26
RS
13120 }
13121 }
13122 }
13123 }
13124 else
13125 {
13126 /* 33222222222211111111110000000000
13127 10987654321098765432109876543210
7684e580 13128 011001x1xx1xxxxx000xxxxxxxxxxxxx
c0890d26 13129 fmla. */
82c70b08 13130 return 1449;
c0890d26
RS
13131 }
13132 }
13133 else
13134 {
13135 /* 33222222222211111111110000000000
13136 10987654321098765432109876543210
7684e580 13137 111001x1xxxxxxxx000xxxxxxxxxxxxx
c0890d26 13138 str. */
82c70b08 13139 return 1952;
c0890d26
RS
13140 }
13141 }
13142 }
13143 else
13144 {
13145 if (((word >> 21) & 0x1) == 0)
13146 {
13147 if (((word >> 30) & 0x1) == 0)
13148 {
582e12bf 13149 if (((word >> 31) & 0x1) == 0)
c0890d26 13150 {
582e12bf
RS
13151 if (((word >> 4) & 0x1) == 0)
13152 {
13153 /* 33222222222211111111110000000000
13154 10987654321098765432109876543210
7684e580 13155 001001x1xx0xxxxx001xxxxxxxx0xxxx
582e12bf 13156 cmplt. */
82c70b08 13157 return 1350;
582e12bf
RS
13158 }
13159 else
13160 {
13161 /* 33222222222211111111110000000000
13162 10987654321098765432109876543210
7684e580 13163 001001x1xx0xxxxx001xxxxxxxx1xxxx
582e12bf 13164 cmple. */
82c70b08 13165 return 1344;
582e12bf 13166 }
c0890d26
RS
13167 }
13168 else
13169 {
582e12bf
RS
13170 if (((word >> 23) & 0x1) == 0)
13171 {
13172 /* 33222222222211111111110000000000
13173 10987654321098765432109876543210
7684e580 13174 101001x10x0xxxxx001xxxxxxxxxxxxx
582e12bf 13175 ld1rqw. */
82c70b08 13176 return 1559;
582e12bf
RS
13177 }
13178 else
13179 {
13180 /* 33222222222211111111110000000000
13181 10987654321098765432109876543210
7684e580 13182 101001x11x0xxxxx001xxxxxxxxxxxxx
582e12bf 13183 ld1rqd. */
82c70b08 13184 return 1555;
582e12bf 13185 }
c0890d26
RS
13186 }
13187 }
13188 else
13189 {
42e6288f 13190 if (((word >> 31) & 0x1) == 0)
c0890d26 13191 {
42e6288f 13192 if (((word >> 16) & 0x1) == 0)
c0890d26 13193 {
42e6288f 13194 if (((word >> 17) & 0x1) == 0)
c0890d26 13195 {
42e6288f 13196 if (((word >> 18) & 0x1) == 0)
c0890d26 13197 {
42e6288f 13198 if (((word >> 19) & 0x1) == 0)
c0890d26 13199 {
42e6288f 13200 if (((word >> 20) & 0x1) == 0)
c0890d26
RS
13201 {
13202 /* 33222222222211111111110000000000
13203 10987654321098765432109876543210
42e6288f
MM
13204 011001x1xx000000001xxxxxxxxxxxxx
13205 faddv. */
82c70b08 13206 return 1395;
c0890d26
RS
13207 }
13208 else
13209 {
42e6288f
MM
13210 if (((word >> 4) & 0x1) == 0)
13211 {
13212 /* 33222222222211111111110000000000
13213 10987654321098765432109876543210
13214 011001x1xx010000001xxxxxxxx0xxxx
13215 fcmge. */
82c70b08 13216 return 1402;
42e6288f
MM
13217 }
13218 else
13219 {
13220 /* 33222222222211111111110000000000
13221 10987654321098765432109876543210
13222 011001x1xx010000001xxxxxxxx1xxxx
13223 fcmgt. */
82c70b08 13224 return 1404;
42e6288f 13225 }
c0890d26
RS
13226 }
13227 }
42e6288f
MM
13228 else
13229 {
13230 /* 33222222222211111111110000000000
13231 10987654321098765432109876543210
13232 011001x1xx0x1000001xxxxxxxxxxxxx
13233 fadda. */
82c70b08 13234 return 1394;
42e6288f 13235 }
c0890d26
RS
13236 }
13237 else
13238 {
13239 /* 33222222222211111111110000000000
13240 10987654321098765432109876543210
42e6288f
MM
13241 011001x1xx0xx100001xxxxxxxxxxxxx
13242 fmaxnmv. */
82c70b08 13243 return 1441;
c0890d26
RS
13244 }
13245 }
13246 else
13247 {
42e6288f 13248 if (((word >> 18) & 0x1) == 0)
c0890d26
RS
13249 {
13250 /* 33222222222211111111110000000000
13251 10987654321098765432109876543210
42e6288f
MM
13252 011001x1xx0xx010001xxxxxxxxxxxxx
13253 fcmeq. */
82c70b08 13254 return 1400;
c0890d26
RS
13255 }
13256 else
13257 {
42e6288f
MM
13258 if (((word >> 19) & 0x1) == 0)
13259 {
13260 /* 33222222222211111111110000000000
13261 10987654321098765432109876543210
13262 011001x1xx0x0110001xxxxxxxxxxxxx
13263 fmaxv. */
82c70b08 13264 return 1442;
42e6288f
MM
13265 }
13266 else
13267 {
13268 /* 33222222222211111111110000000000
13269 10987654321098765432109876543210
13270 011001x1xx0x1110001xxxxxxxxxxxxx
13271 frecpe. */
82c70b08 13272 return 1470;
42e6288f 13273 }
c0890d26
RS
13274 }
13275 }
13276 }
42e6288f 13277 else
c0890d26 13278 {
42e6288f 13279 if (((word >> 17) & 0x1) == 0)
c0890d26 13280 {
42e6288f 13281 if (((word >> 18) & 0x1) == 0)
c0890d26 13282 {
42e6288f
MM
13283 if (((word >> 4) & 0x1) == 0)
13284 {
13285 /* 33222222222211111111110000000000
13286 10987654321098765432109876543210
13287 011001x1xx0xx001001xxxxxxxx0xxxx
13288 fcmlt. */
82c70b08 13289 return 1407;
42e6288f
MM
13290 }
13291 else
13292 {
13293 /* 33222222222211111111110000000000
13294 10987654321098765432109876543210
13295 011001x1xx0xx001001xxxxxxxx1xxxx
13296 fcmle. */
82c70b08 13297 return 1406;
42e6288f 13298 }
c0890d26
RS
13299 }
13300 else
13301 {
13302 /* 33222222222211111111110000000000
13303 10987654321098765432109876543210
42e6288f
MM
13304 011001x1xx0xx101001xxxxxxxxxxxxx
13305 fminnmv. */
82c70b08 13306 return 1447;
c0890d26
RS
13307 }
13308 }
13309 else
13310 {
42e6288f
MM
13311 if (((word >> 18) & 0x1) == 0)
13312 {
13313 /* 33222222222211111111110000000000
13314 10987654321098765432109876543210
13315 011001x1xx0xx011001xxxxxxxxxxxxx
13316 fcmne. */
82c70b08 13317 return 1408;
42e6288f
MM
13318 }
13319 else
13320 {
13321 if (((word >> 19) & 0x1) == 0)
13322 {
13323 /* 33222222222211111111110000000000
13324 10987654321098765432109876543210
13325 011001x1xx0x0111001xxxxxxxxxxxxx
13326 fminv. */
82c70b08 13327 return 1448;
42e6288f
MM
13328 }
13329 else
13330 {
13331 /* 33222222222211111111110000000000
13332 10987654321098765432109876543210
13333 011001x1xx0x1111001xxxxxxxxxxxxx
13334 frsqrte. */
82c70b08 13335 return 1480;
42e6288f
MM
13336 }
13337 }
c0890d26
RS
13338 }
13339 }
42e6288f
MM
13340 }
13341 else
13342 {
13343 if (((word >> 22) & 0x1) == 0)
c0890d26 13344 {
42e6288f 13345 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
13346 {
13347 /* 33222222222211111111110000000000
13348 10987654321098765432109876543210
42e6288f
MM
13349 111001x1000xxxxx001xxxxxxxxxxxxx
13350 stnt1w. */
82c70b08 13351 return 2256;
c0890d26
RS
13352 }
13353 else
13354 {
42e6288f
MM
13355 /* 33222222222211111111110000000000
13356 10987654321098765432109876543210
13357 111001x1100xxxxx001xxxxxxxxxxxxx
13358 stnt1d. */
82c70b08 13359 return 2252;
c0890d26
RS
13360 }
13361 }
42e6288f
MM
13362 else
13363 {
13364 /* 33222222222211111111110000000000
13365 10987654321098765432109876543210
13366 111001x1x10xxxxx001xxxxxxxxxxxxx
13367 stnt1w. */
82c70b08 13368 return 2255;
42e6288f 13369 }
c0890d26
RS
13370 }
13371 }
13372 }
13373 else
13374 {
13375 if (((word >> 30) & 0x1) == 0)
13376 {
8382113f 13377 if (((word >> 31) & 0x1) == 0)
c0890d26 13378 {
8382113f 13379 if (((word >> 4) & 0x1) == 0)
42e6288f 13380 {
8382113f
MM
13381 if (((word >> 12) & 0x1) == 0)
13382 {
13383 /* 33222222222211111111110000000000
13384 10987654321098765432109876543210
13385 001001x1xx1xxxxx0010xxxxxxx0xxxx
13386 ctermeq. */
82c70b08 13387 return 1365;
8382113f
MM
13388 }
13389 else
13390 {
13391 /* 33222222222211111111110000000000
13392 10987654321098765432109876543210
13393 001001x1xx1xxxxx0011xxxxxxx0xxxx
13394 whilewr. */
82c70b08 13395 return 2334;
8382113f 13396 }
42e6288f
MM
13397 }
13398 else
13399 {
8382113f
MM
13400 if (((word >> 12) & 0x1) == 0)
13401 {
13402 /* 33222222222211111111110000000000
13403 10987654321098765432109876543210
13404 001001x1xx1xxxxx0010xxxxxxx1xxxx
13405 ctermne. */
82c70b08 13406 return 1366;
8382113f
MM
13407 }
13408 else
13409 {
13410 /* 33222222222211111111110000000000
13411 10987654321098765432109876543210
13412 001001x1xx1xxxxx0011xxxxxxx1xxxx
13413 whilerw. */
82c70b08 13414 return 2333;
8382113f 13415 }
42e6288f 13416 }
c0890d26
RS
13417 }
13418 else
13419 {
8382113f 13420 if (((word >> 23) & 0x1) == 0)
42e6288f
MM
13421 {
13422 /* 33222222222211111111110000000000
13423 10987654321098765432109876543210
8382113f
MM
13424 101001x10x1xxxxx001xxxxxxxxxxxxx
13425 ld1row. */
01a4d082 13426 return 2470;
42e6288f
MM
13427 }
13428 else
13429 {
13430 /* 33222222222211111111110000000000
13431 10987654321098765432109876543210
8382113f
MM
13432 101001x11x1xxxxx001xxxxxxxxxxxxx
13433 ld1rod. */
01a4d082 13434 return 2471;
42e6288f 13435 }
c0890d26
RS
13436 }
13437 }
13438 else
13439 {
13440 /* 33222222222211111111110000000000
13441 10987654321098765432109876543210
7684e580 13442 x11001x1xx1xxxxx001xxxxxxxxxxxxx
c0890d26 13443 fmls. */
82c70b08 13444 return 1453;
c0890d26
RS
13445 }
13446 }
13447 }
13448 }
13449 else
13450 {
582e12bf 13451 if (((word >> 30) & 0x1) == 0)
c0890d26
RS
13452 {
13453 if (((word >> 21) & 0x1) == 0)
13454 {
13455 if (((word >> 22) & 0x1) == 0)
13456 {
582e12bf 13457 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
13458 {
13459 if (((word >> 31) & 0x1) == 0)
13460 {
13461 if (((word >> 9) & 0x1) == 0)
13462 {
13463 if (((word >> 20) & 0x1) == 0)
13464 {
13465 if (((word >> 4) & 0x1) == 0)
13466 {
13467 /* 33222222222211111111110000000000
13468 10987654321098765432109876543210
7684e580 13469 001001x10000xxxx01xxxx0xxxx0xxxx
c0890d26 13470 and. */
82c70b08 13471 return 1296;
c0890d26
RS
13472 }
13473 else
13474 {
13475 /* 33222222222211111111110000000000
13476 10987654321098765432109876543210
7684e580 13477 001001x10000xxxx01xxxx0xxxx1xxxx
c0890d26 13478 bic. */
82c70b08 13479 return 1308;
c0890d26
RS
13480 }
13481 }
13482 else
13483 {
13484 if (((word >> 19) & 0x1) == 0)
13485 {
13486 /* 33222222222211111111110000000000
13487 10987654321098765432109876543210
7684e580 13488 001001x100010xxx01xxxx0xxxxxxxxx
c0890d26 13489 brka. */
82c70b08 13490 return 1310;
c0890d26
RS
13491 }
13492 else
13493 {
13494 /* 33222222222211111111110000000000
13495 10987654321098765432109876543210
7684e580 13496 001001x100011xxx01xxxx0xxxxxxxxx
c0890d26 13497 brkn. */
82c70b08 13498 return 1314;
c0890d26
RS
13499 }
13500 }
13501 }
13502 else
13503 {
13504 if (((word >> 4) & 0x1) == 0)
13505 {
13506 /* 33222222222211111111110000000000
13507 10987654321098765432109876543210
7684e580 13508 001001x1000xxxxx01xxxx1xxxx0xxxx
582e12bf 13509 eor. */
82c70b08 13510 return 1383;
582e12bf
RS
13511 }
13512 else
13513 {
13514 /* 33222222222211111111110000000000
13515 10987654321098765432109876543210
7684e580 13516 001001x1000xxxxx01xxxx1xxxx1xxxx
582e12bf 13517 sel. */
82c70b08 13518 return 1832;
582e12bf
RS
13519 }
13520 }
13521 }
13522 else
13523 {
13524 if (((word >> 13) & 0x1) == 0)
13525 {
13526 /* 33222222222211111111110000000000
13527 10987654321098765432109876543210
7684e580 13528 101001x1000xxxxx010xxxxxxxxxxxxx
582e12bf 13529 ld1sh. */
82c70b08 13530 return 1582;
582e12bf
RS
13531 }
13532 else
13533 {
13534 /* 33222222222211111111110000000000
13535 10987654321098765432109876543210
7684e580 13536 101001x1000xxxxx011xxxxxxxxxxxxx
582e12bf 13537 ldff1sh. */
82c70b08 13538 return 1682;
582e12bf
RS
13539 }
13540 }
13541 }
13542 else
13543 {
13544 if (((word >> 31) & 0x1) == 0)
13545 {
13546 if (((word >> 9) & 0x1) == 0)
13547 {
13548 if (((word >> 20) & 0x1) == 0)
13549 {
13550 if (((word >> 4) & 0x1) == 0)
13551 {
13552 /* 33222222222211111111110000000000
13553 10987654321098765432109876543210
7684e580 13554 001001x11000xxxx01xxxx0xxxx0xxxx
582e12bf 13555 orr. */
82c70b08 13556 return 1768;
582e12bf
RS
13557 }
13558 else
13559 {
13560 /* 33222222222211111111110000000000
13561 10987654321098765432109876543210
7684e580 13562 001001x11000xxxx01xxxx0xxxx1xxxx
582e12bf 13563 orn. */
82c70b08 13564 return 1763;
582e12bf
RS
13565 }
13566 }
13567 else
13568 {
13569 /* 33222222222211111111110000000000
13570 10987654321098765432109876543210
7684e580 13571 001001x11001xxxx01xxxx0xxxxxxxxx
582e12bf 13572 brkb. */
82c70b08 13573 return 1312;
582e12bf
RS
13574 }
13575 }
13576 else
13577 {
13578 if (((word >> 4) & 0x1) == 0)
13579 {
13580 /* 33222222222211111111110000000000
13581 10987654321098765432109876543210
7684e580 13582 001001x1100xxxxx01xxxx1xxxx0xxxx
582e12bf 13583 nor. */
82c70b08 13584 return 1760;
c0890d26
RS
13585 }
13586 else
13587 {
13588 /* 33222222222211111111110000000000
13589 10987654321098765432109876543210
7684e580 13590 001001x1100xxxxx01xxxx1xxxx1xxxx
582e12bf 13591 nand. */
82c70b08 13592 return 1757;
c0890d26
RS
13593 }
13594 }
13595 }
13596 else
13597 {
13598 if (((word >> 13) & 0x1) == 0)
13599 {
13600 /* 33222222222211111111110000000000
13601 10987654321098765432109876543210
7684e580 13602 101001x1100xxxxx010xxxxxxxxxxxxx
582e12bf 13603 ld1sb. */
82c70b08 13604 return 1570;
c0890d26
RS
13605 }
13606 else
13607 {
13608 /* 33222222222211111111110000000000
13609 10987654321098765432109876543210
7684e580 13610 101001x1100xxxxx011xxxxxxxxxxxxx
582e12bf 13611 ldff1sb. */
82c70b08 13612 return 1670;
c0890d26
RS
13613 }
13614 }
13615 }
c0890d26
RS
13616 }
13617 else
13618 {
582e12bf 13619 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
13620 {
13621 if (((word >> 31) & 0x1) == 0)
13622 {
13623 if (((word >> 4) & 0x1) == 0)
13624 {
13625 if (((word >> 9) & 0x1) == 0)
13626 {
13627 if (((word >> 20) & 0x1) == 0)
13628 {
13629 /* 33222222222211111111110000000000
13630 10987654321098765432109876543210
7684e580 13631 001001x10100xxxx01xxxx0xxxx0xxxx
c0890d26 13632 ands. */
82c70b08 13633 return 1297;
c0890d26
RS
13634 }
13635 else
13636 {
13637 if (((word >> 19) & 0x1) == 0)
13638 {
13639 /* 33222222222211111111110000000000
13640 10987654321098765432109876543210
7684e580 13641 001001x101010xxx01xxxx0xxxx0xxxx
c0890d26 13642 brkas. */
82c70b08 13643 return 1311;
c0890d26
RS
13644 }
13645 else
13646 {
13647 /* 33222222222211111111110000000000
13648 10987654321098765432109876543210
7684e580 13649 001001x101011xxx01xxxx0xxxx0xxxx
c0890d26 13650 brkns. */
82c70b08 13651 return 1315;
c0890d26
RS
13652 }
13653 }
13654 }
13655 else
13656 {
13657 /* 33222222222211111111110000000000
13658 10987654321098765432109876543210
7684e580 13659 001001x1010xxxxx01xxxx1xxxx0xxxx
c0890d26 13660 eors. */
82c70b08 13661 return 1384;
c0890d26
RS
13662 }
13663 }
13664 else
13665 {
13666 /* 33222222222211111111110000000000
13667 10987654321098765432109876543210
7684e580 13668 001001x1010xxxxx01xxxxxxxxx1xxxx
c0890d26 13669 bics. */
82c70b08 13670 return 1309;
c0890d26
RS
13671 }
13672 }
13673 else
13674 {
13675 if (((word >> 13) & 0x1) == 0)
13676 {
13677 /* 33222222222211111111110000000000
13678 10987654321098765432109876543210
7684e580 13679 101001x1010xxxxx010xxxxxxxxxxxxx
c0890d26 13680 ld1w. */
82c70b08 13681 return 1601;
c0890d26
RS
13682 }
13683 else
13684 {
13685 /* 33222222222211111111110000000000
13686 10987654321098765432109876543210
7684e580 13687 101001x1010xxxxx011xxxxxxxxxxxxx
c0890d26 13688 ldff1w. */
82c70b08 13689 return 1701;
c0890d26
RS
13690 }
13691 }
13692 }
13693 else
13694 {
13695 if (((word >> 31) & 0x1) == 0)
13696 {
13697 if (((word >> 4) & 0x1) == 0)
13698 {
13699 if (((word >> 9) & 0x1) == 0)
13700 {
13701 if (((word >> 20) & 0x1) == 0)
13702 {
13703 /* 33222222222211111111110000000000
13704 10987654321098765432109876543210
7684e580 13705 001001x11100xxxx01xxxx0xxxx0xxxx
c0890d26 13706 orrs. */
82c70b08 13707 return 1769;
c0890d26
RS
13708 }
13709 else
13710 {
13711 /* 33222222222211111111110000000000
13712 10987654321098765432109876543210
7684e580 13713 001001x11101xxxx01xxxx0xxxx0xxxx
c0890d26 13714 brkbs. */
82c70b08 13715 return 1313;
c0890d26
RS
13716 }
13717 }
13718 else
13719 {
13720 /* 33222222222211111111110000000000
13721 10987654321098765432109876543210
7684e580 13722 001001x1110xxxxx01xxxx1xxxx0xxxx
c0890d26 13723 nors. */
82c70b08 13724 return 1761;
c0890d26
RS
13725 }
13726 }
13727 else
13728 {
13729 if (((word >> 9) & 0x1) == 0)
13730 {
13731 /* 33222222222211111111110000000000
13732 10987654321098765432109876543210
7684e580 13733 001001x1110xxxxx01xxxx0xxxx1xxxx
c0890d26 13734 orns. */
82c70b08 13735 return 1764;
c0890d26
RS
13736 }
13737 else
13738 {
13739 /* 33222222222211111111110000000000
13740 10987654321098765432109876543210
7684e580 13741 001001x1110xxxxx01xxxx1xxxx1xxxx
c0890d26 13742 nands. */
82c70b08 13743 return 1758;
c0890d26
RS
13744 }
13745 }
13746 }
13747 else
13748 {
13749 if (((word >> 13) & 0x1) == 0)
13750 {
13751 /* 33222222222211111111110000000000
13752 10987654321098765432109876543210
7684e580 13753 101001x1110xxxxx010xxxxxxxxxxxxx
c0890d26 13754 ld1sb. */
82c70b08 13755 return 1572;
c0890d26
RS
13756 }
13757 else
13758 {
13759 /* 33222222222211111111110000000000
13760 10987654321098765432109876543210
7684e580 13761 101001x1110xxxxx011xxxxxxxxxxxxx
c0890d26 13762 ldff1sb. */
82c70b08 13763 return 1674;
c0890d26
RS
13764 }
13765 }
13766 }
13767 }
582e12bf
RS
13768 }
13769 else
13770 {
13771 if (((word >> 13) & 0x1) == 0)
c0890d26 13772 {
582e12bf 13773 if (((word >> 22) & 0x1) == 0)
c0890d26 13774 {
582e12bf
RS
13775 if (((word >> 23) & 0x1) == 0)
13776 {
13777 /* 33222222222211111111110000000000
13778 10987654321098765432109876543210
7684e580 13779 x01001x1001xxxxx010xxxxxxxxxxxxx
582e12bf 13780 ld1sh. */
82c70b08 13781 return 1583;
582e12bf
RS
13782 }
13783 else
c0890d26
RS
13784 {
13785 /* 33222222222211111111110000000000
13786 10987654321098765432109876543210
7684e580 13787 x01001x1101xxxxx010xxxxxxxxxxxxx
c0890d26 13788 ld1sb. */
82c70b08 13789 return 1571;
582e12bf
RS
13790 }
13791 }
13792 else
13793 {
13794 if (((word >> 23) & 0x1) == 0)
13795 {
13796 /* 33222222222211111111110000000000
13797 10987654321098765432109876543210
7684e580 13798 x01001x1011xxxxx010xxxxxxxxxxxxx
582e12bf 13799 ld1w. */
82c70b08 13800 return 1602;
582e12bf
RS
13801 }
13802 else
13803 {
13804 /* 33222222222211111111110000000000
13805 10987654321098765432109876543210
7684e580 13806 x01001x1111xxxxx010xxxxxxxxxxxxx
582e12bf 13807 ld1d. */
82c70b08 13808 return 1524;
582e12bf
RS
13809 }
13810 }
13811 }
13812 else
13813 {
13814 if (((word >> 22) & 0x1) == 0)
13815 {
13816 if (((word >> 23) & 0x1) == 0)
13817 {
13818 /* 33222222222211111111110000000000
13819 10987654321098765432109876543210
7684e580 13820 x01001x1001xxxxx011xxxxxxxxxxxxx
582e12bf 13821 ldff1sh. */
82c70b08 13822 return 1684;
582e12bf
RS
13823 }
13824 else
13825 {
13826 /* 33222222222211111111110000000000
13827 10987654321098765432109876543210
7684e580 13828 x01001x1101xxxxx011xxxxxxxxxxxxx
582e12bf 13829 ldff1sb. */
82c70b08 13830 return 1672;
582e12bf
RS
13831 }
13832 }
13833 else
13834 {
13835 if (((word >> 23) & 0x1) == 0)
13836 {
13837 /* 33222222222211111111110000000000
13838 10987654321098765432109876543210
7684e580 13839 x01001x1011xxxxx011xxxxxxxxxxxxx
582e12bf 13840 ldff1w. */
82c70b08 13841 return 1703;
c0890d26
RS
13842 }
13843 else
13844 {
13845 /* 33222222222211111111110000000000
13846 10987654321098765432109876543210
7684e580 13847 x01001x1111xxxxx011xxxxxxxxxxxxx
582e12bf 13848 ldff1d. */
82c70b08 13849 return 1648;
c0890d26
RS
13850 }
13851 }
582e12bf
RS
13852 }
13853 }
13854 }
13855 else
13856 {
13857 if (((word >> 13) & 0x1) == 0)
13858 {
13859 if (((word >> 31) & 0x1) == 0)
13860 {
13861 if (((word >> 21) & 0x1) == 0)
c0890d26 13862 {
582e12bf 13863 if (((word >> 4) & 0x1) == 0)
c0890d26
RS
13864 {
13865 /* 33222222222211111111110000000000
13866 10987654321098765432109876543210
7684e580 13867 011001x1xx0xxxxx010xxxxxxxx0xxxx
582e12bf 13868 fcmge. */
82c70b08 13869 return 1403;
c0890d26
RS
13870 }
13871 else
13872 {
13873 /* 33222222222211111111110000000000
13874 10987654321098765432109876543210
7684e580 13875 011001x1xx0xxxxx010xxxxxxxx1xxxx
582e12bf 13876 fcmgt. */
82c70b08 13877 return 1405;
c0890d26
RS
13878 }
13879 }
582e12bf
RS
13880 else
13881 {
13882 /* 33222222222211111111110000000000
13883 10987654321098765432109876543210
7684e580 13884 011001x1xx1xxxxx010xxxxxxxxxxxxx
582e12bf 13885 fnmla. */
82c70b08 13886 return 1467;
582e12bf 13887 }
c0890d26 13888 }
582e12bf 13889 else
c0890d26 13890 {
582e12bf
RS
13891 if (((word >> 22) & 0x1) == 0)
13892 {
13893 /* 33222222222211111111110000000000
13894 10987654321098765432109876543210
7684e580 13895 111001x1x0xxxxxx010xxxxxxxxxxxxx
582e12bf 13896 str. */
82c70b08 13897 return 1953;
582e12bf
RS
13898 }
13899 else
c0890d26
RS
13900 {
13901 if (((word >> 21) & 0x1) == 0)
13902 {
582e12bf
RS
13903 /* 33222222222211111111110000000000
13904 10987654321098765432109876543210
7684e580 13905 111001x1x10xxxxx010xxxxxxxxxxxxx
582e12bf 13906 st1w. */
82c70b08 13907 return 1912;
582e12bf
RS
13908 }
13909 else
13910 {
13911 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
13912 {
13913 /* 33222222222211111111110000000000
13914 10987654321098765432109876543210
7684e580 13915 111001x1011xxxxx010xxxxxxxxxxxxx
582e12bf 13916 st1w. */
82c70b08 13917 return 1914;
c0890d26
RS
13918 }
13919 else
13920 {
13921 /* 33222222222211111111110000000000
13922 10987654321098765432109876543210
7684e580 13923 111001x1111xxxxx010xxxxxxxxxxxxx
582e12bf 13924 st1d. */
82c70b08 13925 return 1891;
c0890d26
RS
13926 }
13927 }
c0890d26 13928 }
582e12bf
RS
13929 }
13930 }
13931 else
13932 {
13933 if (((word >> 21) & 0x1) == 0)
13934 {
13935 if (((word >> 31) & 0x1) == 0)
c0890d26 13936 {
582e12bf 13937 if (((word >> 4) & 0x1) == 0)
c0890d26
RS
13938 {
13939 /* 33222222222211111111110000000000
13940 10987654321098765432109876543210
7684e580 13941 011001x1xx0xxxxx011xxxxxxxx0xxxx
582e12bf 13942 fcmeq. */
82c70b08 13943 return 1401;
c0890d26
RS
13944 }
13945 else
13946 {
13947 /* 33222222222211111111110000000000
13948 10987654321098765432109876543210
7684e580 13949 011001x1xx0xxxxx011xxxxxxxx1xxxx
582e12bf 13950 fcmne. */
82c70b08 13951 return 1409;
c0890d26
RS
13952 }
13953 }
582e12bf 13954 else
c0890d26 13955 {
582e12bf 13956 if (((word >> 22) & 0x1) == 0)
c0890d26 13957 {
582e12bf 13958 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
13959 {
13960 /* 33222222222211111111110000000000
13961 10987654321098765432109876543210
7684e580 13962 111001x1000xxxxx011xxxxxxxxxxxxx
582e12bf 13963 stnt1w. */
82c70b08 13964 return 1950;
c0890d26
RS
13965 }
13966 else
13967 {
13968 /* 33222222222211111111110000000000
13969 10987654321098765432109876543210
7684e580 13970 111001x1100xxxxx011xxxxxxxxxxxxx
582e12bf 13971 stnt1d. */
82c70b08 13972 return 1946;
c0890d26
RS
13973 }
13974 }
13975 else
13976 {
582e12bf 13977 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
13978 {
13979 /* 33222222222211111111110000000000
13980 10987654321098765432109876543210
7684e580 13981 111001x1010xxxxx011xxxxxxxxxxxxx
582e12bf 13982 st3w. */
82c70b08 13983 return 1934;
c0890d26
RS
13984 }
13985 else
13986 {
13987 /* 33222222222211111111110000000000
13988 10987654321098765432109876543210
7684e580 13989 111001x1110xxxxx011xxxxxxxxxxxxx
c0890d26 13990 st3d. */
82c70b08 13991 return 1930;
c0890d26
RS
13992 }
13993 }
13994 }
582e12bf
RS
13995 }
13996 else
13997 {
13998 if (((word >> 31) & 0x1) == 0)
13999 {
14000 /* 33222222222211111111110000000000
14001 10987654321098765432109876543210
7684e580 14002 011001x1xx1xxxxx011xxxxxxxxxxxxx
582e12bf 14003 fnmls. */
82c70b08 14004 return 1468;
582e12bf 14005 }
c0890d26
RS
14006 else
14007 {
582e12bf 14008 if (((word >> 22) & 0x1) == 0)
c0890d26 14009 {
582e12bf
RS
14010 if (((word >> 23) & 0x1) == 0)
14011 {
14012 /* 33222222222211111111110000000000
14013 10987654321098765432109876543210
7684e580 14014 111001x1001xxxxx011xxxxxxxxxxxxx
582e12bf 14015 st2w. */
82c70b08 14016 return 1926;
582e12bf
RS
14017 }
14018 else
14019 {
14020 /* 33222222222211111111110000000000
14021 10987654321098765432109876543210
7684e580 14022 111001x1101xxxxx011xxxxxxxxxxxxx
582e12bf 14023 st2d. */
82c70b08 14024 return 1922;
582e12bf 14025 }
c0890d26
RS
14026 }
14027 else
14028 {
582e12bf 14029 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
14030 {
14031 /* 33222222222211111111110000000000
14032 10987654321098765432109876543210
7684e580 14033 111001x1011xxxxx011xxxxxxxxxxxxx
582e12bf 14034 st4w. */
82c70b08 14035 return 1942;
c0890d26
RS
14036 }
14037 else
14038 {
14039 /* 33222222222211111111110000000000
14040 10987654321098765432109876543210
7684e580 14041 111001x1111xxxxx011xxxxxxxxxxxxx
c0890d26 14042 st4d. */
82c70b08 14043 return 1938;
c0890d26
RS
14044 }
14045 }
14046 }
14047 }
14048 }
14049 }
14050 }
14051 }
14052 else
14053 {
14054 if (((word >> 21) & 0x1) == 0)
14055 {
14056 if (((word >> 30) & 0x1) == 0)
14057 {
14058 if (((word >> 14) & 0x1) == 0)
14059 {
14060 if (((word >> 13) & 0x1) == 0)
14061 {
14062 if (((word >> 4) & 0x1) == 0)
14063 {
14064 /* 33222222222211111111110000000000
14065 10987654321098765432109876543210
7684e580 14066 x01001x1xx0xxxxx100xxxxxxxx0xxxx
c0890d26 14067 cmpeq. */
82c70b08 14068 return 1330;
c0890d26
RS
14069 }
14070 else
14071 {
14072 /* 33222222222211111111110000000000
14073 10987654321098765432109876543210
7684e580 14074 x01001x1xx0xxxxx100xxxxxxxx1xxxx
c0890d26 14075 cmpne. */
82c70b08 14076 return 1353;
c0890d26
RS
14077 }
14078 }
14079 else
14080 {
14081 if (((word >> 20) & 0x1) == 0)
14082 {
14083 if (((word >> 22) & 0x1) == 0)
14084 {
14085 if (((word >> 23) & 0x1) == 0)
14086 {
14087 /* 33222222222211111111110000000000
14088 10987654321098765432109876543210
7684e580 14089 x01001x10000xxxx101xxxxxxxxxxxxx
c0890d26 14090 ld1sh. */
82c70b08 14091 return 1589;
c0890d26
RS
14092 }
14093 else
14094 {
14095 /* 33222222222211111111110000000000
14096 10987654321098765432109876543210
7684e580 14097 x01001x11000xxxx101xxxxxxxxxxxxx
c0890d26 14098 ld1sb. */
82c70b08 14099 return 1576;
c0890d26
RS
14100 }
14101 }
14102 else
14103 {
14104 if (((word >> 23) & 0x1) == 0)
14105 {
14106 /* 33222222222211111111110000000000
14107 10987654321098765432109876543210
7684e580 14108 x01001x10100xxxx101xxxxxxxxxxxxx
c0890d26 14109 ld1w. */
82c70b08 14110 return 1608;
c0890d26
RS
14111 }
14112 else
14113 {
14114 /* 33222222222211111111110000000000
14115 10987654321098765432109876543210
7684e580 14116 x01001x11100xxxx101xxxxxxxxxxxxx
c0890d26 14117 ld1sb. */
82c70b08 14118 return 1578;
c0890d26
RS
14119 }
14120 }
14121 }
14122 else
14123 {
14124 if (((word >> 22) & 0x1) == 0)
14125 {
14126 if (((word >> 23) & 0x1) == 0)
14127 {
14128 /* 33222222222211111111110000000000
14129 10987654321098765432109876543210
7684e580 14130 x01001x10001xxxx101xxxxxxxxxxxxx
c0890d26 14131 ldnf1sh. */
82c70b08 14132 return 1722;
c0890d26
RS
14133 }
14134 else
14135 {
14136 /* 33222222222211111111110000000000
14137 10987654321098765432109876543210
7684e580 14138 x01001x11001xxxx101xxxxxxxxxxxxx
c0890d26 14139 ldnf1sb. */
82c70b08 14140 return 1719;
c0890d26
RS
14141 }
14142 }
14143 else
14144 {
14145 if (((word >> 23) & 0x1) == 0)
14146 {
14147 /* 33222222222211111111110000000000
14148 10987654321098765432109876543210
7684e580 14149 x01001x10101xxxx101xxxxxxxxxxxxx
c0890d26 14150 ldnf1w. */
82c70b08 14151 return 1725;
c0890d26
RS
14152 }
14153 else
14154 {
14155 /* 33222222222211111111110000000000
14156 10987654321098765432109876543210
7684e580 14157 x01001x11101xxxx101xxxxxxxxxxxxx
c0890d26 14158 ldnf1sb. */
82c70b08 14159 return 1721;
c0890d26
RS
14160 }
14161 }
14162 }
14163 }
14164 }
14165 else
14166 {
14167 if (((word >> 31) & 0x1) == 0)
14168 {
14169 if (((word >> 4) & 0x1) == 0)
14170 {
14171 if (((word >> 20) & 0x1) == 0)
14172 {
14173 if (((word >> 22) & 0x1) == 0)
14174 {
14175 /* 33222222222211111111110000000000
14176 10987654321098765432109876543210
7684e580 14177 001001x1x000xxxx11xxxxxxxxx0xxxx
c0890d26 14178 brkpa. */
82c70b08 14179 return 1316;
c0890d26
RS
14180 }
14181 else
14182 {
14183 /* 33222222222211111111110000000000
14184 10987654321098765432109876543210
7684e580 14185 001001x1x100xxxx11xxxxxxxxx0xxxx
c0890d26 14186 brkpas. */
82c70b08 14187 return 1317;
c0890d26
RS
14188 }
14189 }
14190 else
14191 {
14192 if (((word >> 16) & 0x1) == 0)
14193 {
14194 if (((word >> 19) & 0x1) == 0)
14195 {
14196 /* 33222222222211111111110000000000
14197 10987654321098765432109876543210
7684e580 14198 001001x1xx010xx011xxxxxxxxx0xxxx
c0890d26 14199 ptest. */
82c70b08 14200 return 1802;
c0890d26
RS
14201 }
14202 else
14203 {
14204 if (((word >> 10) & 0x1) == 0)
14205 {
14206 if (((word >> 12) & 0x1) == 0)
14207 {
14208 if (((word >> 13) & 0x1) == 0)
14209 {
14210 /* 33222222222211111111110000000000
14211 10987654321098765432109876543210
7684e580 14212 001001x1xx011xx01100x0xxxxx0xxxx
c0890d26 14213 pfirst. */
82c70b08 14214 return 1772;
c0890d26
RS
14215 }
14216 else
14217 {
14218 /* 33222222222211111111110000000000
14219 10987654321098765432109876543210
7684e580 14220 001001x1xx011xx01110x0xxxxx0xxxx
c0890d26 14221 ptrue. */
82c70b08 14222 return 1803;
c0890d26
RS
14223 }
14224 }
14225 else
14226 {
14227 if (((word >> 22) & 0x1) == 0)
14228 {
14229 /* 33222222222211111111110000000000
14230 10987654321098765432109876543210
7684e580 14231 001001x1x0011xx011x1x0xxxxx0xxxx
c0890d26 14232 rdffr. */
82c70b08 14233 return 1809;
c0890d26
RS
14234 }
14235 else
14236 {
14237 /* 33222222222211111111110000000000
14238 10987654321098765432109876543210
7684e580 14239 001001x1x1011xx011x1x0xxxxx0xxxx
c0890d26 14240 rdffrs. */
82c70b08 14241 return 1810;
c0890d26
RS
14242 }
14243 }
14244 }
14245 else
14246 {
14247 /* 33222222222211111111110000000000
14248 10987654321098765432109876543210
7684e580 14249 001001x1xx011xx011xxx1xxxxx0xxxx
c0890d26 14250 pfalse. */
82c70b08 14251 return 1771;
c0890d26
RS
14252 }
14253 }
14254 }
14255 else
14256 {
14257 if (((word >> 10) & 0x1) == 0)
14258 {
14259 if (((word >> 12) & 0x1) == 0)
14260 {
14261 /* 33222222222211111111110000000000
14262 10987654321098765432109876543210
7684e580 14263 001001x1xx01xxx111x0x0xxxxx0xxxx
c0890d26 14264 ptrues. */
82c70b08 14265 return 1804;
c0890d26
RS
14266 }
14267 else
14268 {
14269 /* 33222222222211111111110000000000
14270 10987654321098765432109876543210
7684e580 14271 001001x1xx01xxx111x1x0xxxxx0xxxx
c0890d26 14272 rdffr. */
82c70b08 14273 return 1808;
c0890d26
RS
14274 }
14275 }
14276 else
14277 {
14278 /* 33222222222211111111110000000000
14279 10987654321098765432109876543210
7684e580 14280 001001x1xx01xxx111xxx1xxxxx0xxxx
c0890d26 14281 pnext. */
82c70b08 14282 return 1773;
c0890d26
RS
14283 }
14284 }
14285 }
14286 }
14287 else
14288 {
14289 if (((word >> 22) & 0x1) == 0)
14290 {
14291 /* 33222222222211111111110000000000
14292 10987654321098765432109876543210
7684e580 14293 001001x1x00xxxxx11xxxxxxxxx1xxxx
c0890d26 14294 brkpb. */
82c70b08 14295 return 1318;
c0890d26
RS
14296 }
14297 else
14298 {
14299 /* 33222222222211111111110000000000
14300 10987654321098765432109876543210
7684e580 14301 001001x1x10xxxxx11xxxxxxxxx1xxxx
c0890d26 14302 brkpbs. */
82c70b08 14303 return 1319;
c0890d26
RS
14304 }
14305 }
14306 }
14307 else
14308 {
14309 if (((word >> 13) & 0x1) == 0)
14310 {
14311 if (((word >> 22) & 0x1) == 0)
14312 {
14313 if (((word >> 23) & 0x1) == 0)
14314 {
14315 /* 33222222222211111111110000000000
14316 10987654321098765432109876543210
7684e580 14317 101001x1000xxxxx110xxxxxxxxxxxxx
c0890d26 14318 ldnt1w. */
82c70b08 14319 return 1733;
c0890d26
RS
14320 }
14321 else
14322 {
14323 /* 33222222222211111111110000000000
14324 10987654321098765432109876543210
7684e580 14325 101001x1100xxxxx110xxxxxxxxxxxxx
c0890d26 14326 ldnt1d. */
82c70b08 14327 return 1729;
c0890d26
RS
14328 }
14329 }
14330 else
14331 {
14332 if (((word >> 23) & 0x1) == 0)
14333 {
14334 /* 33222222222211111111110000000000
14335 10987654321098765432109876543210
7684e580 14336 101001x1010xxxxx110xxxxxxxxxxxxx
c0890d26 14337 ld3w. */
82c70b08 14338 return 1625;
c0890d26
RS
14339 }
14340 else
14341 {
14342 /* 33222222222211111111110000000000
14343 10987654321098765432109876543210
7684e580 14344 101001x1110xxxxx110xxxxxxxxxxxxx
c0890d26 14345 ld3d. */
82c70b08 14346 return 1621;
c0890d26
RS
14347 }
14348 }
14349 }
14350 else
14351 {
14352 if (((word >> 22) & 0x1) == 0)
582e12bf
RS
14353 {
14354 if (((word >> 23) & 0x1) == 0)
14355 {
14356 /* 33222222222211111111110000000000
14357 10987654321098765432109876543210
7684e580 14358 101001x1000xxxxx111xxxxxxxxxxxxx
582e12bf 14359 ldnt1w. */
82c70b08 14360 return 1734;
582e12bf
RS
14361 }
14362 else
14363 {
14364 /* 33222222222211111111110000000000
14365 10987654321098765432109876543210
7684e580 14366 101001x1100xxxxx111xxxxxxxxxxxxx
582e12bf 14367 ldnt1d. */
82c70b08 14368 return 1730;
582e12bf
RS
14369 }
14370 }
14371 else
14372 {
14373 if (((word >> 23) & 0x1) == 0)
14374 {
14375 /* 33222222222211111111110000000000
14376 10987654321098765432109876543210
7684e580 14377 101001x1010xxxxx111xxxxxxxxxxxxx
582e12bf 14378 ld3w. */
82c70b08 14379 return 1626;
c0890d26
RS
14380 }
14381 else
582e12bf
RS
14382 {
14383 /* 33222222222211111111110000000000
14384 10987654321098765432109876543210
7684e580 14385 101001x1110xxxxx111xxxxxxxxxxxxx
582e12bf 14386 ld3d. */
82c70b08 14387 return 1622;
582e12bf
RS
14388 }
14389 }
14390 }
14391 }
14392 }
14393 }
14394 else
14395 {
14396 if (((word >> 13) & 0x1) == 0)
14397 {
14398 if (((word >> 31) & 0x1) == 0)
14399 {
14400 if (((word >> 14) & 0x1) == 0)
14401 {
14402 if (((word >> 19) & 0x1) == 0)
14403 {
14404 if (((word >> 20) & 0x1) == 0)
c0890d26
RS
14405 {
14406 if (((word >> 16) & 0x1) == 0)
14407 {
14408 if (((word >> 17) & 0x1) == 0)
14409 {
14410 if (((word >> 18) & 0x1) == 0)
14411 {
582e12bf
RS
14412 /* 33222222222211111111110000000000
14413 10987654321098765432109876543210
7684e580 14414 011001x1xx000000100xxxxxxxxxxxxx
582e12bf 14415 fadd. */
82c70b08 14416 return 1392;
c0890d26
RS
14417 }
14418 else
14419 {
582e12bf
RS
14420 /* 33222222222211111111110000000000
14421 10987654321098765432109876543210
7684e580 14422 011001x1xx000100100xxxxxxxxxxxxx
582e12bf 14423 fmaxnm. */
82c70b08 14424 return 1439;
c0890d26
RS
14425 }
14426 }
14427 else
14428 {
14429 if (((word >> 18) & 0x1) == 0)
14430 {
582e12bf
RS
14431 /* 33222222222211111111110000000000
14432 10987654321098765432109876543210
7684e580 14433 011001x1xx000010100xxxxxxxxxxxxx
582e12bf 14434 fmul. */
82c70b08 14435 return 1459;
c0890d26
RS
14436 }
14437 else
14438 {
14439 /* 33222222222211111111110000000000
14440 10987654321098765432109876543210
7684e580 14441 011001x1xx000110100xxxxxxxxxxxxx
c0890d26 14442 fmax. */
82c70b08 14443 return 1437;
c0890d26
RS
14444 }
14445 }
14446 }
14447 else
14448 {
14449 if (((word >> 17) & 0x1) == 0)
14450 {
14451 if (((word >> 18) & 0x1) == 0)
14452 {
582e12bf
RS
14453 /* 33222222222211111111110000000000
14454 10987654321098765432109876543210
7684e580 14455 011001x1xx000001100xxxxxxxxxxxxx
582e12bf 14456 fsub. */
82c70b08 14457 return 1485;
c0890d26
RS
14458 }
14459 else
14460 {
582e12bf
RS
14461 /* 33222222222211111111110000000000
14462 10987654321098765432109876543210
7684e580 14463 011001x1xx000101100xxxxxxxxxxxxx
582e12bf 14464 fminnm. */
82c70b08 14465 return 1445;
c0890d26
RS
14466 }
14467 }
14468 else
14469 {
14470 if (((word >> 18) & 0x1) == 0)
14471 {
14472 /* 33222222222211111111110000000000
14473 10987654321098765432109876543210
7684e580 14474 011001x1xx000011100xxxxxxxxxxxxx
c0890d26 14475 fsubr. */
82c70b08 14476 return 1487;
c0890d26
RS
14477 }
14478 else
14479 {
14480 /* 33222222222211111111110000000000
14481 10987654321098765432109876543210
7684e580 14482 011001x1xx000111100xxxxxxxxxxxxx
c0890d26 14483 fmin. */
82c70b08 14484 return 1443;
c0890d26
RS
14485 }
14486 }
14487 }
14488 }
582e12bf
RS
14489 else
14490 {
14491 /* 33222222222211111111110000000000
14492 10987654321098765432109876543210
7684e580 14493 011001x1xx010xxx100xxxxxxxxxxxxx
582e12bf 14494 ftmad. */
82c70b08 14495 return 1489;
582e12bf 14496 }
c0890d26
RS
14497 }
14498 else
14499 {
582e12bf 14500 if (((word >> 16) & 0x1) == 0)
c0890d26 14501 {
582e12bf
RS
14502 if (((word >> 17) & 0x1) == 0)
14503 {
14504 if (((word >> 18) & 0x1) == 0)
14505 {
14506 if (((word >> 20) & 0x1) == 0)
14507 {
14508 /* 33222222222211111111110000000000
14509 10987654321098765432109876543210
7684e580 14510 011001x1xx001000100xxxxxxxxxxxxx
582e12bf 14511 fabd. */
82c70b08 14512 return 1387;
582e12bf
RS
14513 }
14514 else
14515 {
14516 /* 33222222222211111111110000000000
14517 10987654321098765432109876543210
7684e580 14518 011001x1xx011000100xxxxxxxxxxxxx
582e12bf 14519 fadd. */
82c70b08 14520 return 1393;
582e12bf
RS
14521 }
14522 }
14523 else
14524 {
14525 if (((word >> 20) & 0x1) == 0)
14526 {
14527 /* 33222222222211111111110000000000
14528 10987654321098765432109876543210
7684e580 14529 011001x1xx001100100xxxxxxxxxxxxx
582e12bf 14530 fdivr. */
82c70b08 14531 return 1433;
582e12bf
RS
14532 }
14533 else
14534 {
14535 /* 33222222222211111111110000000000
14536 10987654321098765432109876543210
7684e580 14537 011001x1xx011100100xxxxxxxxxxxxx
582e12bf 14538 fmaxnm. */
82c70b08 14539 return 1440;
582e12bf
RS
14540 }
14541 }
14542 }
14543 else
14544 {
14545 if (((word >> 18) & 0x1) == 0)
14546 {
14547 if (((word >> 20) & 0x1) == 0)
14548 {
14549 /* 33222222222211111111110000000000
14550 10987654321098765432109876543210
7684e580 14551 011001x1xx001010100xxxxxxxxxxxxx
582e12bf 14552 fmulx. */
82c70b08 14553 return 1464;
582e12bf
RS
14554 }
14555 else
14556 {
14557 /* 33222222222211111111110000000000
14558 10987654321098765432109876543210
7684e580 14559 011001x1xx011010100xxxxxxxxxxxxx
582e12bf 14560 fmul. */
82c70b08 14561 return 1460;
582e12bf
RS
14562 }
14563 }
14564 else
14565 {
14566 /* 33222222222211111111110000000000
14567 10987654321098765432109876543210
7684e580 14568 011001x1xx0x1110100xxxxxxxxxxxxx
582e12bf 14569 fmax. */
82c70b08 14570 return 1438;
582e12bf
RS
14571 }
14572 }
c0890d26
RS
14573 }
14574 else
14575 {
582e12bf
RS
14576 if (((word >> 17) & 0x1) == 0)
14577 {
14578 if (((word >> 18) & 0x1) == 0)
14579 {
14580 if (((word >> 20) & 0x1) == 0)
14581 {
14582 /* 33222222222211111111110000000000
14583 10987654321098765432109876543210
7684e580 14584 011001x1xx001001100xxxxxxxxxxxxx
582e12bf 14585 fscale. */
82c70b08 14586 return 1482;
582e12bf
RS
14587 }
14588 else
14589 {
14590 /* 33222222222211111111110000000000
14591 10987654321098765432109876543210
7684e580 14592 011001x1xx011001100xxxxxxxxxxxxx
582e12bf 14593 fsub. */
82c70b08 14594 return 1486;
582e12bf
RS
14595 }
14596 }
14597 else
14598 {
14599 if (((word >> 20) & 0x1) == 0)
14600 {
14601 /* 33222222222211111111110000000000
14602 10987654321098765432109876543210
7684e580 14603 011001x1xx001101100xxxxxxxxxxxxx
582e12bf 14604 fdiv. */
82c70b08 14605 return 1432;
582e12bf
RS
14606 }
14607 else
14608 {
14609 /* 33222222222211111111110000000000
14610 10987654321098765432109876543210
7684e580 14611 011001x1xx011101100xxxxxxxxxxxxx
582e12bf 14612 fminnm. */
82c70b08 14613 return 1446;
582e12bf
RS
14614 }
14615 }
14616 }
14617 else
14618 {
14619 if (((word >> 18) & 0x1) == 0)
14620 {
14621 /* 33222222222211111111110000000000
14622 10987654321098765432109876543210
7684e580 14623 011001x1xx0x1011100xxxxxxxxxxxxx
582e12bf 14624 fsubr. */
82c70b08 14625 return 1488;
582e12bf
RS
14626 }
14627 else
14628 {
14629 /* 33222222222211111111110000000000
14630 10987654321098765432109876543210
7684e580 14631 011001x1xx0x1111100xxxxxxxxxxxxx
582e12bf 14632 fmin. */
82c70b08 14633 return 1444;
582e12bf
RS
14634 }
14635 }
c0890d26
RS
14636 }
14637 }
14638 }
14639 else
14640 {
582e12bf
RS
14641 if (((word >> 4) & 0x1) == 0)
14642 {
14643 /* 33222222222211111111110000000000
14644 10987654321098765432109876543210
7684e580 14645 011001x1xx0xxxxx110xxxxxxxx0xxxx
582e12bf 14646 fcmuo. */
82c70b08 14647 return 1410;
582e12bf
RS
14648 }
14649 else
14650 {
14651 /* 33222222222211111111110000000000
14652 10987654321098765432109876543210
7684e580 14653 011001x1xx0xxxxx110xxxxxxxx1xxxx
582e12bf 14654 facge. */
82c70b08 14655 return 1389;
582e12bf 14656 }
c0890d26
RS
14657 }
14658 }
582e12bf 14659 else
c0890d26 14660 {
582e12bf 14661 if (((word >> 22) & 0x1) == 0)
c0890d26 14662 {
582e12bf 14663 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
14664 {
14665 /* 33222222222211111111110000000000
14666 10987654321098765432109876543210
7684e580 14667 111001x1000xxxxx1x0xxxxxxxxxxxxx
c0890d26 14668 st1w. */
82c70b08 14669 return 1908;
c0890d26
RS
14670 }
14671 else
14672 {
14673 /* 33222222222211111111110000000000
14674 10987654321098765432109876543210
7684e580 14675 111001x1100xxxxx1x0xxxxxxxxxxxxx
582e12bf 14676 st1d. */
82c70b08 14677 return 1887;
c0890d26
RS
14678 }
14679 }
14680 else
14681 {
582e12bf
RS
14682 /* 33222222222211111111110000000000
14683 10987654321098765432109876543210
7684e580 14684 111001x1x10xxxxx1x0xxxxxxxxxxxxx
582e12bf 14685 st1w. */
82c70b08 14686 return 1913;
582e12bf
RS
14687 }
14688 }
14689 }
14690 else
14691 {
14692 if (((word >> 14) & 0x1) == 0)
14693 {
14694 if (((word >> 31) & 0x1) == 0)
14695 {
14696 if (((word >> 16) & 0x1) == 0)
c0890d26 14697 {
42e6288f 14698 if (((word >> 19) & 0x1) == 0)
c0890d26 14699 {
42e6288f 14700 if (((word >> 17) & 0x1) == 0)
c0890d26 14701 {
42e6288f 14702 if (((word >> 18) & 0x1) == 0)
c0890d26 14703 {
582e12bf 14704 if (((word >> 20) & 0x1) == 0)
c0890d26 14705 {
582e12bf
RS
14706 /* 33222222222211111111110000000000
14707 10987654321098765432109876543210
7684e580 14708 011001x1xx000000101xxxxxxxxxxxxx
582e12bf 14709 frintn. */
82c70b08 14710 return 1476;
582e12bf
RS
14711 }
14712 else
14713 {
14714 /* 33222222222211111111110000000000
14715 10987654321098765432109876543210
7684e580 14716 011001x1xx010000101xxxxxxxxxxxxx
582e12bf 14717 scvtf. */
82c70b08 14718 return 1822;
582e12bf
RS
14719 }
14720 }
14721 else
c0890d26 14722 {
582e12bf 14723 if (((word >> 20) & 0x1) == 0)
c0890d26 14724 {
582e12bf
RS
14725 /* 33222222222211111111110000000000
14726 10987654321098765432109876543210
7684e580 14727 011001x1xx000100101xxxxxxxxxxxxx
582e12bf 14728 frinta. */
82c70b08 14729 return 1473;
582e12bf
RS
14730 }
14731 else
14732 {
14733 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
14734 {
14735 /* 33222222222211111111110000000000
14736 10987654321098765432109876543210
7684e580 14737 011001x1x0010100101xxxxxxxxxxxxx
582e12bf 14738 scvtf. */
82c70b08 14739 return 1821;
c0890d26
RS
14740 }
14741 else
14742 {
582e12bf 14743 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
14744 {
14745 /* 33222222222211111111110000000000
14746 10987654321098765432109876543210
7684e580 14747 011001x101010100101xxxxxxxxxxxxx
c0890d26 14748 scvtf. */
82c70b08 14749 return 1820;
c0890d26
RS
14750 }
14751 else
14752 {
14753 /* 33222222222211111111110000000000
14754 10987654321098765432109876543210
7684e580 14755 011001x111010100101xxxxxxxxxxxxx
c0890d26 14756 scvtf. */
82c70b08 14757 return 1824;
c0890d26
RS
14758 }
14759 }
14760 }
582e12bf 14761 }
42e6288f
MM
14762 }
14763 else
14764 {
14765 if (((word >> 18) & 0x1) == 0)
14766 {
14767 if (((word >> 20) & 0x1) == 0)
14768 {
14769 /* 33222222222211111111110000000000
14770 10987654321098765432109876543210
14771 011001x1xx000010101xxxxxxxxxxxxx
14772 frintm. */
82c70b08 14773 return 1475;
42e6288f
MM
14774 }
14775 else
14776 {
14777 /* 33222222222211111111110000000000
14778 10987654321098765432109876543210
14779 011001x1xx010010101xxxxxxxxxxxxx
14780 scvtf. */
82c70b08 14781 return 1819;
42e6288f
MM
14782 }
14783 }
582e12bf
RS
14784 else
14785 {
14786 if (((word >> 20) & 0x1) == 0)
14787 {
14788 /* 33222222222211111111110000000000
14789 10987654321098765432109876543210
42e6288f
MM
14790 011001x1xx000110101xxxxxxxxxxxxx
14791 frintx. */
82c70b08 14792 return 1478;
582e12bf 14793 }
c0890d26
RS
14794 else
14795 {
42e6288f 14796 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
14797 {
14798 /* 33222222222211111111110000000000
14799 10987654321098765432109876543210
42e6288f
MM
14800 011001x10x010110101xxxxxxxxxxxxx
14801 scvtf. */
82c70b08 14802 return 1823;
c0890d26
RS
14803 }
14804 else
14805 {
42e6288f
MM
14806 /* 33222222222211111111110000000000
14807 10987654321098765432109876543210
14808 011001x11x010110101xxxxxxxxxxxxx
14809 scvtf. */
82c70b08 14810 return 1825;
c0890d26
RS
14811 }
14812 }
14813 }
14814 }
582e12bf
RS
14815 }
14816 else
14817 {
42e6288f 14818 if (((word >> 20) & 0x1) == 0)
c0890d26 14819 {
42e6288f 14820 if (((word >> 17) & 0x1) == 0)
c0890d26 14821 {
42e6288f 14822 if (((word >> 18) & 0x1) == 0)
c0890d26 14823 {
42e6288f
MM
14824 if (((word >> 22) & 0x1) == 0)
14825 {
14826 /* 33222222222211111111110000000000
14827 10987654321098765432109876543210
14828 011001x1x0001000101xxxxxxxxxxxxx
14829 fcvt. */
82c70b08 14830 return 1412;
42e6288f
MM
14831 }
14832 else
14833 {
14834 /* 33222222222211111111110000000000
14835 10987654321098765432109876543210
14836 011001x1x1001000101xxxxxxxxxxxxx
14837 fcvt. */
82c70b08 14838 return 1414;
42e6288f 14839 }
c0890d26
RS
14840 }
14841 else
14842 {
14843 /* 33222222222211111111110000000000
14844 10987654321098765432109876543210
42e6288f
MM
14845 011001x1xx001100101xxxxxxxxxxxxx
14846 frecpx. */
82c70b08 14847 return 1472;
c0890d26
RS
14848 }
14849 }
14850 else
14851 {
42e6288f 14852 if (((word >> 22) & 0x1) == 0)
c0890d26 14853 {
df678013
MM
14854 if (((word >> 23) & 0x1) == 0)
14855 {
14856 /* 33222222222211111111110000000000
14857 10987654321098765432109876543210
14858 011001x100001x10101xxxxxxxxxxxxx
14859 fcvtx. */
82c70b08 14860 return 2082;
df678013
MM
14861 }
14862 else
14863 {
14864 /* 33222222222211111111110000000000
14865 10987654321098765432109876543210
14866 011001x110001x10101xxxxxxxxxxxxx
14867 bfcvt. */
01a4d082 14868 return 2487;
df678013 14869 }
582e12bf
RS
14870 }
14871 else
14872 {
14873 /* 33222222222211111111110000000000
14874 10987654321098765432109876543210
42e6288f
MM
14875 011001x1x1001x10101xxxxxxxxxxxxx
14876 fcvt. */
82c70b08 14877 return 1416;
582e12bf
RS
14878 }
14879 }
14880 }
14881 else
14882 {
42e6288f 14883 if (((word >> 22) & 0x1) == 0)
582e12bf 14884 {
42e6288f 14885 if (((word >> 23) & 0x1) == 0)
582e12bf
RS
14886 {
14887 /* 33222222222211111111110000000000
14888 10987654321098765432109876543210
42e6288f
MM
14889 011001x100011xx0101xxxxxxxxxxxxx
14890 flogb. */
82c70b08 14891 return 2084;
582e12bf
RS
14892 }
14893 else
14894 {
42e6288f
MM
14895 /* 33222222222211111111110000000000
14896 10987654321098765432109876543210
14897 011001x110011xx0101xxxxxxxxxxxxx
14898 fcvtzs. */
82c70b08 14899 return 1421;
42e6288f
MM
14900 }
14901 }
14902 else
14903 {
14904 if (((word >> 17) & 0x1) == 0)
14905 {
14906 if (((word >> 18) & 0x1) == 0)
c0890d26
RS
14907 {
14908 /* 33222222222211111111110000000000
14909 10987654321098765432109876543210
42e6288f
MM
14910 011001x1x1011000101xxxxxxxxxxxxx
14911 fcvtzs. */
82c70b08 14912 return 1422;
c0890d26
RS
14913 }
14914 else
14915 {
42e6288f
MM
14916 if (((word >> 23) & 0x1) == 0)
14917 {
14918 /* 33222222222211111111110000000000
14919 10987654321098765432109876543210
14920 011001x101011100101xxxxxxxxxxxxx
14921 fcvtzs. */
82c70b08 14922 return 1419;
42e6288f
MM
14923 }
14924 else
14925 {
14926 /* 33222222222211111111110000000000
14927 10987654321098765432109876543210
14928 011001x111011100101xxxxxxxxxxxxx
14929 fcvtzs. */
82c70b08 14930 return 1423;
42e6288f 14931 }
c0890d26
RS
14932 }
14933 }
14934 else
14935 {
42e6288f
MM
14936 if (((word >> 18) & 0x1) == 0)
14937 {
14938 /* 33222222222211111111110000000000
14939 10987654321098765432109876543210
14940 011001x1x1011010101xxxxxxxxxxxxx
14941 fcvtzs. */
82c70b08 14942 return 1418;
42e6288f
MM
14943 }
14944 else
14945 {
14946 if (((word >> 23) & 0x1) == 0)
14947 {
14948 /* 33222222222211111111110000000000
14949 10987654321098765432109876543210
14950 011001x101011110101xxxxxxxxxxxxx
14951 fcvtzs. */
82c70b08 14952 return 1420;
42e6288f
MM
14953 }
14954 else
14955 {
14956 /* 33222222222211111111110000000000
14957 10987654321098765432109876543210
14958 011001x111011110101xxxxxxxxxxxxx
14959 fcvtzs. */
82c70b08 14960 return 1424;
42e6288f
MM
14961 }
14962 }
c0890d26
RS
14963 }
14964 }
14965 }
14966 }
582e12bf
RS
14967 }
14968 else
14969 {
14970 if (((word >> 17) & 0x1) == 0)
c0890d26 14971 {
582e12bf 14972 if (((word >> 18) & 0x1) == 0)
c0890d26 14973 {
582e12bf 14974 if (((word >> 19) & 0x1) == 0)
c0890d26 14975 {
582e12bf 14976 if (((word >> 20) & 0x1) == 0)
c0890d26 14977 {
582e12bf
RS
14978 /* 33222222222211111111110000000000
14979 10987654321098765432109876543210
7684e580 14980 011001x1xx000001101xxxxxxxxxxxxx
582e12bf 14981 frintp. */
82c70b08 14982 return 1477;
582e12bf
RS
14983 }
14984 else
14985 {
14986 /* 33222222222211111111110000000000
14987 10987654321098765432109876543210
7684e580 14988 011001x1xx010001101xxxxxxxxxxxxx
582e12bf 14989 ucvtf. */
82c70b08 14990 return 1974;
582e12bf
RS
14991 }
14992 }
14993 else
14994 {
14995 if (((word >> 20) & 0x1) == 0)
14996 {
14997 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
14998 {
14999 /* 33222222222211111111110000000000
15000 10987654321098765432109876543210
7684e580 15001 011001x1x0001001101xxxxxxxxxxxxx
582e12bf 15002 fcvt. */
82c70b08 15003 return 1413;
c0890d26
RS
15004 }
15005 else
15006 {
15007 /* 33222222222211111111110000000000
15008 10987654321098765432109876543210
7684e580 15009 011001x1x1001001101xxxxxxxxxxxxx
582e12bf 15010 fcvt. */
82c70b08 15011 return 1415;
c0890d26
RS
15012 }
15013 }
15014 else
15015 {
582e12bf
RS
15016 /* 33222222222211111111110000000000
15017 10987654321098765432109876543210
7684e580 15018 011001x1xx011001101xxxxxxxxxxxxx
582e12bf 15019 fcvtzu. */
82c70b08 15020 return 1429;
c0890d26
RS
15021 }
15022 }
582e12bf
RS
15023 }
15024 else
15025 {
15026 if (((word >> 19) & 0x1) == 0)
c0890d26 15027 {
582e12bf 15028 if (((word >> 22) & 0x1) == 0)
c0890d26 15029 {
582e12bf
RS
15030 /* 33222222222211111111110000000000
15031 10987654321098765432109876543210
7684e580 15032 011001x1x00x0101101xxxxxxxxxxxxx
582e12bf 15033 ucvtf. */
82c70b08 15034 return 1973;
582e12bf
RS
15035 }
15036 else
15037 {
15038 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
15039 {
15040 /* 33222222222211111111110000000000
15041 10987654321098765432109876543210
7684e580 15042 011001x1010x0101101xxxxxxxxxxxxx
c0890d26 15043 ucvtf. */
82c70b08 15044 return 1972;
c0890d26
RS
15045 }
15046 else
15047 {
15048 /* 33222222222211111111110000000000
15049 10987654321098765432109876543210
7684e580 15050 011001x1110x0101101xxxxxxxxxxxxx
c0890d26 15051 ucvtf. */
82c70b08 15052 return 1976;
c0890d26
RS
15053 }
15054 }
582e12bf
RS
15055 }
15056 else
15057 {
15058 if (((word >> 20) & 0x1) == 0)
15059 {
15060 /* 33222222222211111111110000000000
15061 10987654321098765432109876543210
7684e580 15062 011001x1xx001101101xxxxxxxxxxxxx
582e12bf 15063 fsqrt. */
82c70b08 15064 return 1483;
582e12bf 15065 }
c0890d26
RS
15066 else
15067 {
582e12bf 15068 if (((word >> 22) & 0x1) == 0)
c0890d26
RS
15069 {
15070 /* 33222222222211111111110000000000
15071 10987654321098765432109876543210
7684e580 15072 011001x1x0011101101xxxxxxxxxxxxx
582e12bf 15073 fcvtzu. */
82c70b08 15074 return 1428;
c0890d26
RS
15075 }
15076 else
15077 {
582e12bf 15078 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
15079 {
15080 /* 33222222222211111111110000000000
15081 10987654321098765432109876543210
7684e580 15082 011001x101011101101xxxxxxxxxxxxx
c0890d26 15083 fcvtzu. */
82c70b08 15084 return 1426;
c0890d26
RS
15085 }
15086 else
15087 {
15088 /* 33222222222211111111110000000000
15089 10987654321098765432109876543210
7684e580 15090 011001x111011101101xxxxxxxxxxxxx
c0890d26 15091 fcvtzu. */
82c70b08 15092 return 1430;
c0890d26
RS
15093 }
15094 }
15095 }
15096 }
15097 }
582e12bf
RS
15098 }
15099 else
15100 {
15101 if (((word >> 18) & 0x1) == 0)
c0890d26 15102 {
582e12bf 15103 if (((word >> 19) & 0x1) == 0)
c0890d26 15104 {
582e12bf 15105 if (((word >> 20) & 0x1) == 0)
c0890d26
RS
15106 {
15107 /* 33222222222211111111110000000000
15108 10987654321098765432109876543210
7684e580 15109 011001x1xx000011101xxxxxxxxxxxxx
c0890d26 15110 frintz. */
82c70b08 15111 return 1479;
c0890d26
RS
15112 }
15113 else
15114 {
15115 /* 33222222222211111111110000000000
15116 10987654321098765432109876543210
7684e580 15117 011001x1xx010011101xxxxxxxxxxxxx
582e12bf 15118 ucvtf. */
82c70b08 15119 return 1971;
c0890d26
RS
15120 }
15121 }
15122 else
15123 {
582e12bf 15124 if (((word >> 20) & 0x1) == 0)
c0890d26 15125 {
582e12bf
RS
15126 /* 33222222222211111111110000000000
15127 10987654321098765432109876543210
7684e580 15128 011001x1xx001011101xxxxxxxxxxxxx
582e12bf 15129 fcvt. */
82c70b08 15130 return 1417;
582e12bf
RS
15131 }
15132 else
15133 {
15134 /* 33222222222211111111110000000000
15135 10987654321098765432109876543210
7684e580 15136 011001x1xx011011101xxxxxxxxxxxxx
582e12bf 15137 fcvtzu. */
82c70b08 15138 return 1425;
582e12bf
RS
15139 }
15140 }
15141 }
15142 else
15143 {
15144 if (((word >> 19) & 0x1) == 0)
15145 {
15146 if (((word >> 20) & 0x1) == 0)
15147 {
15148 /* 33222222222211111111110000000000
15149 10987654321098765432109876543210
7684e580 15150 011001x1xx000111101xxxxxxxxxxxxx
582e12bf 15151 frinti. */
82c70b08 15152 return 1474;
582e12bf
RS
15153 }
15154 else
15155 {
15156 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
15157 {
15158 /* 33222222222211111111110000000000
15159 10987654321098765432109876543210
7684e580 15160 011001x10x010111101xxxxxxxxxxxxx
582e12bf 15161 ucvtf. */
82c70b08 15162 return 1975;
c0890d26
RS
15163 }
15164 else
15165 {
15166 /* 33222222222211111111110000000000
15167 10987654321098765432109876543210
7684e580 15168 011001x11x010111101xxxxxxxxxxxxx
c0890d26 15169 ucvtf. */
82c70b08 15170 return 1977;
c0890d26
RS
15171 }
15172 }
582e12bf
RS
15173 }
15174 else
15175 {
15176 if (((word >> 23) & 0x1) == 0)
15177 {
15178 /* 33222222222211111111110000000000
15179 10987654321098765432109876543210
7684e580 15180 011001x10x0x1111101xxxxxxxxxxxxx
582e12bf 15181 fcvtzu. */
82c70b08 15182 return 1427;
582e12bf 15183 }
c0890d26
RS
15184 else
15185 {
15186 /* 33222222222211111111110000000000
15187 10987654321098765432109876543210
7684e580 15188 011001x11x0x1111101xxxxxxxxxxxxx
c0890d26 15189 fcvtzu. */
82c70b08 15190 return 1431;
c0890d26
RS
15191 }
15192 }
15193 }
15194 }
15195 }
582e12bf
RS
15196 }
15197 else
15198 {
15199 if (((word >> 22) & 0x1) == 0)
c0890d26 15200 {
582e12bf 15201 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
15202 {
15203 /* 33222222222211111111110000000000
15204 10987654321098765432109876543210
7684e580 15205 111001x1000xxxxx101xxxxxxxxxxxxx
582e12bf 15206 st1w. */
82c70b08 15207 return 1909;
c0890d26
RS
15208 }
15209 else
15210 {
15211 /* 33222222222211111111110000000000
15212 10987654321098765432109876543210
7684e580 15213 111001x1100xxxxx101xxxxxxxxxxxxx
c0890d26 15214 st1d. */
82c70b08 15215 return 1888;
c0890d26
RS
15216 }
15217 }
c0890d26
RS
15218 else
15219 {
582e12bf 15220 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
15221 {
15222 /* 33222222222211111111110000000000
15223 10987654321098765432109876543210
7684e580 15224 111001x1010xxxxx101xxxxxxxxxxxxx
582e12bf 15225 st1w. */
82c70b08 15226 return 1916;
c0890d26
RS
15227 }
15228 else
15229 {
15230 /* 33222222222211111111110000000000
15231 10987654321098765432109876543210
7684e580 15232 111001x1110xxxxx101xxxxxxxxxxxxx
582e12bf 15233 st1d. */
82c70b08 15234 return 1892;
c0890d26
RS
15235 }
15236 }
15237 }
582e12bf
RS
15238 }
15239 else
15240 {
15241 if (((word >> 31) & 0x1) == 0)
15242 {
15243 /* 33222222222211111111110000000000
15244 10987654321098765432109876543210
7684e580 15245 011001x1xx0xxxxx111xxxxxxxxxxxxx
582e12bf 15246 facgt. */
82c70b08 15247 return 1390;
582e12bf 15248 }
c0890d26
RS
15249 else
15250 {
582e12bf 15251 if (((word >> 20) & 0x1) == 0)
c0890d26
RS
15252 {
15253 /* 33222222222211111111110000000000
15254 10987654321098765432109876543210
7684e580 15255 111001x1xx00xxxx111xxxxxxxxxxxxx
582e12bf 15256 st1w. */
82c70b08 15257 return 1917;
c0890d26
RS
15258 }
15259 else
15260 {
15261 if (((word >> 22) & 0x1) == 0)
15262 {
582e12bf
RS
15263 if (((word >> 23) & 0x1) == 0)
15264 {
15265 /* 33222222222211111111110000000000
15266 10987654321098765432109876543210
7684e580 15267 111001x10001xxxx111xxxxxxxxxxxxx
582e12bf 15268 stnt1w. */
82c70b08 15269 return 1951;
582e12bf
RS
15270 }
15271 else
15272 {
15273 /* 33222222222211111111110000000000
15274 10987654321098765432109876543210
7684e580 15275 111001x11001xxxx111xxxxxxxxxxxxx
582e12bf 15276 stnt1d. */
82c70b08 15277 return 1947;
582e12bf 15278 }
c0890d26
RS
15279 }
15280 else
15281 {
582e12bf
RS
15282 if (((word >> 23) & 0x1) == 0)
15283 {
15284 /* 33222222222211111111110000000000
15285 10987654321098765432109876543210
7684e580 15286 111001x10101xxxx111xxxxxxxxxxxxx
582e12bf 15287 st3w. */
82c70b08 15288 return 1935;
582e12bf
RS
15289 }
15290 else
15291 {
15292 /* 33222222222211111111110000000000
15293 10987654321098765432109876543210
7684e580 15294 111001x11101xxxx111xxxxxxxxxxxxx
582e12bf 15295 st3d. */
82c70b08 15296 return 1931;
582e12bf 15297 }
c0890d26
RS
15298 }
15299 }
15300 }
15301 }
15302 }
15303 }
15304 }
15305 else
15306 {
15307 if (((word >> 30) & 0x1) == 0)
15308 {
15309 if (((word >> 14) & 0x1) == 0)
15310 {
15311 if (((word >> 20) & 0x1) == 0)
15312 {
15313 if (((word >> 31) & 0x1) == 0)
15314 {
15315 if (((word >> 16) & 0x1) == 0)
15316 {
15317 if (((word >> 17) & 0x1) == 0)
15318 {
15319 if (((word >> 18) & 0x1) == 0)
15320 {
15321 if (((word >> 19) & 0x1) == 0)
15322 {
15323 /* 33222222222211111111110000000000
15324 10987654321098765432109876543210
7684e580 15325 001001x1xx10000010xxxxxxxxxxxxxx
c0890d26 15326 cntp. */
82c70b08 15327 return 1359;
c0890d26
RS
15328 }
15329 else
15330 {
15331 if (((word >> 10) & 0x1) == 0)
15332 {
15333 if (((word >> 11) & 0x1) == 0)
15334 {
15335 if (((word >> 12) & 0x1) == 0)
15336 {
15337 /* 33222222222211111111110000000000
15338 10987654321098765432109876543210
7684e580 15339 001001x1xx10100010x000xxxxxxxxxx
c0890d26 15340 sqincp. */
82c70b08 15341 return 1866;
c0890d26
RS
15342 }
15343 else
15344 {
15345 /* 33222222222211111111110000000000
15346 10987654321098765432109876543210
7684e580 15347 001001x1xx10100010x100xxxxxxxxxx
c0890d26 15348 wrffr. */
82c70b08 15349 return 2039;
c0890d26
RS
15350 }
15351 }
15352 else
15353 {
15354 /* 33222222222211111111110000000000
15355 10987654321098765432109876543210
7684e580 15356 001001x1xx10100010xx10xxxxxxxxxx
c0890d26 15357 sqincp. */
82c70b08 15358 return 1868;
c0890d26
RS
15359 }
15360 }
15361 else
15362 {
15363 /* 33222222222211111111110000000000
15364 10987654321098765432109876543210
7684e580 15365 001001x1xx10100010xxx1xxxxxxxxxx
c0890d26 15366 sqincp. */
82c70b08 15367 return 1867;
c0890d26
RS
15368 }
15369 }
15370 }
15371 else
15372 {
15373 if (((word >> 11) & 0x1) == 0)
15374 {
15375 if (((word >> 12) & 0x1) == 0)
15376 {
15377 /* 33222222222211111111110000000000
15378 10987654321098765432109876543210
7684e580 15379 001001x1xx10x10010x00xxxxxxxxxxx
c0890d26 15380 incp. */
82c70b08 15381 return 1497;
c0890d26
RS
15382 }
15383 else
15384 {
15385 /* 33222222222211111111110000000000
15386 10987654321098765432109876543210
7684e580 15387 001001x1xx10x10010x10xxxxxxxxxxx
c0890d26 15388 setffr. */
82c70b08 15389 return 1833;
c0890d26
RS
15390 }
15391 }
15392 else
15393 {
15394 /* 33222222222211111111110000000000
15395 10987654321098765432109876543210
7684e580 15396 001001x1xx10x10010xx1xxxxxxxxxxx
c0890d26 15397 incp. */
82c70b08 15398 return 1498;
c0890d26
RS
15399 }
15400 }
15401 }
15402 else
15403 {
15404 if (((word >> 10) & 0x1) == 0)
15405 {
15406 if (((word >> 11) & 0x1) == 0)
15407 {
15408 /* 33222222222211111111110000000000
15409 10987654321098765432109876543210
7684e580 15410 001001x1xx10xx1010xx00xxxxxxxxxx
c0890d26 15411 sqdecp. */
82c70b08 15412 return 1852;
c0890d26
RS
15413 }
15414 else
15415 {
15416 /* 33222222222211111111110000000000
15417 10987654321098765432109876543210
7684e580 15418 001001x1xx10xx1010xx10xxxxxxxxxx
c0890d26 15419 sqdecp. */
82c70b08 15420 return 1854;
c0890d26
RS
15421 }
15422 }
15423 else
15424 {
15425 /* 33222222222211111111110000000000
15426 10987654321098765432109876543210
7684e580 15427 001001x1xx10xx1010xxx1xxxxxxxxxx
c0890d26 15428 sqdecp. */
82c70b08 15429 return 1853;
c0890d26
RS
15430 }
15431 }
15432 }
15433 else
15434 {
15435 if (((word >> 10) & 0x1) == 0)
15436 {
15437 if (((word >> 11) & 0x1) == 0)
15438 {
15439 if (((word >> 17) & 0x1) == 0)
15440 {
15441 if (((word >> 18) & 0x1) == 0)
15442 {
15443 /* 33222222222211111111110000000000
15444 10987654321098765432109876543210
7684e580 15445 001001x1xx10x00110xx00xxxxxxxxxx
c0890d26 15446 uqincp. */
82c70b08 15447 return 2014;
c0890d26
RS
15448 }
15449 else
15450 {
15451 /* 33222222222211111111110000000000
15452 10987654321098765432109876543210
7684e580 15453 001001x1xx10x10110xx00xxxxxxxxxx
c0890d26 15454 decp. */
82c70b08 15455 return 1372;
c0890d26
RS
15456 }
15457 }
15458 else
15459 {
15460 /* 33222222222211111111110000000000
15461 10987654321098765432109876543210
7684e580 15462 001001x1xx10xx1110xx00xxxxxxxxxx
c0890d26 15463 uqdecp. */
82c70b08 15464 return 2000;
c0890d26
RS
15465 }
15466 }
15467 else
15468 {
15469 if (((word >> 17) & 0x1) == 0)
15470 {
15471 if (((word >> 18) & 0x1) == 0)
15472 {
15473 /* 33222222222211111111110000000000
15474 10987654321098765432109876543210
7684e580 15475 001001x1xx10x00110xx10xxxxxxxxxx
c0890d26 15476 uqincp. */
82c70b08 15477 return 2015;
c0890d26
RS
15478 }
15479 else
15480 {
15481 /* 33222222222211111111110000000000
15482 10987654321098765432109876543210
7684e580 15483 001001x1xx10x10110xx10xxxxxxxxxx
c0890d26 15484 decp. */
82c70b08 15485 return 1373;
c0890d26
RS
15486 }
15487 }
15488 else
15489 {
15490 /* 33222222222211111111110000000000
15491 10987654321098765432109876543210
7684e580 15492 001001x1xx10xx1110xx10xxxxxxxxxx
c0890d26 15493 uqdecp. */
82c70b08 15494 return 2001;
c0890d26
RS
15495 }
15496 }
15497 }
15498 else
15499 {
15500 if (((word >> 17) & 0x1) == 0)
15501 {
15502 /* 33222222222211111111110000000000
15503 10987654321098765432109876543210
7684e580 15504 001001x1xx10xx0110xxx1xxxxxxxxxx
c0890d26 15505 uqincp. */
82c70b08 15506 return 2016;
c0890d26
RS
15507 }
15508 else
15509 {
15510 /* 33222222222211111111110000000000
15511 10987654321098765432109876543210
7684e580 15512 001001x1xx10xx1110xxx1xxxxxxxxxx
c0890d26 15513 uqdecp. */
82c70b08 15514 return 2002;
c0890d26
RS
15515 }
15516 }
15517 }
15518 }
15519 else
15520 {
15521 if (((word >> 22) & 0x1) == 0)
15522 {
15523 if (((word >> 23) & 0x1) == 0)
15524 {
15525 /* 33222222222211111111110000000000
15526 10987654321098765432109876543210
7684e580 15527 101001x10010xxxx10xxxxxxxxxxxxxx
c0890d26 15528 ld1sh. */
82c70b08 15529 return 1590;
c0890d26
RS
15530 }
15531 else
15532 {
15533 /* 33222222222211111111110000000000
15534 10987654321098765432109876543210
7684e580 15535 101001x11010xxxx10xxxxxxxxxxxxxx
c0890d26 15536 ld1sb. */
82c70b08 15537 return 1577;
c0890d26
RS
15538 }
15539 }
15540 else
15541 {
15542 if (((word >> 23) & 0x1) == 0)
15543 {
15544 /* 33222222222211111111110000000000
15545 10987654321098765432109876543210
7684e580 15546 101001x10110xxxx10xxxxxxxxxxxxxx
c0890d26 15547 ld1w. */
82c70b08 15548 return 1609;
c0890d26
RS
15549 }
15550 else
15551 {
15552 /* 33222222222211111111110000000000
15553 10987654321098765432109876543210
7684e580 15554 101001x11110xxxx10xxxxxxxxxxxxxx
c0890d26 15555 ld1d. */
82c70b08 15556 return 1529;
c0890d26
RS
15557 }
15558 }
15559 }
15560 }
15561 else
15562 {
15563 if (((word >> 22) & 0x1) == 0)
15564 {
15565 if (((word >> 23) & 0x1) == 0)
15566 {
15567 /* 33222222222211111111110000000000
15568 10987654321098765432109876543210
7684e580 15569 x01001x10011xxxx10xxxxxxxxxxxxxx
c0890d26 15570 ldnf1sh. */
82c70b08 15571 return 1723;
c0890d26
RS
15572 }
15573 else
15574 {
15575 /* 33222222222211111111110000000000
15576 10987654321098765432109876543210
7684e580 15577 x01001x11011xxxx10xxxxxxxxxxxxxx
c0890d26 15578 ldnf1sb. */
82c70b08 15579 return 1720;
c0890d26
RS
15580 }
15581 }
15582 else
15583 {
15584 if (((word >> 23) & 0x1) == 0)
15585 {
15586 /* 33222222222211111111110000000000
15587 10987654321098765432109876543210
7684e580 15588 x01001x10111xxxx10xxxxxxxxxxxxxx
c0890d26 15589 ldnf1w. */
82c70b08 15590 return 1726;
c0890d26
RS
15591 }
15592 else
15593 {
15594 /* 33222222222211111111110000000000
15595 10987654321098765432109876543210
7684e580 15596 x01001x11111xxxx10xxxxxxxxxxxxxx
c0890d26 15597 ldnf1d. */
82c70b08 15598 return 1715;
c0890d26
RS
15599 }
15600 }
15601 }
15602 }
15603 else
15604 {
15605 if (((word >> 31) & 0x1) == 0)
15606 {
15607 if (((word >> 16) & 0x1) == 0)
15608 {
15609 if (((word >> 17) & 0x1) == 0)
15610 {
15611 if (((word >> 18) & 0x1) == 0)
15612 {
15613 if (((word >> 19) & 0x1) == 0)
15614 {
15615 if (((word >> 20) & 0x1) == 0)
15616 {
15617 /* 33222222222211111111110000000000
15618 10987654321098765432109876543210
7684e580 15619 001001x1xx10000011xxxxxxxxxxxxxx
c0890d26 15620 add. */
82c70b08 15621 return 1286;
c0890d26
RS
15622 }
15623 else
15624 {
15625 /* 33222222222211111111110000000000
15626 10987654321098765432109876543210
7684e580 15627 001001x1xx11000011xxxxxxxxxxxxxx
c0890d26 15628 mul. */
82c70b08 15629 return 1755;
c0890d26
RS
15630 }
15631 }
15632 else
15633 {
15634 if (((word >> 20) & 0x1) == 0)
15635 {
15636 /* 33222222222211111111110000000000
15637 10987654321098765432109876543210
7684e580 15638 001001x1xx10100011xxxxxxxxxxxxxx
c0890d26 15639 smax. */
82c70b08 15640 return 1834;
c0890d26
RS
15641 }
15642 else
15643 {
15644 /* 33222222222211111111110000000000
15645 10987654321098765432109876543210
7684e580 15646 001001x1xx11100011xxxxxxxxxxxxxx
c0890d26 15647 dup. */
82c70b08 15648 return 1378;
c0890d26
RS
15649 }
15650 }
15651 }
15652 else
15653 {
15654 /* 33222222222211111111110000000000
15655 10987654321098765432109876543210
7684e580 15656 001001x1xx1xx10011xxxxxxxxxxxxxx
c0890d26 15657 sqadd. */
82c70b08 15658 return 1843;
c0890d26
RS
15659 }
15660 }
15661 else
15662 {
15663 if (((word >> 18) & 0x1) == 0)
15664 {
15665 /* 33222222222211111111110000000000
15666 10987654321098765432109876543210
7684e580 15667 001001x1xx1xx01011xxxxxxxxxxxxxx
c0890d26 15668 smin. */
82c70b08 15669 return 1837;
c0890d26
RS
15670 }
15671 else
15672 {
15673 /* 33222222222211111111110000000000
15674 10987654321098765432109876543210
7684e580 15675 001001x1xx1xx11011xxxxxxxxxxxxxx
c0890d26 15676 sqsub. */
82c70b08 15677 return 1873;
c0890d26
RS
15678 }
15679 }
15680 }
15681 else
15682 {
15683 if (((word >> 17) & 0x1) == 0)
15684 {
15685 if (((word >> 18) & 0x1) == 0)
15686 {
15687 if (((word >> 19) & 0x1) == 0)
15688 {
15689 /* 33222222222211111111110000000000
15690 10987654321098765432109876543210
7684e580 15691 001001x1xx1x000111xxxxxxxxxxxxxx
c0890d26 15692 sub. */
82c70b08 15693 return 1955;
c0890d26
RS
15694 }
15695 else
15696 {
15697 if (((word >> 20) & 0x1) == 0)
15698 {
15699 /* 33222222222211111111110000000000
15700 10987654321098765432109876543210
7684e580 15701 001001x1xx10100111xxxxxxxxxxxxxx
c0890d26 15702 umax. */
82c70b08 15703 return 1983;
c0890d26
RS
15704 }
15705 else
15706 {
15707 /* 33222222222211111111110000000000
15708 10987654321098765432109876543210
7684e580 15709 001001x1xx11100111xxxxxxxxxxxxxx
c0890d26 15710 fdup. */
82c70b08 15711 return 1434;
c0890d26
RS
15712 }
15713 }
15714 }
15715 else
15716 {
15717 /* 33222222222211111111110000000000
15718 10987654321098765432109876543210
7684e580 15719 001001x1xx1xx10111xxxxxxxxxxxxxx
c0890d26 15720 uqadd. */
82c70b08 15721 return 1991;
c0890d26
RS
15722 }
15723 }
15724 else
15725 {
15726 if (((word >> 18) & 0x1) == 0)
15727 {
15728 if (((word >> 19) & 0x1) == 0)
15729 {
15730 /* 33222222222211111111110000000000
15731 10987654321098765432109876543210
7684e580 15732 001001x1xx1x001111xxxxxxxxxxxxxx
c0890d26 15733 subr. */
82c70b08 15734 return 1957;
c0890d26
RS
15735 }
15736 else
15737 {
15738 /* 33222222222211111111110000000000
15739 10987654321098765432109876543210
7684e580 15740 001001x1xx1x101111xxxxxxxxxxxxxx
c0890d26 15741 umin. */
82c70b08 15742 return 1986;
c0890d26
RS
15743 }
15744 }
15745 else
15746 {
15747 /* 33222222222211111111110000000000
15748 10987654321098765432109876543210
7684e580 15749 001001x1xx1xx11111xxxxxxxxxxxxxx
c0890d26 15750 uqsub. */
82c70b08 15751 return 2021;
c0890d26
RS
15752 }
15753 }
15754 }
15755 }
15756 else
15757 {
15758 if (((word >> 13) & 0x1) == 0)
15759 {
15760 if (((word >> 22) & 0x1) == 0)
15761 {
15762 if (((word >> 23) & 0x1) == 0)
15763 {
15764 /* 33222222222211111111110000000000
15765 10987654321098765432109876543210
7684e580 15766 101001x1001xxxxx110xxxxxxxxxxxxx
c0890d26 15767 ld2w. */
82c70b08 15768 return 1617;
c0890d26
RS
15769 }
15770 else
15771 {
15772 /* 33222222222211111111110000000000
15773 10987654321098765432109876543210
7684e580 15774 101001x1101xxxxx110xxxxxxxxxxxxx
c0890d26 15775 ld2d. */
82c70b08 15776 return 1613;
c0890d26
RS
15777 }
15778 }
15779 else
15780 {
15781 if (((word >> 23) & 0x1) == 0)
15782 {
15783 /* 33222222222211111111110000000000
15784 10987654321098765432109876543210
7684e580 15785 101001x1011xxxxx110xxxxxxxxxxxxx
c0890d26 15786 ld4w. */
82c70b08 15787 return 1633;
c0890d26
RS
15788 }
15789 else
15790 {
15791 /* 33222222222211111111110000000000
15792 10987654321098765432109876543210
7684e580 15793 101001x1111xxxxx110xxxxxxxxxxxxx
c0890d26 15794 ld4d. */
82c70b08 15795 return 1629;
c0890d26
RS
15796 }
15797 }
15798 }
15799 else
15800 {
15801 if (((word >> 22) & 0x1) == 0)
15802 {
15803 if (((word >> 23) & 0x1) == 0)
15804 {
15805 /* 33222222222211111111110000000000
15806 10987654321098765432109876543210
7684e580 15807 101001x1001xxxxx111xxxxxxxxxxxxx
c0890d26 15808 ld2w. */
82c70b08 15809 return 1618;
c0890d26
RS
15810 }
15811 else
15812 {
15813 /* 33222222222211111111110000000000
15814 10987654321098765432109876543210
7684e580 15815 101001x1101xxxxx111xxxxxxxxxxxxx
c0890d26 15816 ld2d. */
82c70b08 15817 return 1614;
c0890d26
RS
15818 }
15819 }
15820 else
15821 {
15822 if (((word >> 23) & 0x1) == 0)
15823 {
15824 /* 33222222222211111111110000000000
15825 10987654321098765432109876543210
7684e580 15826 101001x1011xxxxx111xxxxxxxxxxxxx
c0890d26 15827 ld4w. */
82c70b08 15828 return 1634;
c0890d26
RS
15829 }
15830 else
15831 {
15832 /* 33222222222211111111110000000000
15833 10987654321098765432109876543210
7684e580 15834 101001x1111xxxxx111xxxxxxxxxxxxx
c0890d26 15835 ld4d. */
82c70b08 15836 return 1630;
c0890d26
RS
15837 }
15838 }
15839 }
15840 }
15841 }
15842 }
15843 else
15844 {
15845 if (((word >> 13) & 0x1) == 0)
15846 {
582e12bf 15847 if (((word >> 31) & 0x1) == 0)
c0890d26 15848 {
582e12bf 15849 if (((word >> 14) & 0x1) == 0)
c0890d26
RS
15850 {
15851 /* 33222222222211111111110000000000
15852 10987654321098765432109876543210
7684e580 15853 011001x1xx1xxxxx100xxxxxxxxxxxxx
582e12bf 15854 fmad. */
82c70b08 15855 return 1436;
c0890d26
RS
15856 }
15857 else
15858 {
15859 /* 33222222222211111111110000000000
15860 10987654321098765432109876543210
7684e580 15861 011001x1xx1xxxxx110xxxxxxxxxxxxx
582e12bf 15862 fnmad. */
82c70b08 15863 return 1466;
c0890d26
RS
15864 }
15865 }
15866 else
15867 {
582e12bf 15868 if (((word >> 22) & 0x1) == 0)
c0890d26 15869 {
582e12bf 15870 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
15871 {
15872 /* 33222222222211111111110000000000
15873 10987654321098765432109876543210
7684e580 15874 111001x1001xxxxx1x0xxxxxxxxxxxxx
582e12bf 15875 st1w. */
82c70b08 15876 return 1910;
c0890d26
RS
15877 }
15878 else
15879 {
15880 /* 33222222222211111111110000000000
15881 10987654321098765432109876543210
7684e580 15882 111001x1101xxxxx1x0xxxxxxxxxxxxx
582e12bf 15883 st1d. */
82c70b08 15884 return 1889;
c0890d26
RS
15885 }
15886 }
15887 else
15888 {
15889 /* 33222222222211111111110000000000
15890 10987654321098765432109876543210
7684e580 15891 111001x1x11xxxxx1x0xxxxxxxxxxxxx
582e12bf 15892 st1w. */
82c70b08 15893 return 1915;
c0890d26
RS
15894 }
15895 }
15896 }
15897 else
15898 {
15899 if (((word >> 14) & 0x1) == 0)
15900 {
582e12bf 15901 if (((word >> 31) & 0x1) == 0)
c0890d26 15902 {
582e12bf
RS
15903 /* 33222222222211111111110000000000
15904 10987654321098765432109876543210
7684e580 15905 011001x1xx1xxxxx101xxxxxxxxxxxxx
582e12bf 15906 fmsb. */
82c70b08 15907 return 1457;
c0890d26
RS
15908 }
15909 else
15910 {
582e12bf 15911 if (((word >> 22) & 0x1) == 0)
c0890d26 15912 {
582e12bf
RS
15913 if (((word >> 23) & 0x1) == 0)
15914 {
15915 /* 33222222222211111111110000000000
15916 10987654321098765432109876543210
7684e580 15917 111001x1001xxxxx101xxxxxxxxxxxxx
582e12bf 15918 st1w. */
82c70b08 15919 return 1911;
582e12bf
RS
15920 }
15921 else
15922 {
15923 /* 33222222222211111111110000000000
15924 10987654321098765432109876543210
7684e580 15925 111001x1101xxxxx101xxxxxxxxxxxxx
582e12bf 15926 st1d. */
82c70b08 15927 return 1890;
582e12bf 15928 }
c0890d26
RS
15929 }
15930 else
15931 {
15932 /* 33222222222211111111110000000000
15933 10987654321098765432109876543210
7684e580 15934 111001x1x11xxxxx101xxxxxxxxxxxxx
582e12bf 15935 st1w. */
82c70b08 15936 return 1918;
c0890d26
RS
15937 }
15938 }
15939 }
15940 else
15941 {
582e12bf
RS
15942 if (((word >> 31) & 0x1) == 0)
15943 {
15944 /* 33222222222211111111110000000000
15945 10987654321098765432109876543210
7684e580 15946 011001x1xx1xxxxx111xxxxxxxxxxxxx
582e12bf 15947 fnmsb. */
82c70b08 15948 return 1469;
582e12bf
RS
15949 }
15950 else
c0890d26
RS
15951 {
15952 if (((word >> 20) & 0x1) == 0)
15953 {
582e12bf 15954 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
15955 {
15956 /* 33222222222211111111110000000000
15957 10987654321098765432109876543210
7684e580 15958 111001x10x10xxxx111xxxxxxxxxxxxx
582e12bf 15959 st1w. */
82c70b08 15960 return 1919;
c0890d26
RS
15961 }
15962 else
15963 {
15964 /* 33222222222211111111110000000000
15965 10987654321098765432109876543210
7684e580 15966 111001x11x10xxxx111xxxxxxxxxxxxx
582e12bf 15967 st1d. */
82c70b08 15968 return 1893;
c0890d26
RS
15969 }
15970 }
c0890d26
RS
15971 else
15972 {
582e12bf 15973 if (((word >> 22) & 0x1) == 0)
c0890d26 15974 {
582e12bf
RS
15975 if (((word >> 23) & 0x1) == 0)
15976 {
15977 /* 33222222222211111111110000000000
15978 10987654321098765432109876543210
7684e580 15979 111001x10011xxxx111xxxxxxxxxxxxx
582e12bf 15980 st2w. */
82c70b08 15981 return 1927;
582e12bf
RS
15982 }
15983 else
15984 {
15985 /* 33222222222211111111110000000000
15986 10987654321098765432109876543210
7684e580 15987 111001x11011xxxx111xxxxxxxxxxxxx
582e12bf 15988 st2d. */
82c70b08 15989 return 1923;
582e12bf 15990 }
c0890d26
RS
15991 }
15992 else
15993 {
582e12bf 15994 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
15995 {
15996 /* 33222222222211111111110000000000
15997 10987654321098765432109876543210
7684e580 15998 111001x10111xxxx111xxxxxxxxxxxxx
582e12bf 15999 st4w. */
82c70b08 16000 return 1943;
c0890d26
RS
16001 }
16002 else
16003 {
16004 /* 33222222222211111111110000000000
16005 10987654321098765432109876543210
7684e580 16006 111001x11111xxxx111xxxxxxxxxxxxx
c0890d26 16007 st4d. */
82c70b08 16008 return 1939;
c0890d26
RS
16009 }
16010 }
16011 }
16012 }
16013 }
16014 }
16015 }
16016 }
16017 }
16018 }
16019 }
16020 }
16021 else
16022 {
16023 if (((word >> 29) & 0x1) == 0)
a06ea964 16024 {
c0890d26 16025 if (((word >> 30) & 0x1) == 0)
a06ea964 16026 {
c0890d26
RS
16027 if (((word >> 31) & 0x1) == 0)
16028 {
16029 /* 33222222222211111111110000000000
16030 10987654321098765432109876543210
7684e580 16031 000101xxxxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 16032 b. */
193614f2 16033 return 636;
c0890d26
RS
16034 }
16035 else
16036 {
16037 /* 33222222222211111111110000000000
16038 10987654321098765432109876543210
7684e580 16039 100101xxxxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 16040 bl. */
193614f2 16041 return 637;
c0890d26 16042 }
a06ea964
NC
16043 }
16044 else
16045 {
c0890d26 16046 if (((word >> 24) & 0x1) == 0)
a06ea964 16047 {
74f5402d 16048 if (((word >> 4) & 0x1) == 0)
a06ea964 16049 {
74f5402d 16050 if (((word >> 25) & 0x1) == 0)
c0890d26 16051 {
74f5402d
SN
16052 if (((word >> 31) & 0x1) == 0)
16053 {
16054 /* 33222222222211111111110000000000
16055 10987654321098765432109876543210
7684e580 16056 01010100xxxxxxxxxxxxxxxxxxx0xxxx
74f5402d 16057 b.c. */
193614f2 16058 return 657;
74f5402d
SN
16059 }
16060 else
a06ea964 16061 {
74f5402d 16062 if (((word >> 0) & 0x1) == 0)
a06ea964 16063 {
74f5402d 16064 if (((word >> 1) & 0x1) == 0)
c0890d26 16065 {
74f5402d
SN
16066 if (((word >> 21) & 0x1) == 0)
16067 {
16068 /* 33222222222211111111110000000000
16069 10987654321098765432109876543210
7684e580 16070 11010100xx0xxxxxxxxxxxxxxxx0xx00
74f5402d 16071 hlt. */
b731bc3b 16072 return 753;
74f5402d
SN
16073 }
16074 else
16075 {
b83b4b13
SD
16076 if (((word >> 22) & 0x1) == 0)
16077 {
16078 /* 33222222222211111111110000000000
16079 10987654321098765432109876543210
16080 11010100x01xxxxxxxxxxxxxxxx0xx00
16081 brk. */
16082 return 752;
16083 }
16084 else
16085 {
16086 /* 33222222222211111111110000000000
16087 10987654321098765432109876543210
16088 11010100x11xxxxxxxxxxxxxxxx0xx00
16089 tcancel. */
fd65497d 16090 return 1196;
b83b4b13 16091 }
74f5402d 16092 }
c0890d26
RS
16093 }
16094 else
16095 {
74f5402d
SN
16096 if (((word >> 21) & 0x1) == 0)
16097 {
16098 /* 33222222222211111111110000000000
16099 10987654321098765432109876543210
7684e580 16100 11010100xx0xxxxxxxxxxxxxxxx0xx10
74f5402d 16101 hvc. */
b731bc3b 16102 return 750;
74f5402d
SN
16103 }
16104 else
16105 {
16106 /* 33222222222211111111110000000000
16107 10987654321098765432109876543210
7684e580 16108 11010100xx1xxxxxxxxxxxxxxxx0xx10
74f5402d 16109 dcps2. */
09c1e68a 16110 return 756;
74f5402d 16111 }
c0890d26 16112 }
a06ea964
NC
16113 }
16114 else
16115 {
74f5402d 16116 if (((word >> 1) & 0x1) == 0)
c0890d26 16117 {
74f5402d
SN
16118 if (((word >> 21) & 0x1) == 0)
16119 {
16120 /* 33222222222211111111110000000000
16121 10987654321098765432109876543210
7684e580 16122 11010100xx0xxxxxxxxxxxxxxxx0xx01
74f5402d 16123 svc. */
b731bc3b 16124 return 749;
74f5402d
SN
16125 }
16126 else
16127 {
16128 /* 33222222222211111111110000000000
16129 10987654321098765432109876543210
7684e580 16130 11010100xx1xxxxxxxxxxxxxxxx0xx01
74f5402d 16131 dcps1. */
09c1e68a 16132 return 755;
74f5402d 16133 }
c0890d26
RS
16134 }
16135 else
16136 {
74f5402d
SN
16137 if (((word >> 21) & 0x1) == 0)
16138 {
16139 /* 33222222222211111111110000000000
16140 10987654321098765432109876543210
7684e580 16141 11010100xx0xxxxxxxxxxxxxxxx0xx11
74f5402d 16142 smc. */
b731bc3b 16143 return 751;
74f5402d
SN
16144 }
16145 else
16146 {
16147 /* 33222222222211111111110000000000
16148 10987654321098765432109876543210
7684e580 16149 11010100xx1xxxxxxxxxxxxxxxx0xx11
74f5402d 16150 dcps3. */
09c1e68a 16151 return 757;
74f5402d 16152 }
c0890d26 16153 }
a06ea964
NC
16154 }
16155 }
74f5402d
SN
16156 }
16157 else
16158 {
16159 if (((word >> 21) & 0x1) == 0)
a06ea964 16160 {
74f5402d 16161 if (((word >> 22) & 0x1) == 0)
a06ea964 16162 {
74f5402d 16163 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
16164 {
16165 /* 33222222222211111111110000000000
16166 10987654321098765432109876543210
7684e580 16167 x1010110000xxxxxxxxxxxxxxxx0xxxx
74f5402d 16168 br. */
193614f2 16169 return 638;
c0890d26
RS
16170 }
16171 else
16172 {
16173 /* 33222222222211111111110000000000
16174 10987654321098765432109876543210
7684e580 16175 x1010110100xxxxxxxxxxxxxxxx0xxxx
74f5402d 16176 eret. */
193614f2 16177 return 641;
c0890d26 16178 }
a06ea964
NC
16179 }
16180 else
16181 {
74f5402d
SN
16182 /* 33222222222211111111110000000000
16183 10987654321098765432109876543210
7684e580 16184 x1010110x10xxxxxxxxxxxxxxxx0xxxx
74f5402d 16185 ret. */
193614f2 16186 return 640;
74f5402d
SN
16187 }
16188 }
16189 else
16190 {
16191 if (((word >> 23) & 0x1) == 0)
16192 {
16193 /* 33222222222211111111110000000000
16194 10987654321098765432109876543210
7684e580 16195 x10101100x1xxxxxxxxxxxxxxxx0xxxx
74f5402d 16196 blr. */
193614f2 16197 return 639;
74f5402d
SN
16198 }
16199 else
16200 {
16201 /* 33222222222211111111110000000000
16202 10987654321098765432109876543210
7684e580 16203 x10101101x1xxxxxxxxxxxxxxxx0xxxx
74f5402d 16204 drps. */
193614f2 16205 return 642;
74f5402d
SN
16206 }
16207 }
16208 }
16209 }
16210 else
16211 {
16212 if (((word >> 10) & 0x1) == 0)
16213 {
16214 if (((word >> 21) & 0x1) == 0)
16215 {
16216 if (((word >> 22) & 0x1) == 0)
16217 {
16218 if (((word >> 23) & 0x1) == 0)
c0890d26
RS
16219 {
16220 /* 33222222222211111111110000000000
16221 10987654321098765432109876543210
7684e580 16222 x10101x0000xxxxxxxxxx0xxxxx1xxxx
74f5402d 16223 braaz. */
193614f2 16224 return 647;
c0890d26
RS
16225 }
16226 else
16227 {
16228 /* 33222222222211111111110000000000
16229 10987654321098765432109876543210
7684e580 16230 x10101x0100xxxxxxxxxx0xxxxx1xxxx
74f5402d 16231 eretaa. */
193614f2 16232 return 653;
c0890d26 16233 }
a06ea964 16234 }
74f5402d
SN
16235 else
16236 {
16237 /* 33222222222211111111110000000000
16238 10987654321098765432109876543210
7684e580 16239 x10101x0x10xxxxxxxxxx0xxxxx1xxxx
74f5402d 16240 retaa. */
193614f2 16241 return 651;
74f5402d
SN
16242 }
16243 }
16244 else
16245 {
16246 /* 33222222222211111111110000000000
16247 10987654321098765432109876543210
7684e580 16248 x10101x0xx1xxxxxxxxxx0xxxxx1xxxx
74f5402d 16249 blraaz. */
193614f2 16250 return 649;
a06ea964
NC
16251 }
16252 }
74f5402d 16253 else
a06ea964 16254 {
74f5402d 16255 if (((word >> 21) & 0x1) == 0)
a06ea964 16256 {
74f5402d 16257 if (((word >> 22) & 0x1) == 0)
a06ea964 16258 {
74f5402d
SN
16259 if (((word >> 23) & 0x1) == 0)
16260 {
16261 /* 33222222222211111111110000000000
16262 10987654321098765432109876543210
7684e580 16263 x10101x0000xxxxxxxxxx1xxxxx1xxxx
74f5402d 16264 brabz. */
193614f2 16265 return 648;
74f5402d
SN
16266 }
16267 else
16268 {
16269 /* 33222222222211111111110000000000
16270 10987654321098765432109876543210
7684e580 16271 x10101x0100xxxxxxxxxx1xxxxx1xxxx
74f5402d 16272 eretab. */
193614f2 16273 return 654;
74f5402d 16274 }
a06ea964
NC
16275 }
16276 else
16277 {
16278 /* 33222222222211111111110000000000
16279 10987654321098765432109876543210
7684e580 16280 x10101x0x10xxxxxxxxxx1xxxxx1xxxx
74f5402d 16281 retab. */
193614f2 16282 return 652;
a06ea964
NC
16283 }
16284 }
16285 else
16286 {
c0890d26
RS
16287 /* 33222222222211111111110000000000
16288 10987654321098765432109876543210
7684e580 16289 x10101x0xx1xxxxxxxxxx1xxxxx1xxxx
74f5402d 16290 blrabz. */
193614f2 16291 return 650;
a06ea964
NC
16292 }
16293 }
74f5402d
SN
16294 }
16295 }
16296 else
16297 {
16298 if (((word >> 21) & 0x1) == 0)
16299 {
16300 if (((word >> 25) & 0x1) == 0)
16301 {
16302 /* 33222222222211111111110000000000
16303 10987654321098765432109876543210
7684e580 16304 x1010101xx0xxxxxxxxxxxxxxxxxxxxx
13c60ad7 16305 xaflag. */
09c1e68a 16306 return 811;
74f5402d 16307 }
c0890d26 16308 else
a06ea964 16309 {
74f5402d 16310 if (((word >> 10) & 0x1) == 0)
a06ea964
NC
16311 {
16312 /* 33222222222211111111110000000000
16313 10987654321098765432109876543210
7684e580 16314 x1010111xx0xxxxxxxxxx0xxxxxxxxxx
74f5402d 16315 braa. */
193614f2 16316 return 643;
a06ea964
NC
16317 }
16318 else
16319 {
16320 /* 33222222222211111111110000000000
16321 10987654321098765432109876543210
7684e580 16322 x1010111xx0xxxxxxxxxx1xxxxxxxxxx
74f5402d 16323 brab. */
193614f2 16324 return 644;
a06ea964
NC
16325 }
16326 }
c0890d26 16327 }
a06ea964
NC
16328 else
16329 {
74f5402d
SN
16330 if (((word >> 25) & 0x1) == 0)
16331 {
16332 /* 33222222222211111111110000000000
16333 10987654321098765432109876543210
7684e580 16334 x1010101xx1xxxxxxxxxxxxxxxxxxxxx
b83b4b13 16335 tstart. */
fd65497d 16336 return 1193;
74f5402d
SN
16337 }
16338 else
16339 {
16340 if (((word >> 10) & 0x1) == 0)
16341 {
16342 /* 33222222222211111111110000000000
16343 10987654321098765432109876543210
7684e580 16344 x1010111xx1xxxxxxxxxx0xxxxxxxxxx
74f5402d 16345 blraa. */
193614f2 16346 return 645;
74f5402d
SN
16347 }
16348 else
16349 {
16350 /* 33222222222211111111110000000000
16351 10987654321098765432109876543210
7684e580 16352 x1010111xx1xxxxxxxxxx1xxxxxxxxxx
74f5402d 16353 blrab. */
193614f2 16354 return 646;
74f5402d
SN
16355 }
16356 }
a06ea964
NC
16357 }
16358 }
16359 }
c0890d26
RS
16360 }
16361 else
16362 {
16363 if (((word >> 24) & 0x1) == 0)
a06ea964 16364 {
c0890d26 16365 if (((word >> 25) & 0x1) == 0)
a06ea964 16366 {
df7b4545
JW
16367 /* 33222222222211111111110000000000
16368 10987654321098765432109876543210
7684e580 16369 xx110100xxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 16370 cbz. */
193614f2 16371 return 655;
a06ea964
NC
16372 }
16373 else
16374 {
df7b4545
JW
16375 /* 33222222222211111111110000000000
16376 10987654321098765432109876543210
7684e580 16377 xx110110xxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 16378 tbz. */
82c70b08 16379 return 1247;
a06ea964
NC
16380 }
16381 }
a06ea964
NC
16382 else
16383 {
c0890d26
RS
16384 if (((word >> 25) & 0x1) == 0)
16385 {
16386 /* 33222222222211111111110000000000
16387 10987654321098765432109876543210
7684e580 16388 xx110101xxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 16389 cbnz. */
193614f2 16390 return 656;
c0890d26
RS
16391 }
16392 else
16393 {
16394 /* 33222222222211111111110000000000
16395 10987654321098765432109876543210
7684e580 16396 xx110111xxxxxxxxxxxxxxxxxxxxxxxx
c0890d26 16397 tbnz. */
82c70b08 16398 return 1248;
c0890d26 16399 }
a06ea964
NC
16400 }
16401 }
16402 }
16403 }
16404 else
16405 {
16406 if (((word >> 25) & 0x1) == 0)
16407 {
16408 if (((word >> 28) & 0x1) == 0)
16409 {
16410 if (((word >> 22) & 0x1) == 0)
16411 {
16412 if (((word >> 23) & 0x1) == 0)
16413 {
16414 if (((word >> 24) & 0x1) == 0)
16415 {
16416 if (((word >> 29) & 0x1) == 0)
16417 {
16418 /* 33222222222211111111110000000000
16419 10987654321098765432109876543210
7684e580 16420 xx00110000xxxxxxxxxxxxxxxxxxxxxx
a06ea964 16421 st4. */
193614f2 16422 return 440;
a06ea964
NC
16423 }
16424 else
16425 {
16426 /* 33222222222211111111110000000000
16427 10987654321098765432109876543210
7684e580 16428 xx10110000xxxxxxxxxxxxxxxxxxxxxx
a06ea964 16429 stnp. */
09c1e68a 16430 return 973;
a06ea964
NC
16431 }
16432 }
16433 else
16434 {
16435 if (((word >> 29) & 0x1) == 0)
16436 {
16437 if (((word >> 13) & 0x1) == 0)
16438 {
16439 if (((word >> 21) & 0x1) == 0)
16440 {
16441 /* 33222222222211111111110000000000
16442 10987654321098765432109876543210
7684e580 16443 xx001101000xxxxxxx0xxxxxxxxxxxxx
a06ea964 16444 st1. */
193614f2 16445 return 456;
a06ea964
NC
16446 }
16447 else
16448 {
16449 /* 33222222222211111111110000000000
16450 10987654321098765432109876543210
7684e580 16451 xx001101001xxxxxxx0xxxxxxxxxxxxx
a06ea964 16452 st2. */
193614f2 16453 return 458;
a06ea964
NC
16454 }
16455 }
16456 else
16457 {
16458 if (((word >> 21) & 0x1) == 0)
16459 {
16460 /* 33222222222211111111110000000000
16461 10987654321098765432109876543210
7684e580 16462 xx001101000xxxxxxx1xxxxxxxxxxxxx
a06ea964 16463 st3. */
193614f2 16464 return 457;
a06ea964
NC
16465 }
16466 else
16467 {
16468 /* 33222222222211111111110000000000
16469 10987654321098765432109876543210
7684e580 16470 xx001101001xxxxxxx1xxxxxxxxxxxxx
a06ea964 16471 st4. */
193614f2 16472 return 459;
a06ea964
NC
16473 }
16474 }
16475 }
16476 else
16477 {
16478 /* 33222222222211111111110000000000
16479 10987654321098765432109876543210
7684e580 16480 xx10110100xxxxxxxxxxxxxxxxxxxxxx
a06ea964 16481 stp. */
09c1e68a 16482 return 977;
a06ea964
NC
16483 }
16484 }
16485 }
16486 else
16487 {
16488 if (((word >> 29) & 0x1) == 0)
16489 {
16490 if (((word >> 21) & 0x1) == 0)
16491 {
16492 if (((word >> 24) & 0x1) == 0)
16493 {
16494 /* 33222222222211111111110000000000
16495 10987654321098765432109876543210
7684e580 16496 xx001100100xxxxxxxxxxxxxxxxxxxxx
a06ea964 16497 st4. */
193614f2 16498 return 448;
a06ea964
NC
16499 }
16500 else
16501 {
16502 if (((word >> 13) & 0x1) == 0)
16503 {
16504 /* 33222222222211111111110000000000
16505 10987654321098765432109876543210
7684e580 16506 xx001101100xxxxxxx0xxxxxxxxxxxxx
a06ea964 16507 st1. */
193614f2 16508 return 468;
a06ea964
NC
16509 }
16510 else
16511 {
16512 /* 33222222222211111111110000000000
16513 10987654321098765432109876543210
7684e580 16514 xx001101100xxxxxxx1xxxxxxxxxxxxx
a06ea964 16515 st3. */
193614f2 16516 return 469;
a06ea964
NC
16517 }
16518 }
16519 }
16520 else
16521 {
16522 if (((word >> 13) & 0x1) == 0)
16523 {
16524 /* 33222222222211111111110000000000
16525 10987654321098765432109876543210
7684e580 16526 xx00110x101xxxxxxx0xxxxxxxxxxxxx
a06ea964 16527 st2. */
193614f2 16528 return 470;
a06ea964
NC
16529 }
16530 else
16531 {
16532 /* 33222222222211111111110000000000
16533 10987654321098765432109876543210
7684e580 16534 xx00110x101xxxxxxx1xxxxxxxxxxxxx
a06ea964 16535 st4. */
193614f2 16536 return 471;
a06ea964
NC
16537 }
16538 }
16539 }
16540 else
16541 {
16542 /* 33222222222211111111110000000000
16543 10987654321098765432109876543210
7684e580 16544 xx10110x10xxxxxxxxxxxxxxxxxxxxxx
a06ea964 16545 stp. */
09c1e68a 16546 return 983;
a06ea964
NC
16547 }
16548 }
16549 }
16550 else
16551 {
16552 if (((word >> 23) & 0x1) == 0)
16553 {
16554 if (((word >> 24) & 0x1) == 0)
16555 {
16556 if (((word >> 29) & 0x1) == 0)
16557 {
16558 /* 33222222222211111111110000000000
16559 10987654321098765432109876543210
7684e580 16560 xx00110001xxxxxxxxxxxxxxxxxxxxxx
a06ea964 16561 ld4. */
193614f2 16562 return 444;
a06ea964
NC
16563 }
16564 else
16565 {
16566 /* 33222222222211111111110000000000
16567 10987654321098765432109876543210
7684e580 16568 xx10110001xxxxxxxxxxxxxxxxxxxxxx
a06ea964 16569 ldnp. */
09c1e68a 16570 return 974;
a06ea964
NC
16571 }
16572 }
16573 else
16574 {
16575 if (((word >> 29) & 0x1) == 0)
16576 {
16577 if (((word >> 13) & 0x1) == 0)
16578 {
16579 if (((word >> 21) & 0x1) == 0)
16580 {
16581 /* 33222222222211111111110000000000
16582 10987654321098765432109876543210
7684e580 16583 xx001101010xxxxxxx0xxxxxxxxxxxxx
a06ea964 16584 ld1. */
193614f2 16585 return 460;
a06ea964
NC
16586 }
16587 else
16588 {
16589 /* 33222222222211111111110000000000
16590 10987654321098765432109876543210
7684e580 16591 xx001101011xxxxxxx0xxxxxxxxxxxxx
a06ea964 16592 ld2. */
193614f2 16593 return 464;
a06ea964
NC
16594 }
16595 }
16596 else
16597 {
16598 if (((word >> 21) & 0x1) == 0)
16599 {
16600 /* 33222222222211111111110000000000
16601 10987654321098765432109876543210
7684e580 16602 xx001101010xxxxxxx1xxxxxxxxxxxxx
a06ea964 16603 ld3. */
193614f2 16604 return 461;
a06ea964
NC
16605 }
16606 else
16607 {
16608 /* 33222222222211111111110000000000
16609 10987654321098765432109876543210
7684e580 16610 xx001101011xxxxxxx1xxxxxxxxxxxxx
a06ea964 16611 ld4. */
193614f2 16612 return 465;
a06ea964
NC
16613 }
16614 }
16615 }
16616 else
16617 {
16618 /* 33222222222211111111110000000000
16619 10987654321098765432109876543210
7684e580 16620 xx10110101xxxxxxxxxxxxxxxxxxxxxx
a06ea964 16621 ldp. */
09c1e68a 16622 return 978;
a06ea964
NC
16623 }
16624 }
16625 }
16626 else
16627 {
16628 if (((word >> 29) & 0x1) == 0)
16629 {
16630 if (((word >> 21) & 0x1) == 0)
16631 {
16632 if (((word >> 24) & 0x1) == 0)
16633 {
16634 /* 33222222222211111111110000000000
16635 10987654321098765432109876543210
7684e580 16636 xx001100110xxxxxxxxxxxxxxxxxxxxx
a06ea964 16637 ld4. */
193614f2 16638 return 452;
a06ea964
NC
16639 }
16640 else
16641 {
16642 if (((word >> 13) & 0x1) == 0)
16643 {
16644 /* 33222222222211111111110000000000
16645 10987654321098765432109876543210
7684e580 16646 xx001101110xxxxxxx0xxxxxxxxxxxxx
a06ea964 16647 ld1. */
193614f2 16648 return 472;
a06ea964
NC
16649 }
16650 else
16651 {
16652 /* 33222222222211111111110000000000
16653 10987654321098765432109876543210
7684e580 16654 xx001101110xxxxxxx1xxxxxxxxxxxxx
a06ea964 16655 ld3. */
193614f2 16656 return 473;
a06ea964
NC
16657 }
16658 }
16659 }
16660 else
16661 {
16662 if (((word >> 13) & 0x1) == 0)
16663 {
16664 /* 33222222222211111111110000000000
16665 10987654321098765432109876543210
7684e580 16666 xx00110x111xxxxxxx0xxxxxxxxxxxxx
a06ea964 16667 ld2. */
193614f2 16668 return 476;
a06ea964
NC
16669 }
16670 else
16671 {
16672 /* 33222222222211111111110000000000
16673 10987654321098765432109876543210
7684e580 16674 xx00110x111xxxxxxx1xxxxxxxxxxxxx
a06ea964 16675 ld4. */
193614f2 16676 return 477;
a06ea964
NC
16677 }
16678 }
16679 }
16680 else
16681 {
16682 /* 33222222222211111111110000000000
16683 10987654321098765432109876543210
7684e580 16684 xx10110x11xxxxxxxxxxxxxxxxxxxxxx
a06ea964 16685 ldp. */
09c1e68a 16686 return 984;
a06ea964
NC
16687 }
16688 }
16689 }
16690 }
16691 else
16692 {
16693 if (((word >> 24) & 0x1) == 0)
16694 {
16695 if (((word >> 29) & 0x1) == 0)
16696 {
16697 /* 33222222222211111111110000000000
16698 10987654321098765432109876543210
7684e580 16699 xx011100xxxxxxxxxxxxxxxxxxxxxxxx
a06ea964 16700 ldr. */
09c1e68a 16701 return 988;
a06ea964
NC
16702 }
16703 else
16704 {
16705 if (((word >> 10) & 0x1) == 0)
16706 {
16707 if (((word >> 11) & 0x1) == 0)
16708 {
16709 if (((word >> 22) & 0x1) == 0)
16710 {
16711 /* 33222222222211111111110000000000
16712 10987654321098765432109876543210
7684e580 16713 xx111100x0xxxxxxxxxx00xxxxxxxxxx
a06ea964 16714 stur. */
09c1e68a 16715 return 925;
a06ea964
NC
16716 }
16717 else
16718 {
16719 /* 33222222222211111111110000000000
16720 10987654321098765432109876543210
7684e580 16721 xx111100x1xxxxxxxxxx00xxxxxxxxxx
a06ea964 16722 ldur. */
09c1e68a 16723 return 926;
a06ea964
NC
16724 }
16725 }
16726 else
16727 {
16728 if (((word >> 22) & 0x1) == 0)
16729 {
16730 /* 33222222222211111111110000000000
16731 10987654321098765432109876543210
7684e580 16732 xx111100x0xxxxxxxxxx10xxxxxxxxxx
a06ea964 16733 str. */
09c1e68a 16734 return 904;
a06ea964
NC
16735 }
16736 else
16737 {
16738 /* 33222222222211111111110000000000
16739 10987654321098765432109876543210
7684e580 16740 xx111100x1xxxxxxxxxx10xxxxxxxxxx
a06ea964 16741 ldr. */
09c1e68a 16742 return 905;
a06ea964
NC
16743 }
16744 }
16745 }
16746 else
16747 {
16748 if (((word >> 22) & 0x1) == 0)
16749 {
16750 /* 33222222222211111111110000000000
16751 10987654321098765432109876543210
7684e580 16752 xx111100x0xxxxxxxxxxx1xxxxxxxxxx
a06ea964 16753 str. */
09c1e68a 16754 return 873;
a06ea964
NC
16755 }
16756 else
16757 {
16758 /* 33222222222211111111110000000000
16759 10987654321098765432109876543210
7684e580 16760 xx111100x1xxxxxxxxxxx1xxxxxxxxxx
a06ea964 16761 ldr. */
09c1e68a 16762 return 874;
a06ea964
NC
16763 }
16764 }
16765 }
16766 }
16767 else
16768 {
16769 if (((word >> 22) & 0x1) == 0)
16770 {
16771 /* 33222222222211111111110000000000
16772 10987654321098765432109876543210
7684e580 16773 xxx11101x0xxxxxxxxxxxxxxxxxxxxxx
a06ea964 16774 str. */
09c1e68a 16775 return 892;
a06ea964
NC
16776 }
16777 else
16778 {
16779 /* 33222222222211111111110000000000
16780 10987654321098765432109876543210
7684e580 16781 xxx11101x1xxxxxxxxxxxxxxxxxxxxxx
a06ea964 16782 ldr. */
09c1e68a 16783 return 893;
a06ea964
NC
16784 }
16785 }
16786 }
16787 }
16788 else
16789 {
16790 if (((word >> 24) & 0x1) == 0)
16791 {
16792 if (((word >> 21) & 0x1) == 0)
16793 {
16794 if (((word >> 28) & 0x1) == 0)
16795 {
e9dbdd80 16796 if (((word >> 29) & 0x1) == 0)
a06ea964 16797 {
e9dbdd80 16798 if (((word >> 31) & 0x1) == 0)
a06ea964 16799 {
e9dbdd80 16800 if (((word >> 10) & 0x1) == 0)
a06ea964 16801 {
e9dbdd80 16802 if (((word >> 11) & 0x1) == 0)
a06ea964 16803 {
e9dbdd80 16804 if (((word >> 12) & 0x1) == 0)
a06ea964
NC
16805 {
16806 /* 33222222222211111111110000000000
16807 10987654321098765432109876543210
e9dbdd80
TC
16808 0x001110xx0xxxxxxxx000xxxxxxxxxx
16809 tbl. */
193614f2 16810 return 420;
a06ea964
NC
16811 }
16812 else
16813 {
16814 /* 33222222222211111111110000000000
16815 10987654321098765432109876543210
e9dbdd80
TC
16816 0x001110xx0xxxxxxxx100xxxxxxxxxx
16817 tbx. */
193614f2 16818 return 421;
a06ea964
NC
16819 }
16820 }
16821 else
16822 {
e9dbdd80 16823 if (((word >> 12) & 0x1) == 0)
a06ea964
NC
16824 {
16825 if (((word >> 14) & 0x1) == 0)
16826 {
16827 /* 33222222222211111111110000000000
16828 10987654321098765432109876543210
e9dbdd80
TC
16829 0x001110xx0xxxxxx0x010xxxxxxxxxx
16830 trn1. */
193614f2 16831 return 263;
a06ea964
NC
16832 }
16833 else
16834 {
16835 /* 33222222222211111111110000000000
16836 10987654321098765432109876543210
e9dbdd80
TC
16837 0x001110xx0xxxxxx1x010xxxxxxxxxx
16838 trn2. */
193614f2 16839 return 266;
a06ea964
NC
16840 }
16841 }
51d543ed
MW
16842 else
16843 {
e9dbdd80 16844 if (((word >> 13) & 0x1) == 0)
51d543ed 16845 {
e9dbdd80 16846 if (((word >> 14) & 0x1) == 0)
51d543ed
MW
16847 {
16848 /* 33222222222211111111110000000000
16849 10987654321098765432109876543210
e9dbdd80
TC
16850 0x001110xx0xxxxxx00110xxxxxxxxxx
16851 uzp1. */
193614f2 16852 return 262;
51d543ed
MW
16853 }
16854 else
16855 {
16856 /* 33222222222211111111110000000000
16857 10987654321098765432109876543210
e9dbdd80
TC
16858 0x001110xx0xxxxxx10110xxxxxxxxxx
16859 uzp2. */
193614f2 16860 return 265;
51d543ed
MW
16861 }
16862 }
16863 else
16864 {
e9dbdd80 16865 if (((word >> 14) & 0x1) == 0)
51d543ed
MW
16866 {
16867 /* 33222222222211111111110000000000
16868 10987654321098765432109876543210
e9dbdd80
TC
16869 0x001110xx0xxxxxx01110xxxxxxxxxx
16870 zip1. */
193614f2 16871 return 264;
51d543ed
MW
16872 }
16873 else
16874 {
16875 /* 33222222222211111111110000000000
16876 10987654321098765432109876543210
e9dbdd80
TC
16877 0x001110xx0xxxxxx11110xxxxxxxxxx
16878 zip2. */
193614f2 16879 return 267;
51d543ed
MW
16880 }
16881 }
16882 }
16883 }
51d543ed
MW
16884 }
16885 else
16886 {
16887 if (((word >> 11) & 0x1) == 0)
16888 {
16889 if (((word >> 12) & 0x1) == 0)
16890 {
16891 if (((word >> 13) & 0x1) == 0)
16892 {
e9dbdd80 16893 if (((word >> 22) & 0x1) == 0)
51d543ed 16894 {
e9dbdd80
TC
16895 /* 33222222222211111111110000000000
16896 10987654321098765432109876543210
16897 0x001110x00xxxxxxx0001xxxxxxxxxx
16898 dup. */
193614f2 16899 return 149;
e9dbdd80
TC
16900 }
16901 else
16902 {
16903 if (((word >> 23) & 0x1) == 0)
51d543ed
MW
16904 {
16905 /* 33222222222211111111110000000000
16906 10987654321098765432109876543210
e9dbdd80 16907 0x001110010xxxxxxx0001xxxxxxxxxx
51d543ed 16908 fmaxnm. */
193614f2 16909 return 292;
51d543ed
MW
16910 }
16911 else
16912 {
16913 /* 33222222222211111111110000000000
16914 10987654321098765432109876543210
e9dbdd80 16915 0x001110110xxxxxxx0001xxxxxxxxxx
51d543ed 16916 fminnm. */
193614f2 16917 return 308;
51d543ed 16918 }
51d543ed
MW
16919 }
16920 }
16921 else
16922 {
8382113f
MM
16923 if (((word >> 15) & 0x1) == 0)
16924 {
16925 /* 33222222222211111111110000000000
16926 10987654321098765432109876543210
16927 0x001110xx0xxxxx0x1001xxxxxxxxxx
16928 fcmeq. */
16929 return 300;
16930 }
16931 else
16932 {
16933 /* 33222222222211111111110000000000
16934 10987654321098765432109876543210
16935 0x001110xx0xxxxx1x1001xxxxxxxxxx
16936 smmla. */
01a4d082 16937 return 2478;
8382113f 16938 }
51d543ed
MW
16939 }
16940 }
16941 else
16942 {
16943 if (((word >> 13) & 0x1) == 0)
16944 {
e9dbdd80 16945 if (((word >> 15) & 0x1) == 0)
51d543ed 16946 {
e9dbdd80 16947 if (((word >> 23) & 0x1) == 0)
51d543ed
MW
16948 {
16949 /* 33222222222211111111110000000000
16950 10987654321098765432109876543210
e9dbdd80 16951 0x0011100x0xxxxx0x0101xxxxxxxxxx
51d543ed 16952 fadd. */
193614f2 16953 return 296;
51d543ed
MW
16954 }
16955 else
16956 {
16957 /* 33222222222211111111110000000000
16958 10987654321098765432109876543210
e9dbdd80
TC
16959 0x0011101x0xxxxx0x0101xxxxxxxxxx
16960 fsub. */
193614f2 16961 return 312;
51d543ed
MW
16962 }
16963 }
16964 else
16965 {
e9dbdd80
TC
16966 /* 33222222222211111111110000000000
16967 10987654321098765432109876543210
16968 0x001110xx0xxxxx1x0101xxxxxxxxxx
16969 sdot. */
01a4d082 16970 return 2404;
51d543ed
MW
16971 }
16972 }
16973 else
16974 {
16975 if (((word >> 23) & 0x1) == 0)
16976 {
e9dbdd80
TC
16977 /* 33222222222211111111110000000000
16978 10987654321098765432109876543210
16979 0x0011100x0xxxxxxx1101xxxxxxxxxx
16980 fmax. */
193614f2 16981 return 302;
51d543ed
MW
16982 }
16983 else
16984 {
e9dbdd80
TC
16985 /* 33222222222211111111110000000000
16986 10987654321098765432109876543210
16987 0x0011101x0xxxxxxx1101xxxxxxxxxx
16988 fmin. */
193614f2 16989 return 314;
51d543ed
MW
16990 }
16991 }
16992 }
a06ea964
NC
16993 }
16994 else
16995 {
9e1f0fa7 16996 if (((word >> 12) & 0x1) == 0)
a06ea964 16997 {
9e1f0fa7
MW
16998 if (((word >> 13) & 0x1) == 0)
16999 {
e9dbdd80 17000 if (((word >> 22) & 0x1) == 0)
51d543ed
MW
17001 {
17002 /* 33222222222211111111110000000000
17003 10987654321098765432109876543210
e9dbdd80
TC
17004 0x001110x00xxxxxxx0011xxxxxxxxxx
17005 dup. */
193614f2 17006 return 150;
51d543ed
MW
17007 }
17008 else
17009 {
e9dbdd80
TC
17010 if (((word >> 23) & 0x1) == 0)
17011 {
17012 /* 33222222222211111111110000000000
17013 10987654321098765432109876543210
17014 0x001110010xxxxxxx0011xxxxxxxxxx
17015 fmla. */
193614f2 17016 return 294;
e9dbdd80
TC
17017 }
17018 else
17019 {
17020 /* 33222222222211111111110000000000
17021 10987654321098765432109876543210
17022 0x001110110xxxxxxx0011xxxxxxxxxx
17023 fmls. */
193614f2 17024 return 310;
e9dbdd80 17025 }
51d543ed 17026 }
9e1f0fa7
MW
17027 }
17028 else
17029 {
8382113f
MM
17030 if (((word >> 15) & 0x1) == 0)
17031 {
17032 /* 33222222222211111111110000000000
17033 10987654321098765432109876543210
17034 0x001110xx0xxxxx0x1011xxxxxxxxxx
17035 smov. */
17036 return 151;
17037 }
17038 else
17039 {
17040 /* 33222222222211111111110000000000
17041 10987654321098765432109876543210
17042 0x001110xx0xxxxx1x1011xxxxxxxxxx
17043 usmmla. */
01a4d082 17044 return 2480;
8382113f 17045 }
9e1f0fa7 17046 }
a06ea964
NC
17047 }
17048 else
17049 {
9e1f0fa7
MW
17050 if (((word >> 13) & 0x1) == 0)
17051 {
8382113f 17052 if (((word >> 15) & 0x1) == 0)
51d543ed 17053 {
8382113f
MM
17054 if (((word >> 22) & 0x1) == 0)
17055 {
17056 /* 33222222222211111111110000000000
17057 10987654321098765432109876543210
17058 0x001110x00xxxxx0x0111xxxxxxxxxx
17059 ins. */
17060 return 154;
17061 }
17062 else
17063 {
17064 /* 33222222222211111111110000000000
17065 10987654321098765432109876543210
17066 0x001110x10xxxxx0x0111xxxxxxxxxx
17067 fmulx. */
17068 return 298;
17069 }
51d543ed
MW
17070 }
17071 else
17072 {
17073 /* 33222222222211111111110000000000
17074 10987654321098765432109876543210
8382113f
MM
17075 0x001110xx0xxxxx1x0111xxxxxxxxxx
17076 usdot. */
01a4d082 17077 return 2481;
51d543ed 17078 }
9e1f0fa7
MW
17079 }
17080 else
17081 {
e9dbdd80 17082 if (((word >> 22) & 0x1) == 0)
51d543ed 17083 {
e9dbdd80
TC
17084 /* 33222222222211111111110000000000
17085 10987654321098765432109876543210
17086 0x001110x00xxxxxxx1111xxxxxxxxxx
17087 umov. */
193614f2 17088 return 152;
e9dbdd80
TC
17089 }
17090 else
17091 {
17092 if (((word >> 23) & 0x1) == 0)
51d543ed
MW
17093 {
17094 /* 33222222222211111111110000000000
17095 10987654321098765432109876543210
e9dbdd80 17096 0x001110010xxxxxxx1111xxxxxxxxxx
51d543ed 17097 frecps. */
193614f2 17098 return 304;
51d543ed
MW
17099 }
17100 else
17101 {
17102 /* 33222222222211111111110000000000
17103 10987654321098765432109876543210
e9dbdd80
TC
17104 0x001110110xxxxxxx1111xxxxxxxxxx
17105 frsqrts. */
193614f2 17106 return 316;
51d543ed
MW
17107 }
17108 }
9e1f0fa7 17109 }
a06ea964
NC
17110 }
17111 }
17112 }
a06ea964
NC
17113 }
17114 else
17115 {
e9dbdd80 17116 if (((word >> 22) & 0x1) == 0)
a06ea964 17117 {
e9dbdd80 17118 if (((word >> 23) & 0x1) == 0)
a06ea964 17119 {
9e1f0fa7
MW
17120 /* 33222222222211111111110000000000
17121 10987654321098765432109876543210
e9dbdd80
TC
17122 1x001110000xxxxxxxxxxxxxxxxxxxxx
17123 eor3. */
01a4d082 17124 return 2411;
a06ea964
NC
17125 }
17126 else
17127 {
9e1f0fa7
MW
17128 /* 33222222222211111111110000000000
17129 10987654321098765432109876543210
e9dbdd80
TC
17130 1x001110100xxxxxxxxxxxxxxxxxxxxx
17131 xar. */
01a4d082 17132 return 2413;
9e1f0fa7
MW
17133 }
17134 }
17135 else
17136 {
e9dbdd80 17137 if (((word >> 15) & 0x1) == 0)
9e1f0fa7
MW
17138 {
17139 /* 33222222222211111111110000000000
17140 10987654321098765432109876543210
e9dbdd80
TC
17141 1x001110x10xxxxx0xxxxxxxxxxxxxxx
17142 sm3ss1. */
01a4d082 17143 return 2415;
9e1f0fa7
MW
17144 }
17145 else
17146 {
e9dbdd80 17147 if (((word >> 10) & 0x1) == 0)
a06ea964 17148 {
e9dbdd80 17149 if (((word >> 11) & 0x1) == 0)
9e1f0fa7 17150 {
e9dbdd80
TC
17151 if (((word >> 23) & 0x1) == 0)
17152 {
17153 /* 33222222222211111111110000000000
17154 10987654321098765432109876543210
17155 1x001110010xxxxx1xxx00xxxxxxxxxx
17156 sm3tt1a. */
01a4d082 17157 return 2416;
e9dbdd80
TC
17158 }
17159 else
17160 {
17161 /* 33222222222211111111110000000000
17162 10987654321098765432109876543210
17163 1x001110110xxxxx1xxx00xxxxxxxxxx
17164 sha512su0. */
01a4d082 17165 return 2409;
e9dbdd80 17166 }
9e1f0fa7
MW
17167 }
17168 else
17169 {
17170 /* 33222222222211111111110000000000
17171 10987654321098765432109876543210
e9dbdd80
TC
17172 1x001110x10xxxxx1xxx10xxxxxxxxxx
17173 sm3tt2a. */
01a4d082 17174 return 2418;
9e1f0fa7 17175 }
a06ea964
NC
17176 }
17177 else
17178 {
e9dbdd80 17179 if (((word >> 11) & 0x1) == 0)
9e1f0fa7 17180 {
e9dbdd80
TC
17181 if (((word >> 23) & 0x1) == 0)
17182 {
17183 /* 33222222222211111111110000000000
17184 10987654321098765432109876543210
17185 1x001110010xxxxx1xxx01xxxxxxxxxx
17186 sm3tt1b. */
01a4d082 17187 return 2417;
e9dbdd80
TC
17188 }
17189 else
17190 {
17191 /* 33222222222211111111110000000000
17192 10987654321098765432109876543210
17193 1x001110110xxxxx1xxx01xxxxxxxxxx
17194 sm4e. */
01a4d082 17195 return 2422;
e9dbdd80 17196 }
9e1f0fa7
MW
17197 }
17198 else
17199 {
17200 /* 33222222222211111111110000000000
17201 10987654321098765432109876543210
e9dbdd80
TC
17202 1x001110x10xxxxx1xxx11xxxxxxxxxx
17203 sm3tt2b. */
01a4d082 17204 return 2419;
9e1f0fa7 17205 }
a06ea964 17206 }
a06ea964 17207 }
9e1f0fa7 17208 }
e9dbdd80
TC
17209 }
17210 }
17211 else
17212 {
17213 if (((word >> 10) & 0x1) == 0)
17214 {
17215 /* 33222222222211111111110000000000
17216 10987654321098765432109876543210
17217 xx101110xx0xxxxxxxxxx0xxxxxxxxxx
17218 ext. */
193614f2 17219 return 132;
e9dbdd80
TC
17220 }
17221 else
17222 {
17223 if (((word >> 15) & 0x1) == 0)
9e1f0fa7 17224 {
e9dbdd80 17225 if (((word >> 22) & 0x1) == 0)
6b4680fb 17226 {
e9dbdd80
TC
17227 /* 33222222222211111111110000000000
17228 10987654321098765432109876543210
17229 xx101110x00xxxxx0xxxx1xxxxxxxxxx
17230 ins. */
193614f2 17231 return 156;
6b4680fb
MW
17232 }
17233 else
17234 {
e9dbdd80 17235 if (((word >> 11) & 0x1) == 0)
6b4680fb 17236 {
e9dbdd80 17237 if (((word >> 12) & 0x1) == 0)
6b4680fb 17238 {
e9dbdd80 17239 if (((word >> 13) & 0x1) == 0)
a06ea964 17240 {
e9dbdd80 17241 if (((word >> 23) & 0x1) == 0)
a06ea964
NC
17242 {
17243 /* 33222222222211111111110000000000
17244 10987654321098765432109876543210
e9dbdd80
TC
17245 xx101110010xxxxx0x0001xxxxxxxxxx
17246 fmaxnmp. */
193614f2 17247 return 343;
a06ea964
NC
17248 }
17249 else
17250 {
17251 /* 33222222222211111111110000000000
17252 10987654321098765432109876543210
e9dbdd80
TC
17253 xx101110110xxxxx0x0001xxxxxxxxxx
17254 fminnmp. */
193614f2 17255 return 359;
a06ea964
NC
17256 }
17257 }
17258 else
17259 {
e9dbdd80 17260 if (((word >> 23) & 0x1) == 0)
a06ea964
NC
17261 {
17262 /* 33222222222211111111110000000000
17263 10987654321098765432109876543210
e9dbdd80
TC
17264 xx101110010xxxxx0x1001xxxxxxxxxx
17265 fcmge. */
193614f2 17266 return 349;
a06ea964
NC
17267 }
17268 else
17269 {
17270 /* 33222222222211111111110000000000
17271 10987654321098765432109876543210
e9dbdd80
TC
17272 xx101110110xxxxx0x1001xxxxxxxxxx
17273 fcmgt. */
193614f2 17274 return 363;
a06ea964
NC
17275 }
17276 }
17277 }
17278 else
17279 {
e9dbdd80 17280 if (((word >> 13) & 0x1) == 0)
a06ea964 17281 {
e9dbdd80 17282 if (((word >> 23) & 0x1) == 0)
a06ea964 17283 {
e9dbdd80
TC
17284 /* 33222222222211111111110000000000
17285 10987654321098765432109876543210
17286 xx101110010xxxxx0x0101xxxxxxxxxx
17287 faddp. */
193614f2 17288 return 345;
a06ea964
NC
17289 }
17290 else
17291 {
e9dbdd80
TC
17292 /* 33222222222211111111110000000000
17293 10987654321098765432109876543210
17294 xx101110110xxxxx0x0101xxxxxxxxxx
17295 fabd. */
193614f2 17296 return 361;
a06ea964
NC
17297 }
17298 }
17299 else
17300 {
e9dbdd80 17301 if (((word >> 23) & 0x1) == 0)
a06ea964 17302 {
e9dbdd80
TC
17303 /* 33222222222211111111110000000000
17304 10987654321098765432109876543210
17305 xx101110010xxxxx0x1101xxxxxxxxxx
17306 fmaxp. */
193614f2 17307 return 353;
a06ea964
NC
17308 }
17309 else
17310 {
e9dbdd80
TC
17311 /* 33222222222211111111110000000000
17312 10987654321098765432109876543210
17313 xx101110110xxxxx0x1101xxxxxxxxxx
17314 fminp. */
193614f2 17315 return 367;
a06ea964
NC
17316 }
17317 }
17318 }
17319 }
17320 else
17321 {
e9dbdd80 17322 if (((word >> 12) & 0x1) == 0)
a06ea964 17323 {
e9dbdd80 17324 if (((word >> 23) & 0x1) == 0)
a06ea964
NC
17325 {
17326 /* 33222222222211111111110000000000
17327 10987654321098765432109876543210
e9dbdd80
TC
17328 xx101110010xxxxx0xx011xxxxxxxxxx
17329 facge. */
193614f2 17330 return 351;
a06ea964
NC
17331 }
17332 else
17333 {
17334 /* 33222222222211111111110000000000
17335 10987654321098765432109876543210
e9dbdd80
TC
17336 xx101110110xxxxx0xx011xxxxxxxxxx
17337 facgt. */
193614f2 17338 return 365;
a06ea964
NC
17339 }
17340 }
17341 else
17342 {
e9dbdd80 17343 if (((word >> 13) & 0x1) == 0)
a06ea964
NC
17344 {
17345 /* 33222222222211111111110000000000
17346 10987654321098765432109876543210
e9dbdd80
TC
17347 xx101110x10xxxxx0x0111xxxxxxxxxx
17348 fmul. */
193614f2 17349 return 347;
a06ea964
NC
17350 }
17351 else
17352 {
17353 /* 33222222222211111111110000000000
17354 10987654321098765432109876543210
e9dbdd80
TC
17355 xx101110x10xxxxx0x1111xxxxxxxxxx
17356 fdiv. */
193614f2 17357 return 355;
a06ea964
NC
17358 }
17359 }
17360 }
17361 }
e9dbdd80
TC
17362 }
17363 else
17364 {
17365 if (((word >> 13) & 0x1) == 0)
a06ea964 17366 {
e9dbdd80 17367 if (((word >> 14) & 0x1) == 0)
a06ea964 17368 {
e9dbdd80 17369 if (((word >> 11) & 0x1) == 0)
a06ea964 17370 {
e9dbdd80 17371 if (((word >> 12) & 0x1) == 0)
a06ea964 17372 {
e9dbdd80
TC
17373 /* 33222222222211111111110000000000
17374 10987654321098765432109876543210
17375 xx101110xx0xxxxx100001xxxxxxxxxx
17376 sqrdmlah. */
193614f2 17377 return 370;
a06ea964
NC
17378 }
17379 else
17380 {
e9dbdd80
TC
17381 /* 33222222222211111111110000000000
17382 10987654321098765432109876543210
17383 xx101110xx0xxxxx100101xxxxxxxxxx
17384 udot. */
01a4d082 17385 return 2403;
a06ea964
NC
17386 }
17387 }
17388 else
17389 {
e9dbdd80
TC
17390 /* 33222222222211111111110000000000
17391 10987654321098765432109876543210
17392 xx101110xx0xxxxx100x11xxxxxxxxxx
17393 sqrdmlsh. */
193614f2 17394 return 371;
a06ea964
NC
17395 }
17396 }
17397 else
17398 {
e9dbdd80
TC
17399 /* 33222222222211111111110000000000
17400 10987654321098765432109876543210
17401 xx101110xx0xxxxx110xx1xxxxxxxxxx
17402 fcmla. */
193614f2 17403 return 372;
a06ea964
NC
17404 }
17405 }
e9dbdd80
TC
17406 else
17407 {
df678013
MM
17408 if (((word >> 11) & 0x1) == 0)
17409 {
8382113f
MM
17410 if (((word >> 14) & 0x1) == 0)
17411 {
17412 /* 33222222222211111111110000000000
17413 10987654321098765432109876543210
17414 xx101110xx0xxxxx101x01xxxxxxxxxx
17415 ummla. */
01a4d082 17416 return 2479;
8382113f
MM
17417 }
17418 else
17419 {
17420 /* 33222222222211111111110000000000
17421 10987654321098765432109876543210
17422 xx101110xx0xxxxx111x01xxxxxxxxxx
17423 fcadd. */
17424 return 373;
17425 }
df678013
MM
17426 }
17427 else
17428 {
17429 if (((word >> 12) & 0x1) == 0)
17430 {
17431 /* 33222222222211111111110000000000
17432 10987654321098765432109876543210
17433 xx101110xx0xxxxx1x1011xxxxxxxxxx
17434 bfmmla. */
01a4d082 17435 return 2495;
df678013
MM
17436 }
17437 else
17438 {
17439 if (((word >> 23) & 0x1) == 0)
17440 {
17441 /* 33222222222211111111110000000000
17442 10987654321098765432109876543210
17443 xx1011100x0xxxxx1x1111xxxxxxxxxx
17444 bfdot. */
01a4d082 17445 return 2493;
df678013
MM
17446 }
17447 else
17448 {
17449 if (((word >> 30) & 0x1) == 0)
17450 {
17451 /* 33222222222211111111110000000000
17452 10987654321098765432109876543210
17453 x01011101x0xxxxx1x1111xxxxxxxxxx
17454 bfmlalb. */
01a4d082 17455 return 2500;
df678013
MM
17456 }
17457 else
17458 {
17459 /* 33222222222211111111110000000000
17460 10987654321098765432109876543210
17461 x11011101x0xxxxx1x1111xxxxxxxxxx
17462 bfmlalt. */
01a4d082 17463 return 2499;
df678013
MM
17464 }
17465 }
17466 }
17467 }
e9dbdd80 17468 }
a06ea964 17469 }
e9dbdd80
TC
17470 }
17471 }
17472 }
17473 else
17474 {
17475 if (((word >> 29) & 0x1) == 0)
17476 {
17477 if (((word >> 30) & 0x1) == 0)
17478 {
17479 if (((word >> 16) & 0x1) == 0)
a06ea964 17480 {
e9dbdd80 17481 if (((word >> 17) & 0x1) == 0)
a06ea964 17482 {
e9dbdd80
TC
17483 /* 33222222222211111111110000000000
17484 10987654321098765432109876543210
17485 x0011110xx0xxx00xxxxxxxxxxxxxxxx
17486 fcvtzs. */
09c1e68a 17487 return 764;
e9dbdd80
TC
17488 }
17489 else
17490 {
17491 /* 33222222222211111111110000000000
17492 10987654321098765432109876543210
17493 x0011110xx0xxx10xxxxxxxxxxxxxxxx
17494 scvtf. */
09c1e68a 17495 return 760;
e9dbdd80
TC
17496 }
17497 }
17498 else
17499 {
17500 if (((word >> 17) & 0x1) == 0)
17501 {
17502 /* 33222222222211111111110000000000
17503 10987654321098765432109876543210
17504 x0011110xx0xxx01xxxxxxxxxxxxxxxx
17505 fcvtzu. */
09c1e68a 17506 return 766;
e9dbdd80
TC
17507 }
17508 else
17509 {
17510 /* 33222222222211111111110000000000
17511 10987654321098765432109876543210
17512 x0011110xx0xxx11xxxxxxxxxxxxxxxx
17513 ucvtf. */
09c1e68a 17514 return 762;
e9dbdd80
TC
17515 }
17516 }
17517 }
17518 else
17519 {
17520 if (((word >> 10) & 0x1) == 0)
17521 {
17522 if (((word >> 12) & 0x1) == 0)
17523 {
17524 if (((word >> 13) & 0x1) == 0)
a06ea964 17525 {
e9dbdd80 17526 if (((word >> 14) & 0x1) == 0)
a06ea964 17527 {
e9dbdd80
TC
17528 /* 33222222222211111111110000000000
17529 10987654321098765432109876543210
17530 x1011110xx0xxxxxx000x0xxxxxxxxxx
17531 sha1c. */
193614f2 17532 return 678;
a06ea964
NC
17533 }
17534 else
17535 {
e9dbdd80
TC
17536 /* 33222222222211111111110000000000
17537 10987654321098765432109876543210
17538 x1011110xx0xxxxxx100x0xxxxxxxxxx
17539 sha256h. */
193614f2 17540 return 682;
a06ea964
NC
17541 }
17542 }
17543 else
17544 {
e9dbdd80 17545 if (((word >> 14) & 0x1) == 0)
a06ea964 17546 {
e9dbdd80
TC
17547 /* 33222222222211111111110000000000
17548 10987654321098765432109876543210
17549 x1011110xx0xxxxxx010x0xxxxxxxxxx
17550 sha1m. */
193614f2 17551 return 680;
a06ea964
NC
17552 }
17553 else
17554 {
e9dbdd80
TC
17555 /* 33222222222211111111110000000000
17556 10987654321098765432109876543210
17557 x1011110xx0xxxxxx110x0xxxxxxxxxx
17558 sha256su1. */
193614f2 17559 return 684;
a06ea964
NC
17560 }
17561 }
17562 }
17563 else
17564 {
e9dbdd80 17565 if (((word >> 13) & 0x1) == 0)
a06ea964 17566 {
e9dbdd80 17567 if (((word >> 14) & 0x1) == 0)
a06ea964 17568 {
e9dbdd80
TC
17569 /* 33222222222211111111110000000000
17570 10987654321098765432109876543210
17571 x1011110xx0xxxxxx001x0xxxxxxxxxx
17572 sha1p. */
193614f2 17573 return 679;
a06ea964
NC
17574 }
17575 else
17576 {
e9dbdd80
TC
17577 /* 33222222222211111111110000000000
17578 10987654321098765432109876543210
17579 x1011110xx0xxxxxx101x0xxxxxxxxxx
17580 sha256h2. */
193614f2 17581 return 683;
a06ea964
NC
17582 }
17583 }
17584 else
17585 {
e9dbdd80
TC
17586 /* 33222222222211111111110000000000
17587 10987654321098765432109876543210
17588 x1011110xx0xxxxxxx11x0xxxxxxxxxx
17589 sha1su0. */
193614f2 17590 return 681;
e9dbdd80
TC
17591 }
17592 }
17593 }
17594 else
17595 {
17596 if (((word >> 11) & 0x1) == 0)
17597 {
17598 if (((word >> 13) & 0x1) == 0)
17599 {
17600 /* 33222222222211111111110000000000
17601 10987654321098765432109876543210
17602 x1011110xx0xxxxxxx0x01xxxxxxxxxx
17603 dup. */
193614f2 17604 return 535;
e9dbdd80
TC
17605 }
17606 else
17607 {
17608 /* 33222222222211111111110000000000
17609 10987654321098765432109876543210
17610 x1011110xx0xxxxxxx1x01xxxxxxxxxx
17611 fcmeq. */
193614f2 17612 return 556;
e9dbdd80
TC
17613 }
17614 }
17615 else
17616 {
17617 if (((word >> 13) & 0x1) == 0)
17618 {
17619 /* 33222222222211111111110000000000
17620 10987654321098765432109876543210
17621 x1011110xx0xxxxxxx0x11xxxxxxxxxx
17622 fmulx. */
193614f2 17623 return 554;
e9dbdd80
TC
17624 }
17625 else
17626 {
17627 if (((word >> 23) & 0x1) == 0)
a06ea964 17628 {
e9dbdd80
TC
17629 /* 33222222222211111111110000000000
17630 10987654321098765432109876543210
17631 x10111100x0xxxxxxx1x11xxxxxxxxxx
17632 frecps. */
193614f2 17633 return 558;
a06ea964
NC
17634 }
17635 else
17636 {
e9dbdd80
TC
17637 /* 33222222222211111111110000000000
17638 10987654321098765432109876543210
17639 x10111101x0xxxxxxx1x11xxxxxxxxxx
17640 frsqrts. */
193614f2 17641 return 560;
a06ea964
NC
17642 }
17643 }
17644 }
17645 }
17646 }
e9dbdd80
TC
17647 }
17648 else
17649 {
17650 if (((word >> 11) & 0x1) == 0)
a06ea964 17651 {
e9dbdd80 17652 if (((word >> 12) & 0x1) == 0)
a06ea964
NC
17653 {
17654 if (((word >> 13) & 0x1) == 0)
17655 {
e9dbdd80
TC
17656 /* 33222222222211111111110000000000
17657 10987654321098765432109876543210
17658 xx111110xx0xxxxxxx000xxxxxxxxxxx
17659 sqrdmlah. */
193614f2 17660 return 588;
e9dbdd80
TC
17661 }
17662 else
17663 {
17664 if (((word >> 23) & 0x1) == 0)
a06ea964 17665 {
e9dbdd80
TC
17666 /* 33222222222211111111110000000000
17667 10987654321098765432109876543210
17668 xx1111100x0xxxxxxx100xxxxxxxxxxx
17669 fcmge. */
193614f2 17670 return 573;
e9dbdd80
TC
17671 }
17672 else
17673 {
17674 /* 33222222222211111111110000000000
17675 10987654321098765432109876543210
17676 xx1111101x0xxxxxxx100xxxxxxxxxxx
17677 fcmgt. */
193614f2 17678 return 579;
e9dbdd80
TC
17679 }
17680 }
17681 }
17682 else
17683 {
17684 /* 33222222222211111111110000000000
17685 10987654321098765432109876543210
17686 xx111110xx0xxxxxxxx10xxxxxxxxxxx
17687 fabd. */
193614f2 17688 return 577;
e9dbdd80
TC
17689 }
17690 }
17691 else
17692 {
17693 if (((word >> 13) & 0x1) == 0)
17694 {
17695 /* 33222222222211111111110000000000
17696 10987654321098765432109876543210
17697 xx111110xx0xxxxxxx0x1xxxxxxxxxxx
17698 sqrdmlsh. */
193614f2 17699 return 589;
e9dbdd80
TC
17700 }
17701 else
17702 {
17703 if (((word >> 23) & 0x1) == 0)
17704 {
17705 /* 33222222222211111111110000000000
17706 10987654321098765432109876543210
17707 xx1111100x0xxxxxxx1x1xxxxxxxxxxx
17708 facge. */
193614f2 17709 return 575;
e9dbdd80
TC
17710 }
17711 else
17712 {
17713 /* 33222222222211111111110000000000
17714 10987654321098765432109876543210
17715 xx1111101x0xxxxxxx1x1xxxxxxxxxxx
17716 facgt. */
193614f2 17717 return 581;
e9dbdd80
TC
17718 }
17719 }
17720 }
17721 }
17722 }
17723 }
17724 else
17725 {
17726 if (((word >> 28) & 0x1) == 0)
17727 {
17728 if (((word >> 15) & 0x1) == 0)
17729 {
17730 if (((word >> 29) & 0x1) == 0)
17731 {
17732 if (((word >> 31) & 0x1) == 0)
17733 {
17734 if (((word >> 10) & 0x1) == 0)
17735 {
17736 if (((word >> 11) & 0x1) == 0)
17737 {
17738 if (((word >> 12) & 0x1) == 0)
a06ea964 17739 {
e9dbdd80 17740 if (((word >> 13) & 0x1) == 0)
a06ea964 17741 {
e9dbdd80 17742 if (((word >> 14) & 0x1) == 0)
a06ea964 17743 {
e9dbdd80
TC
17744 if (((word >> 30) & 0x1) == 0)
17745 {
17746 /* 33222222222211111111110000000000
17747 10987654321098765432109876543210
17748 00001110xx1xxxxx000000xxxxxxxxxx
17749 saddl. */
193614f2 17750 return 44;
e9dbdd80
TC
17751 }
17752 else
17753 {
17754 /* 33222222222211111111110000000000
17755 10987654321098765432109876543210
17756 01001110xx1xxxxx000000xxxxxxxxxx
17757 saddl2. */
193614f2 17758 return 45;
e9dbdd80 17759 }
a06ea964
NC
17760 }
17761 else
17762 {
e9dbdd80
TC
17763 if (((word >> 30) & 0x1) == 0)
17764 {
17765 /* 33222222222211111111110000000000
17766 10987654321098765432109876543210
17767 00001110xx1xxxxx010000xxxxxxxxxx
17768 addhn. */
193614f2 17769 return 52;
e9dbdd80
TC
17770 }
17771 else
17772 {
17773 /* 33222222222211111111110000000000
17774 10987654321098765432109876543210
17775 01001110xx1xxxxx010000xxxxxxxxxx
17776 addhn2. */
193614f2 17777 return 53;
e9dbdd80 17778 }
a06ea964
NC
17779 }
17780 }
17781 else
17782 {
e9dbdd80 17783 if (((word >> 14) & 0x1) == 0)
a06ea964 17784 {
e9dbdd80
TC
17785 if (((word >> 30) & 0x1) == 0)
17786 {
17787 /* 33222222222211111111110000000000
17788 10987654321098765432109876543210
17789 00001110xx1xxxxx001000xxxxxxxxxx
17790 ssubl. */
193614f2 17791 return 48;
e9dbdd80
TC
17792 }
17793 else
17794 {
17795 /* 33222222222211111111110000000000
17796 10987654321098765432109876543210
17797 01001110xx1xxxxx001000xxxxxxxxxx
17798 ssubl2. */
193614f2 17799 return 49;
e9dbdd80 17800 }
a06ea964
NC
17801 }
17802 else
17803 {
e9dbdd80
TC
17804 if (((word >> 30) & 0x1) == 0)
17805 {
17806 /* 33222222222211111111110000000000
17807 10987654321098765432109876543210
17808 00001110xx1xxxxx011000xxxxxxxxxx
17809 subhn. */
193614f2 17810 return 56;
e9dbdd80
TC
17811 }
17812 else
17813 {
17814 /* 33222222222211111111110000000000
17815 10987654321098765432109876543210
17816 01001110xx1xxxxx011000xxxxxxxxxx
17817 subhn2. */
193614f2 17818 return 57;
e9dbdd80 17819 }
a06ea964
NC
17820 }
17821 }
17822 }
17823 else
17824 {
e9dbdd80 17825 if (((word >> 13) & 0x1) == 0)
a06ea964 17826 {
e9dbdd80 17827 if (((word >> 14) & 0x1) == 0)
a06ea964 17828 {
e9dbdd80
TC
17829 if (((word >> 30) & 0x1) == 0)
17830 {
17831 /* 33222222222211111111110000000000
17832 10987654321098765432109876543210
17833 00001110xx1xxxxx000100xxxxxxxxxx
17834 saddw. */
193614f2 17835 return 46;
e9dbdd80
TC
17836 }
17837 else
17838 {
17839 /* 33222222222211111111110000000000
17840 10987654321098765432109876543210
17841 01001110xx1xxxxx000100xxxxxxxxxx
17842 saddw2. */
193614f2 17843 return 47;
e9dbdd80 17844 }
a06ea964
NC
17845 }
17846 else
17847 {
e9dbdd80
TC
17848 if (((word >> 30) & 0x1) == 0)
17849 {
17850 /* 33222222222211111111110000000000
17851 10987654321098765432109876543210
17852 00001110xx1xxxxx010100xxxxxxxxxx
17853 sabal. */
193614f2 17854 return 54;
e9dbdd80
TC
17855 }
17856 else
17857 {
17858 /* 33222222222211111111110000000000
17859 10987654321098765432109876543210
17860 01001110xx1xxxxx010100xxxxxxxxxx
17861 sabal2. */
193614f2 17862 return 55;
e9dbdd80 17863 }
a06ea964
NC
17864 }
17865 }
17866 else
17867 {
e9dbdd80 17868 if (((word >> 14) & 0x1) == 0)
a06ea964 17869 {
e9dbdd80
TC
17870 if (((word >> 30) & 0x1) == 0)
17871 {
17872 /* 33222222222211111111110000000000
17873 10987654321098765432109876543210
17874 00001110xx1xxxxx001100xxxxxxxxxx
17875 ssubw. */
193614f2 17876 return 50;
e9dbdd80
TC
17877 }
17878 else
17879 {
17880 /* 33222222222211111111110000000000
17881 10987654321098765432109876543210
17882 01001110xx1xxxxx001100xxxxxxxxxx
17883 ssubw2. */
193614f2 17884 return 51;
e9dbdd80 17885 }
a06ea964
NC
17886 }
17887 else
17888 {
e9dbdd80
TC
17889 if (((word >> 30) & 0x1) == 0)
17890 {
17891 /* 33222222222211111111110000000000
17892 10987654321098765432109876543210
17893 00001110xx1xxxxx011100xxxxxxxxxx
17894 sabdl. */
193614f2 17895 return 58;
e9dbdd80
TC
17896 }
17897 else
17898 {
17899 /* 33222222222211111111110000000000
17900 10987654321098765432109876543210
17901 01001110xx1xxxxx011100xxxxxxxxxx
17902 sabdl2. */
193614f2 17903 return 59;
e9dbdd80 17904 }
a06ea964
NC
17905 }
17906 }
17907 }
a06ea964 17908 }
e9dbdd80 17909 else
a06ea964 17910 {
e9dbdd80 17911 if (((word >> 12) & 0x1) == 0)
a06ea964 17912 {
e9dbdd80 17913 if (((word >> 13) & 0x1) == 0)
a06ea964 17914 {
e9dbdd80 17915 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
17916 {
17917 /* 33222222222211111111110000000000
17918 10987654321098765432109876543210
e9dbdd80
TC
17919 0x001110xx1xxxxx000010xxxxxxxxxx
17920 rev64. */
193614f2 17921 return 162;
a06ea964
NC
17922 }
17923 else
17924 {
e9dbdd80 17925 if (((word >> 16) & 0x1) == 0)
a06ea964 17926 {
e9dbdd80 17927 if (((word >> 19) & 0x1) == 0)
f3aa142b
MW
17928 {
17929 /* 33222222222211111111110000000000
17930 10987654321098765432109876543210
e9dbdd80
TC
17931 0x001110xx1x0xx0010010xxxxxxxxxx
17932 cls. */
193614f2 17933 return 166;
f3aa142b
MW
17934 }
17935 else
17936 {
17937 /* 33222222222211111111110000000000
17938 10987654321098765432109876543210
e9dbdd80
TC
17939 0x001110xx1x1xx0010010xxxxxxxxxx
17940 aese. */
193614f2 17941 return 671;
f3aa142b 17942 }
a06ea964
NC
17943 }
17944 else
e9dbdd80
TC
17945 {
17946 if (((word >> 30) & 0x1) == 0)
17947 {
17948 /* 33222222222211111111110000000000
17949 10987654321098765432109876543210
17950 00001110xx1xxxx1010010xxxxxxxxxx
17951 sqxtn. */
193614f2 17952 return 176;
e9dbdd80
TC
17953 }
17954 else
17955 {
17956 /* 33222222222211111111110000000000
17957 10987654321098765432109876543210
17958 01001110xx1xxxx1010010xxxxxxxxxx
17959 sqxtn2. */
193614f2 17960 return 177;
e9dbdd80
TC
17961 }
17962 }
17963 }
17964 }
17965 else
17966 {
17967 if (((word >> 14) & 0x1) == 0)
17968 {
17969 if (((word >> 16) & 0x1) == 0)
a06ea964
NC
17970 {
17971 /* 33222222222211111111110000000000
17972 10987654321098765432109876543210
e9dbdd80
TC
17973 0x001110xx1xxxx0001010xxxxxxxxxx
17974 saddlp. */
193614f2 17975 return 164;
e9dbdd80
TC
17976 }
17977 else
17978 {
17979 if (((word >> 30) & 0x1) == 0)
17980 {
17981 /* 33222222222211111111110000000000
17982 10987654321098765432109876543210
17983 00001110xx1xxxx1001010xxxxxxxxxx
17984 xtn. */
193614f2 17985 return 174;
e9dbdd80
TC
17986 }
17987 else
17988 {
17989 /* 33222222222211111111110000000000
17990 10987654321098765432109876543210
17991 01001110xx1xxxx1001010xxxxxxxxxx
17992 xtn2. */
193614f2 17993 return 175;
e9dbdd80 17994 }
a06ea964
NC
17995 }
17996 }
17997 else
17998 {
e9dbdd80 17999 if (((word >> 16) & 0x1) == 0)
f3aa142b 18000 {
e9dbdd80 18001 if (((word >> 19) & 0x1) == 0)
f3aa142b
MW
18002 {
18003 /* 33222222222211111111110000000000
18004 10987654321098765432109876543210
e9dbdd80
TC
18005 0x001110xx1x0xx0011010xxxxxxxxxx
18006 sadalp. */
193614f2 18007 return 168;
f3aa142b
MW
18008 }
18009 else
18010 {
18011 /* 33222222222211111111110000000000
18012 10987654321098765432109876543210
e9dbdd80
TC
18013 0x001110xx1x1xx0011010xxxxxxxxxx
18014 aesmc. */
193614f2 18015 return 673;
f3aa142b
MW
18016 }
18017 }
18018 else
18019 {
df678013 18020 if (((word >> 23) & 0x1) == 0)
e9dbdd80 18021 {
df678013
MM
18022 if (((word >> 30) & 0x1) == 0)
18023 {
18024 /* 33222222222211111111110000000000
18025 10987654321098765432109876543210
18026 000011100x1xxxx1011010xxxxxxxxxx
18027 fcvtn. */
18028 return 178;
18029 }
18030 else
18031 {
18032 /* 33222222222211111111110000000000
18033 10987654321098765432109876543210
18034 010011100x1xxxx1011010xxxxxxxxxx
18035 fcvtn2. */
18036 return 179;
18037 }
e9dbdd80
TC
18038 }
18039 else
18040 {
df678013
MM
18041 if (((word >> 30) & 0x1) == 0)
18042 {
18043 /* 33222222222211111111110000000000
18044 10987654321098765432109876543210
18045 000011101x1xxxx1011010xxxxxxxxxx
18046 bfcvtn. */
01a4d082 18047 return 2496;
df678013
MM
18048 }
18049 else
18050 {
18051 /* 33222222222211111111110000000000
18052 10987654321098765432109876543210
18053 010011101x1xxxx1011010xxxxxxxxxx
18054 bfcvtn2. */
01a4d082 18055 return 2497;
df678013 18056 }
e9dbdd80 18057 }
f3aa142b 18058 }
a06ea964
NC
18059 }
18060 }
18061 }
18062 else
18063 {
e9dbdd80 18064 if (((word >> 13) & 0x1) == 0)
a06ea964 18065 {
e9dbdd80 18066 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
18067 {
18068 /* 33222222222211111111110000000000
18069 10987654321098765432109876543210
e9dbdd80
TC
18070 0x001110xx1xxxxx000110xxxxxxxxxx
18071 rev16. */
193614f2 18072 return 163;
a06ea964
NC
18073 }
18074 else
18075 {
e9dbdd80
TC
18076 if (((word >> 19) & 0x1) == 0)
18077 {
18078 /* 33222222222211111111110000000000
18079 10987654321098765432109876543210
18080 0x001110xx1x0xxx010110xxxxxxxxxx
18081 cnt. */
193614f2 18082 return 167;
e9dbdd80
TC
18083 }
18084 else
18085 {
18086 /* 33222222222211111111110000000000
18087 10987654321098765432109876543210
18088 0x001110xx1x1xxx010110xxxxxxxxxx
18089 aesd. */
193614f2 18090 return 672;
e9dbdd80 18091 }
a06ea964
NC
18092 }
18093 }
18094 else
18095 {
e9dbdd80 18096 if (((word >> 14) & 0x1) == 0)
a06ea964 18097 {
e9dbdd80 18098 if (((word >> 20) & 0x1) == 0)
a06ea964
NC
18099 {
18100 /* 33222222222211111111110000000000
18101 10987654321098765432109876543210
e9dbdd80
TC
18102 0x001110xx10xxxx001110xxxxxxxxxx
18103 suqadd. */
193614f2 18104 return 165;
a06ea964
NC
18105 }
18106 else
18107 {
18108 /* 33222222222211111111110000000000
18109 10987654321098765432109876543210
e9dbdd80
TC
18110 0x001110xx11xxxx001110xxxxxxxxxx
18111 saddlv. */
193614f2 18112 return 29;
e9dbdd80
TC
18113 }
18114 }
18115 else
18116 {
18117 if (((word >> 16) & 0x1) == 0)
18118 {
18119 if (((word >> 19) & 0x1) == 0)
18120 {
18121 /* 33222222222211111111110000000000
18122 10987654321098765432109876543210
18123 0x001110xx1x0xx0011110xxxxxxxxxx
18124 sqabs. */
193614f2 18125 return 169;
e9dbdd80
TC
18126 }
18127 else
18128 {
18129 /* 33222222222211111111110000000000
18130 10987654321098765432109876543210
18131 0x001110xx1x1xx0011110xxxxxxxxxx
18132 aesimc. */
193614f2 18133 return 674;
e9dbdd80
TC
18134 }
18135 }
18136 else
18137 {
18138 if (((word >> 30) & 0x1) == 0)
18139 {
18140 /* 33222222222211111111110000000000
18141 10987654321098765432109876543210
18142 00001110xx1xxxx1011110xxxxxxxxxx
18143 fcvtl. */
193614f2 18144 return 180;
e9dbdd80
TC
18145 }
18146 else
18147 {
18148 /* 33222222222211111111110000000000
18149 10987654321098765432109876543210
18150 01001110xx1xxxx1011110xxxxxxxxxx
18151 fcvtl2. */
193614f2 18152 return 181;
e9dbdd80 18153 }
a06ea964
NC
18154 }
18155 }
e9dbdd80
TC
18156 }
18157 }
18158 }
18159 }
18160 else
18161 {
18162 if (((word >> 11) & 0x1) == 0)
18163 {
18164 if (((word >> 12) & 0x1) == 0)
18165 {
18166 if (((word >> 13) & 0x1) == 0)
18167 {
18168 if (((word >> 14) & 0x1) == 0)
18169 {
18170 /* 33222222222211111111110000000000
18171 10987654321098765432109876543210
18172 0x001110xx1xxxxx000001xxxxxxxxxx
18173 shadd. */
193614f2 18174 return 268;
e9dbdd80 18175 }
a06ea964
NC
18176 else
18177 {
18178 /* 33222222222211111111110000000000
18179 10987654321098765432109876543210
e9dbdd80
TC
18180 0x001110xx1xxxxx010001xxxxxxxxxx
18181 sshl. */
193614f2 18182 return 275;
a06ea964
NC
18183 }
18184 }
18185 else
18186 {
e9dbdd80 18187 if (((word >> 14) & 0x1) == 0)
a06ea964 18188 {
e9dbdd80
TC
18189 /* 33222222222211111111110000000000
18190 10987654321098765432109876543210
18191 0x001110xx1xxxxx001001xxxxxxxxxx
18192 shsub. */
193614f2 18193 return 271;
a06ea964
NC
18194 }
18195 else
18196 {
e9dbdd80
TC
18197 /* 33222222222211111111110000000000
18198 10987654321098765432109876543210
18199 0x001110xx1xxxxx011001xxxxxxxxxx
18200 smax. */
193614f2 18201 return 279;
a06ea964
NC
18202 }
18203 }
18204 }
18205 else
18206 {
e9dbdd80 18207 if (((word >> 13) & 0x1) == 0)
a06ea964 18208 {
e9dbdd80 18209 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
18210 {
18211 /* 33222222222211111111110000000000
18212 10987654321098765432109876543210
e9dbdd80
TC
18213 0x001110xx1xxxxx000101xxxxxxxxxx
18214 srhadd. */
193614f2 18215 return 270;
a06ea964
NC
18216 }
18217 else
18218 {
18219 /* 33222222222211111111110000000000
18220 10987654321098765432109876543210
e9dbdd80
TC
18221 0x001110xx1xxxxx010101xxxxxxxxxx
18222 srshl. */
193614f2 18223 return 277;
a06ea964
NC
18224 }
18225 }
18226 else
18227 {
e9dbdd80
TC
18228 if (((word >> 14) & 0x1) == 0)
18229 {
18230 /* 33222222222211111111110000000000
18231 10987654321098765432109876543210
18232 0x001110xx1xxxxx001101xxxxxxxxxx
18233 cmgt. */
193614f2 18234 return 273;
e9dbdd80
TC
18235 }
18236 else
18237 {
18238 /* 33222222222211111111110000000000
18239 10987654321098765432109876543210
18240 0x001110xx1xxxxx011101xxxxxxxxxx
18241 sabd. */
193614f2 18242 return 281;
e9dbdd80 18243 }
a06ea964
NC
18244 }
18245 }
18246 }
18247 else
18248 {
e9dbdd80 18249 if (((word >> 12) & 0x1) == 0)
a06ea964 18250 {
e9dbdd80 18251 if (((word >> 13) & 0x1) == 0)
a06ea964 18252 {
e9dbdd80
TC
18253 if (((word >> 14) & 0x1) == 0)
18254 {
18255 /* 33222222222211111111110000000000
18256 10987654321098765432109876543210
18257 0x001110xx1xxxxx000011xxxxxxxxxx
18258 sqadd. */
193614f2 18259 return 269;
e9dbdd80
TC
18260 }
18261 else
18262 {
18263 /* 33222222222211111111110000000000
18264 10987654321098765432109876543210
18265 0x001110xx1xxxxx010011xxxxxxxxxx
18266 sqshl. */
193614f2 18267 return 276;
e9dbdd80
TC
18268 }
18269 }
18270 else
18271 {
18272 if (((word >> 14) & 0x1) == 0)
18273 {
18274 /* 33222222222211111111110000000000
18275 10987654321098765432109876543210
18276 0x001110xx1xxxxx001011xxxxxxxxxx
18277 sqsub. */
193614f2 18278 return 272;
e9dbdd80
TC
18279 }
18280 else
18281 {
18282 /* 33222222222211111111110000000000
18283 10987654321098765432109876543210
18284 0x001110xx1xxxxx011011xxxxxxxxxx
18285 smin. */
193614f2 18286 return 280;
e9dbdd80
TC
18287 }
18288 }
18289 }
18290 else
18291 {
18292 if (((word >> 13) & 0x1) == 0)
18293 {
18294 if (((word >> 14) & 0x1) == 0)
a06ea964 18295 {
e9dbdd80 18296 if (((word >> 22) & 0x1) == 0)
a06ea964 18297 {
e9dbdd80 18298 if (((word >> 23) & 0x1) == 0)
f3aa142b
MW
18299 {
18300 /* 33222222222211111111110000000000
18301 10987654321098765432109876543210
e9dbdd80
TC
18302 0x001110001xxxxx000111xxxxxxxxxx
18303 and. */
193614f2 18304 return 305;
f3aa142b
MW
18305 }
18306 else
18307 {
18308 /* 33222222222211111111110000000000
18309 10987654321098765432109876543210
e9dbdd80
TC
18310 0x001110101xxxxx000111xxxxxxxxxx
18311 orr. */
193614f2 18312 return 317;
f3aa142b 18313 }
a06ea964
NC
18314 }
18315 else
18316 {
e9dbdd80 18317 if (((word >> 23) & 0x1) == 0)
f3aa142b
MW
18318 {
18319 /* 33222222222211111111110000000000
18320 10987654321098765432109876543210
e9dbdd80
TC
18321 0x001110011xxxxx000111xxxxxxxxxx
18322 bic. */
193614f2 18323 return 306;
f3aa142b
MW
18324 }
18325 else
18326 {
18327 /* 33222222222211111111110000000000
18328 10987654321098765432109876543210
e9dbdd80
TC
18329 0x001110111xxxxx000111xxxxxxxxxx
18330 orn. */
193614f2 18331 return 319;
f3aa142b 18332 }
a06ea964
NC
18333 }
18334 }
18335 else
18336 {
e9dbdd80
TC
18337 /* 33222222222211111111110000000000
18338 10987654321098765432109876543210
18339 0x001110xx1xxxxx010111xxxxxxxxxx
18340 sqrshl. */
193614f2 18341 return 278;
a06ea964
NC
18342 }
18343 }
18344 else
f3aa142b 18345 {
e9dbdd80 18346 if (((word >> 14) & 0x1) == 0)
f3aa142b 18347 {
e9dbdd80
TC
18348 /* 33222222222211111111110000000000
18349 10987654321098765432109876543210
18350 0x001110xx1xxxxx001111xxxxxxxxxx
18351 cmge. */
193614f2 18352 return 274;
a06ea964
NC
18353 }
18354 else
18355 {
e9dbdd80
TC
18356 /* 33222222222211111111110000000000
18357 10987654321098765432109876543210
18358 0x001110xx1xxxxx011111xxxxxxxxxx
18359 saba. */
193614f2 18360 return 282;
a06ea964
NC
18361 }
18362 }
18363 }
18364 }
18365 }
18366 }
18367 else
18368 {
e9dbdd80
TC
18369 /* 33222222222211111111110000000000
18370 10987654321098765432109876543210
18371 1x001110xx1xxxxx0xxxxxxxxxxxxxxx
18372 bcax. */
01a4d082 18373 return 2414;
e9dbdd80
TC
18374 }
18375 }
18376 else
18377 {
18378 if (((word >> 10) & 0x1) == 0)
18379 {
18380 if (((word >> 11) & 0x1) == 0)
a06ea964 18381 {
e9dbdd80 18382 if (((word >> 12) & 0x1) == 0)
a06ea964 18383 {
e9dbdd80 18384 if (((word >> 13) & 0x1) == 0)
a06ea964 18385 {
e9dbdd80 18386 if (((word >> 14) & 0x1) == 0)
a06ea964 18387 {
e9dbdd80 18388 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
18389 {
18390 /* 33222222222211111111110000000000
18391 10987654321098765432109876543210
e9dbdd80
TC
18392 x0101110xx1xxxxx000000xxxxxxxxxx
18393 uaddl. */
193614f2 18394 return 76;
a06ea964
NC
18395 }
18396 else
18397 {
18398 /* 33222222222211111111110000000000
18399 10987654321098765432109876543210
e9dbdd80
TC
18400 x1101110xx1xxxxx000000xxxxxxxxxx
18401 uaddl2. */
193614f2 18402 return 77;
a06ea964
NC
18403 }
18404 }
18405 else
18406 {
e9dbdd80 18407 if (((word >> 30) & 0x1) == 0)
a06ea964 18408 {
e9dbdd80
TC
18409 /* 33222222222211111111110000000000
18410 10987654321098765432109876543210
18411 x0101110xx1xxxxx010000xxxxxxxxxx
18412 raddhn. */
193614f2 18413 return 84;
a06ea964
NC
18414 }
18415 else
18416 {
e9dbdd80
TC
18417 /* 33222222222211111111110000000000
18418 10987654321098765432109876543210
18419 x1101110xx1xxxxx010000xxxxxxxxxx
18420 raddhn2. */
193614f2 18421 return 85;
a06ea964
NC
18422 }
18423 }
18424 }
18425 else
18426 {
e9dbdd80 18427 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
18428 {
18429 if (((word >> 30) & 0x1) == 0)
18430 {
18431 /* 33222222222211111111110000000000
18432 10987654321098765432109876543210
e9dbdd80
TC
18433 x0101110xx1xxxxx001000xxxxxxxxxx
18434 usubl. */
193614f2 18435 return 80;
a06ea964
NC
18436 }
18437 else
18438 {
18439 /* 33222222222211111111110000000000
18440 10987654321098765432109876543210
e9dbdd80
TC
18441 x1101110xx1xxxxx001000xxxxxxxxxx
18442 usubl2. */
193614f2 18443 return 81;
a06ea964
NC
18444 }
18445 }
18446 else
18447 {
e9dbdd80
TC
18448 if (((word >> 30) & 0x1) == 0)
18449 {
18450 /* 33222222222211111111110000000000
18451 10987654321098765432109876543210
18452 x0101110xx1xxxxx011000xxxxxxxxxx
18453 rsubhn. */
193614f2 18454 return 88;
e9dbdd80
TC
18455 }
18456 else
18457 {
18458 /* 33222222222211111111110000000000
18459 10987654321098765432109876543210
18460 x1101110xx1xxxxx011000xxxxxxxxxx
18461 rsubhn2. */
193614f2 18462 return 89;
e9dbdd80 18463 }
a06ea964
NC
18464 }
18465 }
18466 }
18467 else
18468 {
e9dbdd80 18469 if (((word >> 13) & 0x1) == 0)
a06ea964 18470 {
e9dbdd80 18471 if (((word >> 14) & 0x1) == 0)
a06ea964 18472 {
e9dbdd80 18473 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
18474 {
18475 /* 33222222222211111111110000000000
18476 10987654321098765432109876543210
e9dbdd80
TC
18477 x0101110xx1xxxxx000100xxxxxxxxxx
18478 uaddw. */
193614f2 18479 return 78;
a06ea964
NC
18480 }
18481 else
18482 {
18483 /* 33222222222211111111110000000000
18484 10987654321098765432109876543210
e9dbdd80
TC
18485 x1101110xx1xxxxx000100xxxxxxxxxx
18486 uaddw2. */
193614f2 18487 return 79;
a06ea964
NC
18488 }
18489 }
18490 else
18491 {
e9dbdd80 18492 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
18493 {
18494 /* 33222222222211111111110000000000
18495 10987654321098765432109876543210
e9dbdd80
TC
18496 x0101110xx1xxxxx010100xxxxxxxxxx
18497 uabal. */
193614f2 18498 return 86;
a06ea964
NC
18499 }
18500 else
18501 {
18502 /* 33222222222211111111110000000000
18503 10987654321098765432109876543210
e9dbdd80
TC
18504 x1101110xx1xxxxx010100xxxxxxxxxx
18505 uabal2. */
193614f2 18506 return 87;
a06ea964
NC
18507 }
18508 }
18509 }
18510 else
18511 {
e9dbdd80 18512 if (((word >> 14) & 0x1) == 0)
a06ea964 18513 {
e9dbdd80 18514 if (((word >> 30) & 0x1) == 0)
a06ea964 18515 {
e9dbdd80
TC
18516 /* 33222222222211111111110000000000
18517 10987654321098765432109876543210
18518 x0101110xx1xxxxx001100xxxxxxxxxx
18519 usubw. */
193614f2 18520 return 82;
a06ea964
NC
18521 }
18522 else
18523 {
e9dbdd80
TC
18524 /* 33222222222211111111110000000000
18525 10987654321098765432109876543210
18526 x1101110xx1xxxxx001100xxxxxxxxxx
18527 usubw2. */
193614f2 18528 return 83;
a06ea964
NC
18529 }
18530 }
18531 else
18532 {
e9dbdd80 18533 if (((word >> 30) & 0x1) == 0)
a06ea964 18534 {
e9dbdd80
TC
18535 /* 33222222222211111111110000000000
18536 10987654321098765432109876543210
18537 x0101110xx1xxxxx011100xxxxxxxxxx
18538 uabdl. */
193614f2 18539 return 90;
a06ea964
NC
18540 }
18541 else
18542 {
e9dbdd80
TC
18543 /* 33222222222211111111110000000000
18544 10987654321098765432109876543210
18545 x1101110xx1xxxxx011100xxxxxxxxxx
18546 uabdl2. */
193614f2 18547 return 91;
a06ea964
NC
18548 }
18549 }
18550 }
18551 }
18552 }
18553 else
18554 {
e9dbdd80 18555 if (((word >> 12) & 0x1) == 0)
a06ea964 18556 {
e9dbdd80 18557 if (((word >> 13) & 0x1) == 0)
a06ea964 18558 {
e9dbdd80 18559 if (((word >> 14) & 0x1) == 0)
a06ea964 18560 {
e9dbdd80
TC
18561 /* 33222222222211111111110000000000
18562 10987654321098765432109876543210
18563 xx101110xx1xxxxx000010xxxxxxxxxx
18564 rev32. */
193614f2 18565 return 213;
e9dbdd80
TC
18566 }
18567 else
18568 {
18569 if (((word >> 16) & 0x1) == 0)
a06ea964 18570 {
e9dbdd80
TC
18571 /* 33222222222211111111110000000000
18572 10987654321098765432109876543210
18573 xx101110xx1xxxx0010010xxxxxxxxxx
18574 clz. */
193614f2 18575 return 216;
e9dbdd80
TC
18576 }
18577 else
18578 {
18579 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
18580 {
18581 /* 33222222222211111111110000000000
18582 10987654321098765432109876543210
e9dbdd80
TC
18583 x0101110xx1xxxx1010010xxxxxxxxxx
18584 uqxtn. */
193614f2 18585 return 226;
a06ea964
NC
18586 }
18587 else
18588 {
18589 /* 33222222222211111111110000000000
18590 10987654321098765432109876543210
e9dbdd80
TC
18591 x1101110xx1xxxx1010010xxxxxxxxxx
18592 uqxtn2. */
193614f2 18593 return 227;
a06ea964
NC
18594 }
18595 }
e9dbdd80
TC
18596 }
18597 }
18598 else
18599 {
18600 if (((word >> 14) & 0x1) == 0)
18601 {
18602 if (((word >> 16) & 0x1) == 0)
a06ea964
NC
18603 {
18604 /* 33222222222211111111110000000000
18605 10987654321098765432109876543210
e9dbdd80
TC
18606 xx101110xx1xxxx0001010xxxxxxxxxx
18607 uaddlp. */
193614f2 18608 return 214;
a06ea964 18609 }
e9dbdd80 18610 else
a06ea964
NC
18611 {
18612 if (((word >> 30) & 0x1) == 0)
18613 {
18614 /* 33222222222211111111110000000000
18615 10987654321098765432109876543210
e9dbdd80
TC
18616 x0101110xx1xxxx1001010xxxxxxxxxx
18617 sqxtun. */
193614f2 18618 return 222;
a06ea964
NC
18619 }
18620 else
18621 {
18622 /* 33222222222211111111110000000000
18623 10987654321098765432109876543210
e9dbdd80
TC
18624 x1101110xx1xxxx1001010xxxxxxxxxx
18625 sqxtun2. */
193614f2 18626 return 223;
a06ea964
NC
18627 }
18628 }
e9dbdd80
TC
18629 }
18630 else
18631 {
18632 if (((word >> 16) & 0x1) == 0)
18633 {
18634 /* 33222222222211111111110000000000
18635 10987654321098765432109876543210
18636 xx101110xx1xxxx0011010xxxxxxxxxx
18637 uadalp. */
193614f2 18638 return 217;
e9dbdd80 18639 }
a06ea964
NC
18640 else
18641 {
18642 if (((word >> 30) & 0x1) == 0)
18643 {
18644 /* 33222222222211111111110000000000
18645 10987654321098765432109876543210
7684e580 18646 x0101110xx1xxxx1011010xxxxxxxxxx
a06ea964 18647 fcvtxn. */
193614f2 18648 return 228;
a06ea964
NC
18649 }
18650 else
18651 {
18652 /* 33222222222211111111110000000000
18653 10987654321098765432109876543210
7684e580 18654 x1101110xx1xxxx1011010xxxxxxxxxx
a06ea964 18655 fcvtxn2. */
193614f2 18656 return 229;
a06ea964
NC
18657 }
18658 }
18659 }
18660 }
a06ea964
NC
18661 }
18662 else
18663 {
e9dbdd80 18664 if (((word >> 13) & 0x1) == 0)
a06ea964 18665 {
e9dbdd80 18666 if (((word >> 22) & 0x1) == 0)
f3aa142b
MW
18667 {
18668 /* 33222222222211111111110000000000
18669 10987654321098765432109876543210
e9dbdd80
TC
18670 xx101110x01xxxxx0x0110xxxxxxxxxx
18671 not. */
193614f2 18672 return 242;
f3aa142b
MW
18673 }
18674 else
18675 {
18676 /* 33222222222211111111110000000000
18677 10987654321098765432109876543210
e9dbdd80
TC
18678 xx101110x11xxxxx0x0110xxxxxxxxxx
18679 rbit. */
193614f2 18680 return 244;
f3aa142b 18681 }
a06ea964
NC
18682 }
18683 else
18684 {
e9dbdd80 18685 if (((word >> 14) & 0x1) == 0)
80776b29 18686 {
e9dbdd80
TC
18687 if (((word >> 16) & 0x1) == 0)
18688 {
18689 if (((word >> 20) & 0x1) == 0)
18690 {
18691 /* 33222222222211111111110000000000
18692 10987654321098765432109876543210
18693 xx101110xx10xxx0001110xxxxxxxxxx
18694 usqadd. */
193614f2 18695 return 215;
e9dbdd80
TC
18696 }
18697 else
18698 {
18699 /* 33222222222211111111110000000000
18700 10987654321098765432109876543210
18701 xx101110xx11xxx0001110xxxxxxxxxx
18702 uaddlv. */
193614f2 18703 return 33;
e9dbdd80
TC
18704 }
18705 }
18706 else
18707 {
18708 if (((word >> 30) & 0x1) == 0)
18709 {
18710 /* 33222222222211111111110000000000
18711 10987654321098765432109876543210
18712 x0101110xx1xxxx1001110xxxxxxxxxx
18713 shll. */
193614f2 18714 return 224;
e9dbdd80
TC
18715 }
18716 else
18717 {
18718 /* 33222222222211111111110000000000
18719 10987654321098765432109876543210
18720 x1101110xx1xxxx1001110xxxxxxxxxx
18721 shll2. */
193614f2 18722 return 225;
e9dbdd80
TC
18723 }
18724 }
18725 }
18726 else
18727 {
18728 /* 33222222222211111111110000000000
18729 10987654321098765432109876543210
18730 xx101110xx1xxxxx011110xxxxxxxxxx
18731 sqneg. */
193614f2 18732 return 218;
e9dbdd80 18733 }
a06ea964
NC
18734 }
18735 }
18736 }
18737 }
e9dbdd80 18738 else
a06ea964 18739 {
e9dbdd80 18740 if (((word >> 11) & 0x1) == 0)
a06ea964 18741 {
e9dbdd80 18742 if (((word >> 12) & 0x1) == 0)
a06ea964 18743 {
e9dbdd80 18744 if (((word >> 13) & 0x1) == 0)
a06ea964 18745 {
e9dbdd80
TC
18746 if (((word >> 14) & 0x1) == 0)
18747 {
18748 /* 33222222222211111111110000000000
18749 10987654321098765432109876543210
18750 xx101110xx1xxxxx000001xxxxxxxxxx
18751 uhadd. */
193614f2 18752 return 320;
e9dbdd80
TC
18753 }
18754 else
18755 {
18756 /* 33222222222211111111110000000000
18757 10987654321098765432109876543210
18758 xx101110xx1xxxxx010001xxxxxxxxxx
18759 ushl. */
193614f2 18760 return 327;
e9dbdd80 18761 }
a06ea964
NC
18762 }
18763 else
18764 {
e9dbdd80 18765 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
18766 {
18767 /* 33222222222211111111110000000000
18768 10987654321098765432109876543210
e9dbdd80
TC
18769 xx101110xx1xxxxx001001xxxxxxxxxx
18770 uhsub. */
193614f2 18771 return 323;
a06ea964
NC
18772 }
18773 else
18774 {
18775 /* 33222222222211111111110000000000
18776 10987654321098765432109876543210
e9dbdd80
TC
18777 xx101110xx1xxxxx011001xxxxxxxxxx
18778 umax. */
193614f2 18779 return 331;
a06ea964
NC
18780 }
18781 }
18782 }
18783 else
18784 {
e9dbdd80 18785 if (((word >> 13) & 0x1) == 0)
a06ea964 18786 {
e9dbdd80 18787 if (((word >> 14) & 0x1) == 0)
a06ea964 18788 {
e9dbdd80
TC
18789 /* 33222222222211111111110000000000
18790 10987654321098765432109876543210
18791 xx101110xx1xxxxx000101xxxxxxxxxx
18792 urhadd. */
193614f2 18793 return 322;
a06ea964
NC
18794 }
18795 else
18796 {
e9dbdd80
TC
18797 /* 33222222222211111111110000000000
18798 10987654321098765432109876543210
18799 xx101110xx1xxxxx010101xxxxxxxxxx
18800 urshl. */
193614f2 18801 return 329;
a06ea964
NC
18802 }
18803 }
18804 else
18805 {
e9dbdd80 18806 if (((word >> 14) & 0x1) == 0)
a06ea964 18807 {
e9dbdd80
TC
18808 /* 33222222222211111111110000000000
18809 10987654321098765432109876543210
18810 xx101110xx1xxxxx001101xxxxxxxxxx
18811 cmhi. */
193614f2 18812 return 325;
a06ea964
NC
18813 }
18814 else
18815 {
e9dbdd80
TC
18816 /* 33222222222211111111110000000000
18817 10987654321098765432109876543210
18818 xx101110xx1xxxxx011101xxxxxxxxxx
18819 uabd. */
193614f2 18820 return 333;
e9dbdd80
TC
18821 }
18822 }
18823 }
18824 }
18825 else
18826 {
18827 if (((word >> 12) & 0x1) == 0)
18828 {
18829 if (((word >> 13) & 0x1) == 0)
18830 {
18831 if (((word >> 14) & 0x1) == 0)
18832 {
18833 /* 33222222222211111111110000000000
18834 10987654321098765432109876543210
18835 xx101110xx1xxxxx000011xxxxxxxxxx
18836 uqadd. */
193614f2 18837 return 321;
e9dbdd80
TC
18838 }
18839 else
18840 {
18841 /* 33222222222211111111110000000000
18842 10987654321098765432109876543210
18843 xx101110xx1xxxxx010011xxxxxxxxxx
18844 uqshl. */
193614f2 18845 return 328;
e9dbdd80
TC
18846 }
18847 }
18848 else
18849 {
18850 if (((word >> 14) & 0x1) == 0)
18851 {
18852 /* 33222222222211111111110000000000
18853 10987654321098765432109876543210
18854 xx101110xx1xxxxx001011xxxxxxxxxx
18855 uqsub. */
193614f2 18856 return 324;
e9dbdd80
TC
18857 }
18858 else
18859 {
18860 /* 33222222222211111111110000000000
18861 10987654321098765432109876543210
18862 xx101110xx1xxxxx011011xxxxxxxxxx
18863 umin. */
193614f2 18864 return 332;
e9dbdd80
TC
18865 }
18866 }
18867 }
18868 else
18869 {
18870 if (((word >> 13) & 0x1) == 0)
18871 {
18872 if (((word >> 14) & 0x1) == 0)
18873 {
18874 if (((word >> 22) & 0x1) == 0)
a06ea964 18875 {
e9dbdd80 18876 if (((word >> 23) & 0x1) == 0)
f3aa142b
MW
18877 {
18878 /* 33222222222211111111110000000000
18879 10987654321098765432109876543210
e9dbdd80
TC
18880 xx101110001xxxxx000111xxxxxxxxxx
18881 eor. */
193614f2 18882 return 356;
f3aa142b
MW
18883 }
18884 else
18885 {
18886 /* 33222222222211111111110000000000
18887 10987654321098765432109876543210
e9dbdd80
TC
18888 xx101110101xxxxx000111xxxxxxxxxx
18889 bit. */
193614f2 18890 return 368;
f3aa142b 18891 }
a06ea964
NC
18892 }
18893 else
18894 {
e9dbdd80 18895 if (((word >> 23) & 0x1) == 0)
f3aa142b
MW
18896 {
18897 /* 33222222222211111111110000000000
18898 10987654321098765432109876543210
e9dbdd80
TC
18899 xx101110011xxxxx000111xxxxxxxxxx
18900 bsl. */
193614f2 18901 return 357;
f3aa142b
MW
18902 }
18903 else
18904 {
18905 /* 33222222222211111111110000000000
18906 10987654321098765432109876543210
e9dbdd80
TC
18907 xx101110111xxxxx000111xxxxxxxxxx
18908 bif. */
193614f2 18909 return 369;
f3aa142b 18910 }
a06ea964
NC
18911 }
18912 }
e9dbdd80
TC
18913 else
18914 {
18915 /* 33222222222211111111110000000000
18916 10987654321098765432109876543210
18917 xx101110xx1xxxxx010111xxxxxxxxxx
18918 uqrshl. */
193614f2 18919 return 330;
e9dbdd80 18920 }
a06ea964 18921 }
e9dbdd80 18922 else
a06ea964 18923 {
e9dbdd80 18924 if (((word >> 14) & 0x1) == 0)
a06ea964 18925 {
e9dbdd80
TC
18926 /* 33222222222211111111110000000000
18927 10987654321098765432109876543210
18928 xx101110xx1xxxxx001111xxxxxxxxxx
18929 cmhs. */
193614f2 18930 return 326;
e9dbdd80
TC
18931 }
18932 else
18933 {
18934 /* 33222222222211111111110000000000
18935 10987654321098765432109876543210
18936 xx101110xx1xxxxx011111xxxxxxxxxx
18937 uaba. */
193614f2 18938 return 334;
e9dbdd80
TC
18939 }
18940 }
18941 }
18942 }
18943 }
18944 }
18945 }
18946 else
18947 {
18948 if (((word >> 10) & 0x1) == 0)
18949 {
18950 if (((word >> 11) & 0x1) == 0)
18951 {
18952 if (((word >> 12) & 0x1) == 0)
18953 {
18954 if (((word >> 13) & 0x1) == 0)
18955 {
18956 if (((word >> 14) & 0x1) == 0)
18957 {
18958 if (((word >> 29) & 0x1) == 0)
18959 {
18960 if (((word >> 30) & 0x1) == 0)
18961 {
a06ea964
NC
18962 /* 33222222222211111111110000000000
18963 10987654321098765432109876543210
e9dbdd80
TC
18964 x0001110xx1xxxxx100000xxxxxxxxxx
18965 smlal. */
193614f2 18966 return 60;
a06ea964
NC
18967 }
18968 else
18969 {
e9dbdd80 18970 if (((word >> 31) & 0x1) == 0)
a06ea964
NC
18971 {
18972 /* 33222222222211111111110000000000
18973 10987654321098765432109876543210
e9dbdd80
TC
18974 01001110xx1xxxxx100000xxxxxxxxxx
18975 smlal2. */
193614f2 18976 return 61;
a06ea964
NC
18977 }
18978 else
18979 {
18980 /* 33222222222211111111110000000000
18981 10987654321098765432109876543210
e9dbdd80
TC
18982 11001110xx1xxxxx100000xxxxxxxxxx
18983 sha512h. */
01a4d082 18984 return 2407;
a06ea964
NC
18985 }
18986 }
18987 }
18988 else
18989 {
e9dbdd80
TC
18990 if (((word >> 30) & 0x1) == 0)
18991 {
18992 /* 33222222222211111111110000000000
18993 10987654321098765432109876543210
18994 x0101110xx1xxxxx100000xxxxxxxxxx
18995 umlal. */
193614f2 18996 return 92;
e9dbdd80
TC
18997 }
18998 else
18999 {
19000 /* 33222222222211111111110000000000
19001 10987654321098765432109876543210
19002 x1101110xx1xxxxx100000xxxxxxxxxx
19003 umlal2. */
193614f2 19004 return 93;
e9dbdd80 19005 }
a06ea964
NC
19006 }
19007 }
19008 else
19009 {
e9dbdd80 19010 if (((word >> 29) & 0x1) == 0)
a06ea964 19011 {
e9dbdd80 19012 if (((word >> 30) & 0x1) == 0)
a06ea964 19013 {
e9dbdd80
TC
19014 /* 33222222222211111111110000000000
19015 10987654321098765432109876543210
19016 x0001110xx1xxxxx110000xxxxxxxxxx
19017 smull. */
193614f2 19018 return 68;
a06ea964
NC
19019 }
19020 else
19021 {
e9dbdd80 19022 if (((word >> 31) & 0x1) == 0)
b195470d
MW
19023 {
19024 /* 33222222222211111111110000000000
19025 10987654321098765432109876543210
e9dbdd80
TC
19026 01001110xx1xxxxx110000xxxxxxxxxx
19027 smull2. */
193614f2 19028 return 69;
b195470d
MW
19029 }
19030 else
19031 {
19032 /* 33222222222211111111110000000000
19033 10987654321098765432109876543210
e9dbdd80
TC
19034 11001110xx1xxxxx110000xxxxxxxxxx
19035 sm3partw1. */
01a4d082 19036 return 2420;
b195470d 19037 }
a06ea964
NC
19038 }
19039 }
19040 else
19041 {
e9dbdd80 19042 if (((word >> 30) & 0x1) == 0)
f3aa142b 19043 {
e9dbdd80
TC
19044 /* 33222222222211111111110000000000
19045 10987654321098765432109876543210
19046 x0101110xx1xxxxx110000xxxxxxxxxx
19047 umull. */
193614f2 19048 return 96;
f3aa142b
MW
19049 }
19050 else
19051 {
e9dbdd80
TC
19052 /* 33222222222211111111110000000000
19053 10987654321098765432109876543210
19054 x1101110xx1xxxxx110000xxxxxxxxxx
19055 umull2. */
193614f2 19056 return 97;
f3aa142b 19057 }
a06ea964
NC
19058 }
19059 }
e9dbdd80
TC
19060 }
19061 else
19062 {
19063 if (((word >> 14) & 0x1) == 0)
a06ea964 19064 {
e9dbdd80 19065 if (((word >> 29) & 0x1) == 0)
a06ea964 19066 {
e9dbdd80 19067 if (((word >> 30) & 0x1) == 0)
a06ea964 19068 {
e9dbdd80
TC
19069 /* 33222222222211111111110000000000
19070 10987654321098765432109876543210
19071 x0001110xx1xxxxx101000xxxxxxxxxx
19072 smlsl. */
193614f2 19073 return 64;
a06ea964
NC
19074 }
19075 else
19076 {
e9dbdd80
TC
19077 /* 33222222222211111111110000000000
19078 10987654321098765432109876543210
19079 x1001110xx1xxxxx101000xxxxxxxxxx
19080 smlsl2. */
193614f2 19081 return 65;
a06ea964
NC
19082 }
19083 }
19084 else
19085 {
e9dbdd80 19086 if (((word >> 30) & 0x1) == 0)
a06ea964 19087 {
e9dbdd80
TC
19088 /* 33222222222211111111110000000000
19089 10987654321098765432109876543210
19090 x0101110xx1xxxxx101000xxxxxxxxxx
19091 umlsl. */
193614f2 19092 return 94;
a06ea964
NC
19093 }
19094 else
19095 {
e9dbdd80
TC
19096 /* 33222222222211111111110000000000
19097 10987654321098765432109876543210
19098 x1101110xx1xxxxx101000xxxxxxxxxx
19099 umlsl2. */
193614f2 19100 return 95;
a06ea964
NC
19101 }
19102 }
19103 }
e9dbdd80 19104 else
a06ea964 19105 {
e9dbdd80 19106 if (((word >> 22) & 0x1) == 0)
a06ea964
NC
19107 {
19108 if (((word >> 30) & 0x1) == 0)
19109 {
19110 /* 33222222222211111111110000000000
19111 10987654321098765432109876543210
e9dbdd80
TC
19112 x0x01110x01xxxxx111000xxxxxxxxxx
19113 pmull. */
193614f2 19114 return 72;
a06ea964
NC
19115 }
19116 else
19117 {
19118 /* 33222222222211111111110000000000
19119 10987654321098765432109876543210
e9dbdd80
TC
19120 x1x01110x01xxxxx111000xxxxxxxxxx
19121 pmull2. */
193614f2 19122 return 74;
a06ea964
NC
19123 }
19124 }
e9dbdd80 19125 else
a06ea964
NC
19126 {
19127 if (((word >> 30) & 0x1) == 0)
19128 {
19129 /* 33222222222211111111110000000000
19130 10987654321098765432109876543210
e9dbdd80
TC
19131 x0x01110x11xxxxx111000xxxxxxxxxx
19132 pmull. */
193614f2 19133 return 73;
a06ea964
NC
19134 }
19135 else
19136 {
19137 /* 33222222222211111111110000000000
19138 10987654321098765432109876543210
e9dbdd80
TC
19139 x1x01110x11xxxxx111000xxxxxxxxxx
19140 pmull2. */
193614f2 19141 return 75;
a06ea964
NC
19142 }
19143 }
e9dbdd80
TC
19144 }
19145 }
19146 }
19147 else
19148 {
19149 if (((word >> 13) & 0x1) == 0)
19150 {
19151 if (((word >> 14) & 0x1) == 0)
19152 {
19153 if (((word >> 30) & 0x1) == 0)
19154 {
19155 /* 33222222222211111111110000000000
19156 10987654321098765432109876543210
19157 x0x01110xx1xxxxx100100xxxxxxxxxx
19158 sqdmlal. */
193614f2 19159 return 62;
e9dbdd80 19160 }
a06ea964
NC
19161 else
19162 {
19163 /* 33222222222211111111110000000000
19164 10987654321098765432109876543210
e9dbdd80
TC
19165 x1x01110xx1xxxxx100100xxxxxxxxxx
19166 sqdmlal2. */
193614f2 19167 return 63;
a06ea964
NC
19168 }
19169 }
e9dbdd80 19170 else
a06ea964 19171 {
e9dbdd80 19172 if (((word >> 30) & 0x1) == 0)
a06ea964 19173 {
e9dbdd80
TC
19174 /* 33222222222211111111110000000000
19175 10987654321098765432109876543210
19176 x0x01110xx1xxxxx110100xxxxxxxxxx
19177 sqdmull. */
193614f2 19178 return 70;
a06ea964
NC
19179 }
19180 else
19181 {
e9dbdd80
TC
19182 /* 33222222222211111111110000000000
19183 10987654321098765432109876543210
19184 x1x01110xx1xxxxx110100xxxxxxxxxx
19185 sqdmull2. */
193614f2 19186 return 71;
a06ea964
NC
19187 }
19188 }
e9dbdd80
TC
19189 }
19190 else
19191 {
19192 if (((word >> 30) & 0x1) == 0)
19193 {
19194 /* 33222222222211111111110000000000
19195 10987654321098765432109876543210
19196 x0x01110xx1xxxxx1x1100xxxxxxxxxx
19197 sqdmlsl. */
193614f2 19198 return 66;
e9dbdd80 19199 }
a06ea964
NC
19200 else
19201 {
e9dbdd80
TC
19202 /* 33222222222211111111110000000000
19203 10987654321098765432109876543210
19204 x1x01110xx1xxxxx1x1100xxxxxxxxxx
19205 sqdmlsl2. */
193614f2 19206 return 67;
e9dbdd80
TC
19207 }
19208 }
19209 }
19210 }
19211 else
19212 {
19213 if (((word >> 12) & 0x1) == 0)
19214 {
19215 if (((word >> 13) & 0x1) == 0)
19216 {
19217 if (((word >> 14) & 0x1) == 0)
19218 {
19219 if (((word >> 29) & 0x1) == 0)
a06ea964 19220 {
e9dbdd80 19221 if (((word >> 31) & 0x1) == 0)
a06ea964 19222 {
e9dbdd80
TC
19223 if (((word >> 16) & 0x1) == 0)
19224 {
19225 /* 33222222222211111111110000000000
19226 10987654321098765432109876543210
19227 0x001110xx1xxxx0100010xxxxxxxxxx
19228 cmgt. */
193614f2 19229 return 170;
e9dbdd80
TC
19230 }
19231 else
a06ea964 19232 {
e9dbdd80 19233 if (((word >> 19) & 0x1) == 0)
a06ea964 19234 {
e9dbdd80 19235 if (((word >> 23) & 0x1) == 0)
f3aa142b
MW
19236 {
19237 /* 33222222222211111111110000000000
19238 10987654321098765432109876543210
e9dbdd80
TC
19239 0x0011100x1x0xx1100010xxxxxxxxxx
19240 frintn. */
193614f2 19241 return 182;
f3aa142b
MW
19242 }
19243 else
19244 {
19245 /* 33222222222211111111110000000000
19246 10987654321098765432109876543210
e9dbdd80
TC
19247 0x0011101x1x0xx1100010xxxxxxxxxx
19248 frintp. */
193614f2 19249 return 202;
f3aa142b 19250 }
a06ea964
NC
19251 }
19252 else
19253 {
e9dbdd80 19254 if (((word >> 23) & 0x1) == 0)
f3aa142b
MW
19255 {
19256 /* 33222222222211111111110000000000
19257 10987654321098765432109876543210
e9dbdd80
TC
19258 0x0011100x1x1xx1100010xxxxxxxxxx
19259 frintn. */
193614f2 19260 return 183;
f3aa142b
MW
19261 }
19262 else
19263 {
19264 /* 33222222222211111111110000000000
19265 10987654321098765432109876543210
e9dbdd80
TC
19266 0x0011101x1x1xx1100010xxxxxxxxxx
19267 frintp. */
193614f2 19268 return 203;
f3aa142b 19269 }
a06ea964
NC
19270 }
19271 }
19272 }
19273 else
19274 {
e9dbdd80
TC
19275 /* 33222222222211111111110000000000
19276 10987654321098765432109876543210
19277 1x001110xx1xxxxx100010xxxxxxxxxx
19278 sha512su1. */
01a4d082 19279 return 2410;
e9dbdd80
TC
19280 }
19281 }
19282 else
19283 {
19284 if (((word >> 16) & 0x1) == 0)
19285 {
19286 /* 33222222222211111111110000000000
19287 10987654321098765432109876543210
19288 xx101110xx1xxxx0100010xxxxxxxxxx
19289 cmge. */
193614f2 19290 return 219;
e9dbdd80
TC
19291 }
19292 else
19293 {
19294 if (((word >> 19) & 0x1) == 0)
a06ea964 19295 {
f3aa142b
MW
19296 /* 33222222222211111111110000000000
19297 10987654321098765432109876543210
e9dbdd80
TC
19298 xx101110xx1x0xx1100010xxxxxxxxxx
19299 frinta. */
193614f2 19300 return 230;
a06ea964
NC
19301 }
19302 else
19303 {
f3aa142b
MW
19304 /* 33222222222211111111110000000000
19305 10987654321098765432109876543210
e9dbdd80
TC
19306 xx101110xx1x1xx1100010xxxxxxxxxx
19307 frinta. */
193614f2 19308 return 231;
a06ea964
NC
19309 }
19310 }
19311 }
e9dbdd80
TC
19312 }
19313 else
19314 {
19315 if (((word >> 23) & 0x1) == 0)
a06ea964 19316 {
e9dbdd80 19317 if (((word >> 29) & 0x1) == 0)
a06ea964 19318 {
e9dbdd80 19319 if (((word >> 31) & 0x1) == 0)
f3aa142b 19320 {
e9dbdd80 19321 if (((word >> 16) & 0x1) == 0)
80776b29
MW
19322 {
19323 /* 33222222222211111111110000000000
19324 10987654321098765432109876543210
e9dbdd80
TC
19325 0x0011100x1xxxx0110010xxxxxxxxxx
19326 fmaxnmv. */
193614f2 19327 return 37;
80776b29
MW
19328 }
19329 else
19330 {
e9dbdd80
TC
19331 if (((word >> 19) & 0x1) == 0)
19332 {
19333 /* 33222222222211111111110000000000
19334 10987654321098765432109876543210
19335 0x0011100x1x0xx1110010xxxxxxxxxx
19336 fcvtas. */
193614f2 19337 return 190;
e9dbdd80
TC
19338 }
19339 else
19340 {
19341 /* 33222222222211111111110000000000
19342 10987654321098765432109876543210
19343 0x0011100x1x1xx1110010xxxxxxxxxx
19344 fcvtas. */
193614f2 19345 return 191;
e9dbdd80 19346 }
80776b29 19347 }
f3aa142b
MW
19348 }
19349 else
19350 {
e9dbdd80
TC
19351 /* 33222222222211111111110000000000
19352 10987654321098765432109876543210
19353 1x0011100x1xxxxx110010xxxxxxxxxx
19354 sm4ekey. */
01a4d082 19355 return 2423;
e9dbdd80
TC
19356 }
19357 }
19358 else
19359 {
19360 if (((word >> 16) & 0x1) == 0)
19361 {
19362 /* 33222222222211111111110000000000
19363 10987654321098765432109876543210
19364 xx1011100x1xxxx0110010xxxxxxxxxx
19365 fmaxnmv. */
193614f2 19366 return 36;
e9dbdd80
TC
19367 }
19368 else
19369 {
19370 if (((word >> 19) & 0x1) == 0)
80776b29
MW
19371 {
19372 /* 33222222222211111111110000000000
19373 10987654321098765432109876543210
e9dbdd80
TC
19374 xx1011100x1x0xx1110010xxxxxxxxxx
19375 fcvtau. */
193614f2 19376 return 238;
80776b29
MW
19377 }
19378 else
19379 {
19380 /* 33222222222211111111110000000000
19381 10987654321098765432109876543210
e9dbdd80
TC
19382 xx1011100x1x1xx1110010xxxxxxxxxx
19383 fcvtau. */
193614f2 19384 return 239;
80776b29 19385 }
f3aa142b 19386 }
a06ea964 19387 }
e9dbdd80
TC
19388 }
19389 else
19390 {
19391 if (((word >> 16) & 0x1) == 0)
a06ea964 19392 {
e9dbdd80 19393 if (((word >> 19) & 0x1) == 0)
f3aa142b 19394 {
e9dbdd80 19395 if (((word >> 20) & 0x1) == 0)
80776b29 19396 {
e9dbdd80
TC
19397 if (((word >> 29) & 0x1) == 0)
19398 {
19399 /* 33222222222211111111110000000000
19400 10987654321098765432109876543210
19401 xx0011101x100xx0110010xxxxxxxxxx
19402 fcmgt. */
193614f2 19403 return 194;
e9dbdd80
TC
19404 }
19405 else
19406 {
19407 /* 33222222222211111111110000000000
19408 10987654321098765432109876543210
19409 xx1011101x100xx0110010xxxxxxxxxx
19410 fcmge. */
193614f2 19411 return 245;
e9dbdd80 19412 }
80776b29
MW
19413 }
19414 else
19415 {
e9dbdd80
TC
19416 if (((word >> 29) & 0x1) == 0)
19417 {
19418 /* 33222222222211111111110000000000
19419 10987654321098765432109876543210
19420 xx0011101x110xx0110010xxxxxxxxxx
19421 fminnmv. */
193614f2 19422 return 41;
e9dbdd80
TC
19423 }
19424 else
19425 {
19426 /* 33222222222211111111110000000000
19427 10987654321098765432109876543210
19428 xx1011101x110xx0110010xxxxxxxxxx
19429 fminnmv. */
193614f2 19430 return 40;
e9dbdd80 19431 }
80776b29 19432 }
f3aa142b
MW
19433 }
19434 else
19435 {
80776b29
MW
19436 if (((word >> 29) & 0x1) == 0)
19437 {
19438 /* 33222222222211111111110000000000
19439 10987654321098765432109876543210
e9dbdd80
TC
19440 xx0011101x1x1xx0110010xxxxxxxxxx
19441 fcmgt. */
193614f2 19442 return 195;
80776b29
MW
19443 }
19444 else
19445 {
19446 /* 33222222222211111111110000000000
19447 10987654321098765432109876543210
e9dbdd80
TC
19448 xx1011101x1x1xx0110010xxxxxxxxxx
19449 fcmge. */
193614f2 19450 return 246;
80776b29 19451 }
f3aa142b 19452 }
a06ea964 19453 }
e9dbdd80 19454 else
a06ea964
NC
19455 {
19456 if (((word >> 29) & 0x1) == 0)
19457 {
19458 /* 33222222222211111111110000000000
19459 10987654321098765432109876543210
e9dbdd80
TC
19460 xx0011101x1xxxx1110010xxxxxxxxxx
19461 urecpe. */
193614f2 19462 return 210;
a06ea964
NC
19463 }
19464 else
19465 {
19466 /* 33222222222211111111110000000000
19467 10987654321098765432109876543210
e9dbdd80
TC
19468 xx1011101x1xxxx1110010xxxxxxxxxx
19469 ursqrte. */
193614f2 19470 return 257;
a06ea964
NC
19471 }
19472 }
a06ea964
NC
19473 }
19474 }
e9dbdd80
TC
19475 }
19476 else
19477 {
19478 if (((word >> 14) & 0x1) == 0)
a06ea964 19479 {
e9dbdd80 19480 if (((word >> 16) & 0x1) == 0)
a06ea964 19481 {
e9dbdd80 19482 if (((word >> 20) & 0x1) == 0)
a06ea964
NC
19483 {
19484 /* 33222222222211111111110000000000
19485 10987654321098765432109876543210
e9dbdd80
TC
19486 xxx01110xx10xxx0101010xxxxxxxxxx
19487 cmlt. */
193614f2 19488 return 172;
a06ea964
NC
19489 }
19490 else
a06ea964 19491 {
f3aa142b 19492 if (((word >> 29) & 0x1) == 0)
a06ea964
NC
19493 {
19494 /* 33222222222211111111110000000000
19495 10987654321098765432109876543210
e9dbdd80
TC
19496 xx001110xx11xxx0101010xxxxxxxxxx
19497 smaxv. */
193614f2 19498 return 30;
a06ea964
NC
19499 }
19500 else
19501 {
19502 /* 33222222222211111111110000000000
19503 10987654321098765432109876543210
e9dbdd80
TC
19504 xx101110xx11xxx0101010xxxxxxxxxx
19505 umaxv. */
193614f2 19506 return 34;
a06ea964
NC
19507 }
19508 }
e9dbdd80
TC
19509 }
19510 else
19511 {
19512 if (((word >> 19) & 0x1) == 0)
a06ea964 19513 {
e9dbdd80 19514 if (((word >> 20) & 0x1) == 0)
a06ea964 19515 {
e9dbdd80 19516 if (((word >> 23) & 0x1) == 0)
f3aa142b 19517 {
bb515fea
MW
19518 if (((word >> 29) & 0x1) == 0)
19519 {
19520 /* 33222222222211111111110000000000
19521 10987654321098765432109876543210
e9dbdd80
TC
19522 xx0011100x100xx1101010xxxxxxxxxx
19523 fcvtns. */
193614f2 19524 return 186;
bb515fea
MW
19525 }
19526 else
19527 {
19528 /* 33222222222211111111110000000000
19529 10987654321098765432109876543210
e9dbdd80
TC
19530 xx1011100x100xx1101010xxxxxxxxxx
19531 fcvtnu. */
193614f2 19532 return 234;
e9dbdd80
TC
19533 }
19534 }
f3aa142b
MW
19535 else
19536 {
b195470d
MW
19537 if (((word >> 29) & 0x1) == 0)
19538 {
19539 /* 33222222222211111111110000000000
19540 10987654321098765432109876543210
e9dbdd80
TC
19541 xx0011101x100xx1101010xxxxxxxxxx
19542 fcvtps. */
193614f2 19543 return 206;
b195470d
MW
19544 }
19545 else
19546 {
19547 /* 33222222222211111111110000000000
19548 10987654321098765432109876543210
e9dbdd80
TC
19549 xx1011101x100xx1101010xxxxxxxxxx
19550 fcvtpu. */
193614f2 19551 return 253;
b195470d 19552 }
f3aa142b 19553 }
a06ea964
NC
19554 }
19555 else
19556 {
e9dbdd80 19557 if (((word >> 29) & 0x1) == 0)
f3aa142b 19558 {
e9dbdd80
TC
19559 /* 33222222222211111111110000000000
19560 10987654321098765432109876543210
19561 xx001110xx110xx1101010xxxxxxxxxx
19562 sminv. */
193614f2 19563 return 31;
f3aa142b
MW
19564 }
19565 else
19566 {
e9dbdd80
TC
19567 /* 33222222222211111111110000000000
19568 10987654321098765432109876543210
19569 xx101110xx110xx1101010xxxxxxxxxx
19570 uminv. */
193614f2 19571 return 35;
f3aa142b 19572 }
a06ea964
NC
19573 }
19574 }
f3aa142b
MW
19575 else
19576 {
e9dbdd80
TC
19577 if (((word >> 23) & 0x1) == 0)
19578 {
19579 if (((word >> 29) & 0x1) == 0)
19580 {
19581 /* 33222222222211111111110000000000
19582 10987654321098765432109876543210
19583 xx0011100x1x1xx1101010xxxxxxxxxx
19584 fcvtns. */
193614f2 19585 return 187;
e9dbdd80
TC
19586 }
19587 else
19588 {
19589 /* 33222222222211111111110000000000
19590 10987654321098765432109876543210
19591 xx1011100x1x1xx1101010xxxxxxxxxx
19592 fcvtnu. */
193614f2 19593 return 235;
e9dbdd80
TC
19594 }
19595 }
19596 else
19597 {
19598 if (((word >> 29) & 0x1) == 0)
19599 {
19600 /* 33222222222211111111110000000000
19601 10987654321098765432109876543210
19602 xx0011101x1x1xx1101010xxxxxxxxxx
19603 fcvtps. */
193614f2 19604 return 207;
e9dbdd80
TC
19605 }
19606 else
19607 {
19608 /* 33222222222211111111110000000000
19609 10987654321098765432109876543210
19610 xx1011101x1x1xx1101010xxxxxxxxxx
19611 fcvtpu. */
193614f2 19612 return 254;
e9dbdd80
TC
19613 }
19614 }
f3aa142b
MW
19615 }
19616 }
a06ea964
NC
19617 }
19618 else
19619 {
13c60ad7 19620 if (((word >> 16) & 0x1) == 0)
a06ea964 19621 {
13c60ad7
SD
19622 if (((word >> 19) & 0x1) == 0)
19623 {
19624 /* 33222222222211111111110000000000
19625 10987654321098765432109876543210
19626 xxx01110xx1x0xx0111010xxxxxxxxxx
19627 fcmlt. */
193614f2 19628 return 198;
13c60ad7
SD
19629 }
19630 else
19631 {
19632 /* 33222222222211111111110000000000
19633 10987654321098765432109876543210
19634 xxx01110xx1x1xx0111010xxxxxxxxxx
19635 fcmlt. */
193614f2 19636 return 199;
13c60ad7 19637 }
a06ea964
NC
19638 }
19639 else
19640 {
13c60ad7
SD
19641 if (((word >> 29) & 0x1) == 0)
19642 {
19643 /* 33222222222211111111110000000000
19644 10987654321098765432109876543210
19645 xx001110xx1xxxx1111010xxxxxxxxxx
19646 frint32z. */
193614f2 19647 return 158;
13c60ad7
SD
19648 }
19649 else
19650 {
19651 /* 33222222222211111111110000000000
19652 10987654321098765432109876543210
19653 xx101110xx1xxxx1111010xxxxxxxxxx
19654 frint32x. */
193614f2 19655 return 159;
13c60ad7 19656 }
a06ea964
NC
19657 }
19658 }
19659 }
e9dbdd80
TC
19660 }
19661 else
19662 {
19663 if (((word >> 13) & 0x1) == 0)
a06ea964 19664 {
e9dbdd80 19665 if (((word >> 14) & 0x1) == 0)
a06ea964 19666 {
e9dbdd80 19667 if (((word >> 16) & 0x1) == 0)
a06ea964
NC
19668 {
19669 if (((word >> 29) & 0x1) == 0)
19670 {
19671 /* 33222222222211111111110000000000
19672 10987654321098765432109876543210
e9dbdd80
TC
19673 xx001110xx1xxxx0100110xxxxxxxxxx
19674 cmeq. */
193614f2 19675 return 171;
a06ea964
NC
19676 }
19677 else
19678 {
19679 /* 33222222222211111111110000000000
19680 10987654321098765432109876543210
e9dbdd80
TC
19681 xx101110xx1xxxx0100110xxxxxxxxxx
19682 cmle. */
193614f2 19683 return 220;
a06ea964
NC
19684 }
19685 }
19686 else
19687 {
e9dbdd80 19688 if (((word >> 19) & 0x1) == 0)
a06ea964 19689 {
e9dbdd80
TC
19690 if (((word >> 23) & 0x1) == 0)
19691 {
19692 if (((word >> 29) & 0x1) == 0)
19693 {
19694 /* 33222222222211111111110000000000
19695 10987654321098765432109876543210
19696 xx0011100x1x0xx1100110xxxxxxxxxx
19697 frintm. */
193614f2 19698 return 184;
e9dbdd80
TC
19699 }
19700 else
19701 {
19702 /* 33222222222211111111110000000000
19703 10987654321098765432109876543210
19704 xx1011100x1x0xx1100110xxxxxxxxxx
19705 frintx. */
193614f2 19706 return 232;
e9dbdd80
TC
19707 }
19708 }
19709 else
19710 {
19711 if (((word >> 29) & 0x1) == 0)
19712 {
19713 /* 33222222222211111111110000000000
19714 10987654321098765432109876543210
19715 xx0011101x1x0xx1100110xxxxxxxxxx
19716 frintz. */
193614f2 19717 return 204;
e9dbdd80
TC
19718 }
19719 else
19720 {
19721 /* 33222222222211111111110000000000
19722 10987654321098765432109876543210
19723 xx1011101x1x0xx1100110xxxxxxxxxx
19724 frinti. */
193614f2 19725 return 251;
e9dbdd80
TC
19726 }
19727 }
a06ea964
NC
19728 }
19729 else
19730 {
e9dbdd80
TC
19731 if (((word >> 23) & 0x1) == 0)
19732 {
19733 if (((word >> 29) & 0x1) == 0)
19734 {
19735 /* 33222222222211111111110000000000
19736 10987654321098765432109876543210
19737 xx0011100x1x1xx1100110xxxxxxxxxx
19738 frintm. */
193614f2 19739 return 185;
e9dbdd80
TC
19740 }
19741 else
19742 {
19743 /* 33222222222211111111110000000000
19744 10987654321098765432109876543210
19745 xx1011100x1x1xx1100110xxxxxxxxxx
19746 frintx. */
193614f2 19747 return 233;
e9dbdd80
TC
19748 }
19749 }
19750 else
19751 {
19752 if (((word >> 29) & 0x1) == 0)
19753 {
19754 /* 33222222222211111111110000000000
19755 10987654321098765432109876543210
19756 xx0011101x1x1xx1100110xxxxxxxxxx
19757 frintz. */
193614f2 19758 return 205;
e9dbdd80
TC
19759 }
19760 else
19761 {
19762 /* 33222222222211111111110000000000
19763 10987654321098765432109876543210
19764 xx1011101x1x1xx1100110xxxxxxxxxx
19765 frinti. */
193614f2 19766 return 252;
e9dbdd80
TC
19767 }
19768 }
19769 }
19770 }
19771 }
19772 else
19773 {
19774 if (((word >> 16) & 0x1) == 0)
19775 {
19776 if (((word >> 19) & 0x1) == 0)
19777 {
19778 if (((word >> 29) & 0x1) == 0)
19779 {
19780 /* 33222222222211111111110000000000
19781 10987654321098765432109876543210
19782 xx001110xx1x0xx0110110xxxxxxxxxx
19783 fcmeq. */
193614f2 19784 return 196;
e9dbdd80
TC
19785 }
19786 else
19787 {
19788 /* 33222222222211111111110000000000
19789 10987654321098765432109876543210
19790 xx101110xx1x0xx0110110xxxxxxxxxx
19791 fcmle. */
193614f2 19792 return 247;
e9dbdd80
TC
19793 }
19794 }
19795 else
19796 {
19797 if (((word >> 29) & 0x1) == 0)
19798 {
19799 /* 33222222222211111111110000000000
19800 10987654321098765432109876543210
19801 xx001110xx1x1xx0110110xxxxxxxxxx
19802 fcmeq. */
193614f2 19803 return 197;
e9dbdd80
TC
19804 }
19805 else
19806 {
19807 /* 33222222222211111111110000000000
19808 10987654321098765432109876543210
19809 xx101110xx1x1xx0110110xxxxxxxxxx
19810 fcmle. */
193614f2 19811 return 248;
e9dbdd80
TC
19812 }
19813 }
19814 }
19815 else
19816 {
19817 if (((word >> 19) & 0x1) == 0)
19818 {
19819 if (((word >> 23) & 0x1) == 0)
19820 {
19821 if (((word >> 29) & 0x1) == 0)
19822 {
19823 /* 33222222222211111111110000000000
19824 10987654321098765432109876543210
19825 xx0011100x1x0xx1110110xxxxxxxxxx
19826 scvtf. */
193614f2 19827 return 192;
e9dbdd80
TC
19828 }
19829 else
19830 {
19831 /* 33222222222211111111110000000000
19832 10987654321098765432109876543210
19833 xx1011100x1x0xx1110110xxxxxxxxxx
19834 ucvtf. */
193614f2 19835 return 240;
e9dbdd80
TC
19836 }
19837 }
19838 else
19839 {
19840 if (((word >> 29) & 0x1) == 0)
19841 {
19842 /* 33222222222211111111110000000000
19843 10987654321098765432109876543210
19844 xx0011101x1x0xx1110110xxxxxxxxxx
19845 frecpe. */
193614f2 19846 return 211;
e9dbdd80
TC
19847 }
19848 else
19849 {
19850 /* 33222222222211111111110000000000
19851 10987654321098765432109876543210
19852 xx1011101x1x0xx1110110xxxxxxxxxx
19853 frsqrte. */
193614f2 19854 return 258;
e9dbdd80
TC
19855 }
19856 }
19857 }
19858 else
19859 {
19860 if (((word >> 23) & 0x1) == 0)
19861 {
19862 if (((word >> 29) & 0x1) == 0)
19863 {
19864 /* 33222222222211111111110000000000
19865 10987654321098765432109876543210
19866 xx0011100x1x1xx1110110xxxxxxxxxx
19867 scvtf. */
193614f2 19868 return 193;
e9dbdd80
TC
19869 }
19870 else
19871 {
19872 /* 33222222222211111111110000000000
19873 10987654321098765432109876543210
19874 xx1011100x1x1xx1110110xxxxxxxxxx
19875 ucvtf. */
193614f2 19876 return 241;
e9dbdd80
TC
19877 }
19878 }
19879 else
19880 {
19881 if (((word >> 29) & 0x1) == 0)
19882 {
19883 /* 33222222222211111111110000000000
19884 10987654321098765432109876543210
19885 xx0011101x1x1xx1110110xxxxxxxxxx
19886 frecpe. */
193614f2 19887 return 212;
e9dbdd80
TC
19888 }
19889 else
19890 {
19891 /* 33222222222211111111110000000000
19892 10987654321098765432109876543210
19893 xx1011101x1x1xx1110110xxxxxxxxxx
19894 frsqrte. */
193614f2 19895 return 259;
e9dbdd80
TC
19896 }
19897 }
19898 }
19899 }
19900 }
19901 }
19902 else
19903 {
19904 if (((word >> 14) & 0x1) == 0)
19905 {
19906 if (((word >> 16) & 0x1) == 0)
19907 {
19908 if (((word >> 29) & 0x1) == 0)
19909 {
19910 /* 33222222222211111111110000000000
19911 10987654321098765432109876543210
19912 xx001110xx1xxxx0101110xxxxxxxxxx
19913 abs. */
193614f2 19914 return 173;
e9dbdd80
TC
19915 }
19916 else
19917 {
19918 /* 33222222222211111111110000000000
19919 10987654321098765432109876543210
19920 xx101110xx1xxxx0101110xxxxxxxxxx
19921 neg. */
193614f2 19922 return 221;
e9dbdd80
TC
19923 }
19924 }
19925 else
19926 {
19927 if (((word >> 19) & 0x1) == 0)
19928 {
19929 if (((word >> 20) & 0x1) == 0)
19930 {
19931 if (((word >> 23) & 0x1) == 0)
19932 {
19933 if (((word >> 29) & 0x1) == 0)
19934 {
19935 /* 33222222222211111111110000000000
19936 10987654321098765432109876543210
19937 xx0011100x100xx1101110xxxxxxxxxx
19938 fcvtms. */
193614f2 19939 return 188;
e9dbdd80
TC
19940 }
19941 else
19942 {
19943 /* 33222222222211111111110000000000
19944 10987654321098765432109876543210
19945 xx1011100x100xx1101110xxxxxxxxxx
19946 fcvtmu. */
193614f2 19947 return 236;
e9dbdd80
TC
19948 }
19949 }
19950 else
19951 {
19952 if (((word >> 29) & 0x1) == 0)
19953 {
19954 /* 33222222222211111111110000000000
19955 10987654321098765432109876543210
19956 xx0011101x100xx1101110xxxxxxxxxx
19957 fcvtzs. */
193614f2 19958 return 208;
e9dbdd80
TC
19959 }
19960 else
19961 {
19962 /* 33222222222211111111110000000000
19963 10987654321098765432109876543210
19964 xx1011101x100xx1101110xxxxxxxxxx
19965 fcvtzu. */
193614f2 19966 return 255;
e9dbdd80
TC
19967 }
19968 }
19969 }
19970 else
19971 {
19972 /* 33222222222211111111110000000000
19973 10987654321098765432109876543210
19974 xxx01110xx110xx1101110xxxxxxxxxx
19975 addv. */
193614f2 19976 return 32;
e9dbdd80
TC
19977 }
19978 }
19979 else
19980 {
19981 if (((word >> 23) & 0x1) == 0)
19982 {
19983 if (((word >> 29) & 0x1) == 0)
19984 {
19985 /* 33222222222211111111110000000000
19986 10987654321098765432109876543210
19987 xx0011100x1x1xx1101110xxxxxxxxxx
19988 fcvtms. */
193614f2 19989 return 189;
e9dbdd80
TC
19990 }
19991 else
19992 {
19993 /* 33222222222211111111110000000000
19994 10987654321098765432109876543210
19995 xx1011100x1x1xx1101110xxxxxxxxxx
19996 fcvtmu. */
193614f2 19997 return 237;
e9dbdd80
TC
19998 }
19999 }
20000 else
20001 {
20002 if (((word >> 29) & 0x1) == 0)
20003 {
20004 /* 33222222222211111111110000000000
20005 10987654321098765432109876543210
20006 xx0011101x1x1xx1101110xxxxxxxxxx
20007 fcvtzs. */
193614f2 20008 return 209;
e9dbdd80
TC
20009 }
20010 else
20011 {
20012 /* 33222222222211111111110000000000
20013 10987654321098765432109876543210
20014 xx1011101x1x1xx1101110xxxxxxxxxx
20015 fcvtzu. */
193614f2 20016 return 256;
e9dbdd80
TC
20017 }
20018 }
20019 }
20020 }
20021 }
20022 else
20023 {
20024 if (((word >> 16) & 0x1) == 0)
20025 {
20026 if (((word >> 19) & 0x1) == 0)
20027 {
20028 if (((word >> 20) & 0x1) == 0)
20029 {
20030 if (((word >> 29) & 0x1) == 0)
20031 {
20032 /* 33222222222211111111110000000000
20033 10987654321098765432109876543210
20034 xx001110xx100xx0111110xxxxxxxxxx
20035 fabs. */
193614f2 20036 return 200;
e9dbdd80
TC
20037 }
20038 else
20039 {
20040 /* 33222222222211111111110000000000
20041 10987654321098765432109876543210
20042 xx101110xx100xx0111110xxxxxxxxxx
20043 fneg. */
193614f2 20044 return 249;
e9dbdd80
TC
20045 }
20046 }
20047 else
20048 {
20049 if (((word >> 23) & 0x1) == 0)
20050 {
20051 if (((word >> 29) & 0x1) == 0)
20052 {
20053 /* 33222222222211111111110000000000
20054 10987654321098765432109876543210
20055 xx0011100x110xx0111110xxxxxxxxxx
20056 fmaxv. */
193614f2 20057 return 39;
e9dbdd80
TC
20058 }
20059 else
20060 {
20061 /* 33222222222211111111110000000000
20062 10987654321098765432109876543210
20063 xx1011100x110xx0111110xxxxxxxxxx
20064 fmaxv. */
193614f2 20065 return 38;
e9dbdd80
TC
20066 }
20067 }
20068 else
20069 {
20070 if (((word >> 29) & 0x1) == 0)
20071 {
20072 /* 33222222222211111111110000000000
20073 10987654321098765432109876543210
20074 xx0011101x110xx0111110xxxxxxxxxx
20075 fminv. */
193614f2 20076 return 43;
e9dbdd80
TC
20077 }
20078 else
20079 {
20080 /* 33222222222211111111110000000000
20081 10987654321098765432109876543210
20082 xx1011101x110xx0111110xxxxxxxxxx
20083 fminv. */
193614f2 20084 return 42;
e9dbdd80
TC
20085 }
20086 }
20087 }
20088 }
20089 else
20090 {
20091 if (((word >> 29) & 0x1) == 0)
20092 {
20093 /* 33222222222211111111110000000000
20094 10987654321098765432109876543210
20095 xx001110xx1x1xx0111110xxxxxxxxxx
20096 fabs. */
193614f2 20097 return 201;
e9dbdd80
TC
20098 }
20099 else
20100 {
20101 /* 33222222222211111111110000000000
20102 10987654321098765432109876543210
20103 xx101110xx1x1xx0111110xxxxxxxxxx
20104 fneg. */
193614f2 20105 return 250;
e9dbdd80
TC
20106 }
20107 }
20108 }
20109 else
20110 {
20111 if (((word >> 19) & 0x1) == 0)
20112 {
13c60ad7
SD
20113 if (((word >> 23) & 0x1) == 0)
20114 {
20115 if (((word >> 29) & 0x1) == 0)
20116 {
20117 /* 33222222222211111111110000000000
20118 10987654321098765432109876543210
20119 xx0011100x1x0xx1111110xxxxxxxxxx
20120 frint64z. */
193614f2 20121 return 160;
13c60ad7
SD
20122 }
20123 else
20124 {
20125 /* 33222222222211111111110000000000
20126 10987654321098765432109876543210
20127 xx1011100x1x0xx1111110xxxxxxxxxx
20128 frint64x. */
193614f2 20129 return 161;
13c60ad7
SD
20130 }
20131 }
20132 else
20133 {
20134 /* 33222222222211111111110000000000
20135 10987654321098765432109876543210
20136 xxx011101x1x0xx1111110xxxxxxxxxx
20137 fsqrt. */
193614f2 20138 return 260;
13c60ad7 20139 }
e9dbdd80
TC
20140 }
20141 else
20142 {
20143 /* 33222222222211111111110000000000
20144 10987654321098765432109876543210
20145 xxx01110xx1x1xx1111110xxxxxxxxxx
20146 fsqrt. */
193614f2 20147 return 261;
e9dbdd80
TC
20148 }
20149 }
20150 }
20151 }
20152 }
20153 }
20154 }
20155 else
20156 {
20157 if (((word >> 11) & 0x1) == 0)
20158 {
20159 if (((word >> 12) & 0x1) == 0)
20160 {
20161 if (((word >> 13) & 0x1) == 0)
20162 {
20163 if (((word >> 14) & 0x1) == 0)
20164 {
20165 if (((word >> 29) & 0x1) == 0)
20166 {
20167 if (((word >> 31) & 0x1) == 0)
20168 {
20169 /* 33222222222211111111110000000000
20170 10987654321098765432109876543210
20171 0x001110xx1xxxxx100001xxxxxxxxxx
20172 add. */
193614f2 20173 return 283;
e9dbdd80
TC
20174 }
20175 else
20176 {
20177 /* 33222222222211111111110000000000
20178 10987654321098765432109876543210
20179 1x001110xx1xxxxx100001xxxxxxxxxx
20180 sha512h2. */
01a4d082 20181 return 2408;
e9dbdd80
TC
20182 }
20183 }
20184 else
20185 {
20186 /* 33222222222211111111110000000000
20187 10987654321098765432109876543210
20188 xx101110xx1xxxxx100001xxxxxxxxxx
20189 sub. */
193614f2 20190 return 335;
e9dbdd80
TC
20191 }
20192 }
20193 else
20194 {
20195 if (((word >> 23) & 0x1) == 0)
20196 {
20197 if (((word >> 29) & 0x1) == 0)
20198 {
20199 if (((word >> 31) & 0x1) == 0)
20200 {
20201 /* 33222222222211111111110000000000
20202 10987654321098765432109876543210
20203 0x0011100x1xxxxx110001xxxxxxxxxx
20204 fmaxnm. */
193614f2 20205 return 291;
e9dbdd80
TC
20206 }
20207 else
20208 {
20209 /* 33222222222211111111110000000000
20210 10987654321098765432109876543210
20211 1x0011100x1xxxxx110001xxxxxxxxxx
20212 sm3partw2. */
01a4d082 20213 return 2421;
e9dbdd80
TC
20214 }
20215 }
20216 else
20217 {
20218 /* 33222222222211111111110000000000
20219 10987654321098765432109876543210
20220 xx1011100x1xxxxx110001xxxxxxxxxx
20221 fmaxnmp. */
193614f2 20222 return 342;
e9dbdd80
TC
20223 }
20224 }
20225 else
20226 {
20227 if (((word >> 29) & 0x1) == 0)
20228 {
20229 /* 33222222222211111111110000000000
20230 10987654321098765432109876543210
20231 xx0011101x1xxxxx110001xxxxxxxxxx
20232 fminnm. */
193614f2 20233 return 307;
e9dbdd80
TC
20234 }
20235 else
20236 {
20237 /* 33222222222211111111110000000000
20238 10987654321098765432109876543210
20239 xx1011101x1xxxxx110001xxxxxxxxxx
20240 fminnmp. */
193614f2 20241 return 358;
e9dbdd80
TC
20242 }
20243 }
20244 }
20245 }
20246 else
20247 {
20248 if (((word >> 14) & 0x1) == 0)
20249 {
20250 if (((word >> 29) & 0x1) == 0)
20251 {
20252 /* 33222222222211111111110000000000
20253 10987654321098765432109876543210
20254 xx001110xx1xxxxx101001xxxxxxxxxx
20255 smaxp. */
193614f2 20256 return 287;
e9dbdd80
TC
20257 }
20258 else
20259 {
20260 /* 33222222222211111111110000000000
20261 10987654321098765432109876543210
20262 xx101110xx1xxxxx101001xxxxxxxxxx
20263 umaxp. */
193614f2 20264 return 339;
e9dbdd80
TC
20265 }
20266 }
20267 else
20268 {
20269 if (((word >> 23) & 0x1) == 0)
20270 {
20271 if (((word >> 29) & 0x1) == 0)
20272 {
20273 /* 33222222222211111111110000000000
20274 10987654321098765432109876543210
20275 xx0011100x1xxxxx111001xxxxxxxxxx
20276 fcmeq. */
193614f2 20277 return 299;
e9dbdd80
TC
20278 }
20279 else
20280 {
20281 /* 33222222222211111111110000000000
20282 10987654321098765432109876543210
20283 xx1011100x1xxxxx111001xxxxxxxxxx
20284 fcmge. */
193614f2 20285 return 348;
e9dbdd80
TC
20286 }
20287 }
20288 else
20289 {
20290 /* 33222222222211111111110000000000
20291 10987654321098765432109876543210
20292 xxx011101x1xxxxx111001xxxxxxxxxx
20293 fcmgt. */
193614f2 20294 return 362;
e9dbdd80
TC
20295 }
20296 }
20297 }
20298 }
20299 else
20300 {
20301 if (((word >> 13) & 0x1) == 0)
20302 {
20303 if (((word >> 14) & 0x1) == 0)
20304 {
20305 if (((word >> 29) & 0x1) == 0)
20306 {
20307 /* 33222222222211111111110000000000
20308 10987654321098765432109876543210
20309 xx001110xx1xxxxx100101xxxxxxxxxx
20310 mla. */
193614f2 20311 return 285;
e9dbdd80
TC
20312 }
20313 else
20314 {
20315 /* 33222222222211111111110000000000
20316 10987654321098765432109876543210
20317 xx101110xx1xxxxx100101xxxxxxxxxx
20318 mls. */
193614f2 20319 return 337;
e9dbdd80
TC
20320 }
20321 }
20322 else
20323 {
20324 if (((word >> 23) & 0x1) == 0)
20325 {
20326 if (((word >> 29) & 0x1) == 0)
20327 {
20328 /* 33222222222211111111110000000000
20329 10987654321098765432109876543210
20330 xx0011100x1xxxxx110101xxxxxxxxxx
20331 fadd. */
193614f2 20332 return 295;
e9dbdd80
TC
20333 }
20334 else
20335 {
20336 /* 33222222222211111111110000000000
20337 10987654321098765432109876543210
20338 xx1011100x1xxxxx110101xxxxxxxxxx
20339 faddp. */
193614f2 20340 return 344;
e9dbdd80
TC
20341 }
20342 }
20343 else
20344 {
20345 if (((word >> 29) & 0x1) == 0)
20346 {
20347 /* 33222222222211111111110000000000
20348 10987654321098765432109876543210
20349 xx0011101x1xxxxx110101xxxxxxxxxx
20350 fsub. */
193614f2 20351 return 311;
e9dbdd80
TC
20352 }
20353 else
20354 {
20355 /* 33222222222211111111110000000000
20356 10987654321098765432109876543210
20357 xx1011101x1xxxxx110101xxxxxxxxxx
20358 fabd. */
193614f2 20359 return 360;
e9dbdd80
TC
20360 }
20361 }
20362 }
20363 }
20364 else
20365 {
20366 if (((word >> 14) & 0x1) == 0)
20367 {
20368 if (((word >> 29) & 0x1) == 0)
20369 {
20370 /* 33222222222211111111110000000000
20371 10987654321098765432109876543210
20372 xx001110xx1xxxxx101101xxxxxxxxxx
20373 sqdmulh. */
193614f2 20374 return 289;
e9dbdd80
TC
20375 }
20376 else
20377 {
20378 /* 33222222222211111111110000000000
20379 10987654321098765432109876543210
20380 xx101110xx1xxxxx101101xxxxxxxxxx
20381 sqrdmulh. */
193614f2 20382 return 341;
e9dbdd80
TC
20383 }
20384 }
20385 else
20386 {
20387 if (((word >> 23) & 0x1) == 0)
20388 {
20389 if (((word >> 29) & 0x1) == 0)
20390 {
20391 /* 33222222222211111111110000000000
20392 10987654321098765432109876543210
20393 xx0011100x1xxxxx111101xxxxxxxxxx
20394 fmax. */
193614f2 20395 return 301;
e9dbdd80
TC
20396 }
20397 else
20398 {
20399 /* 33222222222211111111110000000000
20400 10987654321098765432109876543210
20401 xx1011100x1xxxxx111101xxxxxxxxxx
20402 fmaxp. */
193614f2 20403 return 352;
e9dbdd80
TC
20404 }
20405 }
20406 else
20407 {
20408 if (((word >> 29) & 0x1) == 0)
20409 {
20410 /* 33222222222211111111110000000000
20411 10987654321098765432109876543210
20412 xx0011101x1xxxxx111101xxxxxxxxxx
20413 fmin. */
193614f2 20414 return 313;
e9dbdd80
TC
20415 }
20416 else
20417 {
20418 /* 33222222222211111111110000000000
20419 10987654321098765432109876543210
20420 xx1011101x1xxxxx111101xxxxxxxxxx
20421 fminp. */
193614f2 20422 return 366;
e9dbdd80
TC
20423 }
20424 }
20425 }
20426 }
20427 }
20428 }
20429 else
20430 {
20431 if (((word >> 12) & 0x1) == 0)
20432 {
20433 if (((word >> 13) & 0x1) == 0)
20434 {
20435 if (((word >> 14) & 0x1) == 0)
20436 {
20437 if (((word >> 29) & 0x1) == 0)
20438 {
20439 if (((word >> 31) & 0x1) == 0)
20440 {
20441 /* 33222222222211111111110000000000
20442 10987654321098765432109876543210
20443 0x001110xx1xxxxx100011xxxxxxxxxx
20444 cmtst. */
193614f2 20445 return 284;
e9dbdd80
TC
20446 }
20447 else
20448 {
20449 /* 33222222222211111111110000000000
20450 10987654321098765432109876543210
20451 1x001110xx1xxxxx100011xxxxxxxxxx
20452 rax1. */
01a4d082 20453 return 2412;
e9dbdd80
TC
20454 }
20455 }
20456 else
20457 {
20458 /* 33222222222211111111110000000000
20459 10987654321098765432109876543210
20460 xx101110xx1xxxxx100011xxxxxxxxxx
20461 cmeq. */
193614f2 20462 return 336;
e9dbdd80
TC
20463 }
20464 }
20465 else
20466 {
20467 if (((word >> 23) & 0x1) == 0)
20468 {
20469 if (((word >> 29) & 0x1) == 0)
20470 {
20471 /* 33222222222211111111110000000000
20472 10987654321098765432109876543210
20473 xx0011100x1xxxxx110011xxxxxxxxxx
20474 fmla. */
193614f2 20475 return 293;
e9dbdd80
TC
20476 }
20477 else
20478 {
20479 if (((word >> 30) & 0x1) == 0)
20480 {
20481 /* 33222222222211111111110000000000
20482 10987654321098765432109876543210
20483 x01011100x1xxxxx110011xxxxxxxxxx
20484 fmlal2. */
01a4d082 20485 return 2426;
e9dbdd80
TC
20486 }
20487 else
20488 {
20489 /* 33222222222211111111110000000000
20490 10987654321098765432109876543210
20491 x11011100x1xxxxx110011xxxxxxxxxx
20492 fmlal2. */
01a4d082 20493 return 2430;
e9dbdd80
TC
20494 }
20495 }
20496 }
20497 else
20498 {
20499 if (((word >> 29) & 0x1) == 0)
20500 {
20501 /* 33222222222211111111110000000000
20502 10987654321098765432109876543210
20503 xx0011101x1xxxxx110011xxxxxxxxxx
20504 fmls. */
193614f2 20505 return 309;
e9dbdd80
TC
20506 }
20507 else
20508 {
20509 if (((word >> 30) & 0x1) == 0)
20510 {
20511 /* 33222222222211111111110000000000
20512 10987654321098765432109876543210
20513 x01011101x1xxxxx110011xxxxxxxxxx
20514 fmlsl2. */
01a4d082 20515 return 2427;
e9dbdd80
TC
20516 }
20517 else
20518 {
20519 /* 33222222222211111111110000000000
20520 10987654321098765432109876543210
20521 x11011101x1xxxxx110011xxxxxxxxxx
20522 fmlsl2. */
01a4d082 20523 return 2431;
e9dbdd80
TC
20524 }
20525 }
20526 }
20527 }
20528 }
20529 else
20530 {
20531 if (((word >> 14) & 0x1) == 0)
20532 {
20533 if (((word >> 29) & 0x1) == 0)
20534 {
20535 /* 33222222222211111111110000000000
20536 10987654321098765432109876543210
20537 xx001110xx1xxxxx101011xxxxxxxxxx
20538 sminp. */
193614f2 20539 return 288;
e9dbdd80
TC
20540 }
20541 else
20542 {
20543 /* 33222222222211111111110000000000
20544 10987654321098765432109876543210
20545 xx101110xx1xxxxx101011xxxxxxxxxx
20546 uminp. */
193614f2 20547 return 340;
e9dbdd80
TC
20548 }
20549 }
20550 else
20551 {
20552 if (((word >> 23) & 0x1) == 0)
20553 {
20554 if (((word >> 29) & 0x1) == 0)
20555 {
20556 if (((word >> 30) & 0x1) == 0)
20557 {
20558 /* 33222222222211111111110000000000
20559 10987654321098765432109876543210
20560 x00011100x1xxxxx111011xxxxxxxxxx
20561 fmlal. */
01a4d082 20562 return 2424;
e9dbdd80
TC
20563 }
20564 else
20565 {
20566 /* 33222222222211111111110000000000
20567 10987654321098765432109876543210
20568 x10011100x1xxxxx111011xxxxxxxxxx
20569 fmlal. */
01a4d082 20570 return 2428;
e9dbdd80
TC
20571 }
20572 }
20573 else
20574 {
20575 /* 33222222222211111111110000000000
20576 10987654321098765432109876543210
20577 xx1011100x1xxxxx111011xxxxxxxxxx
20578 facge. */
193614f2 20579 return 350;
e9dbdd80
TC
20580 }
20581 }
20582 else
20583 {
20584 if (((word >> 29) & 0x1) == 0)
20585 {
20586 if (((word >> 30) & 0x1) == 0)
20587 {
20588 /* 33222222222211111111110000000000
20589 10987654321098765432109876543210
20590 x00011101x1xxxxx111011xxxxxxxxxx
20591 fmlsl. */
01a4d082 20592 return 2425;
e9dbdd80
TC
20593 }
20594 else
20595 {
20596 /* 33222222222211111111110000000000
20597 10987654321098765432109876543210
20598 x10011101x1xxxxx111011xxxxxxxxxx
20599 fmlsl. */
01a4d082 20600 return 2429;
e9dbdd80
TC
20601 }
20602 }
20603 else
20604 {
20605 /* 33222222222211111111110000000000
20606 10987654321098765432109876543210
20607 xx1011101x1xxxxx111011xxxxxxxxxx
20608 facgt. */
193614f2 20609 return 364;
e9dbdd80
TC
20610 }
20611 }
20612 }
20613 }
20614 }
20615 else
20616 {
20617 if (((word >> 13) & 0x1) == 0)
a06ea964 20618 {
e9dbdd80
TC
20619 if (((word >> 14) & 0x1) == 0)
20620 {
20621 if (((word >> 29) & 0x1) == 0)
20622 {
20623 /* 33222222222211111111110000000000
20624 10987654321098765432109876543210
20625 xx001110xx1xxxxx100111xxxxxxxxxx
20626 mul. */
193614f2 20627 return 286;
e9dbdd80
TC
20628 }
20629 else
20630 {
20631 /* 33222222222211111111110000000000
20632 10987654321098765432109876543210
20633 xx101110xx1xxxxx100111xxxxxxxxxx
20634 pmul. */
193614f2 20635 return 338;
e9dbdd80
TC
20636 }
20637 }
20638 else
a06ea964
NC
20639 {
20640 if (((word >> 29) & 0x1) == 0)
20641 {
20642 /* 33222222222211111111110000000000
20643 10987654321098765432109876543210
e9dbdd80
TC
20644 xx001110xx1xxxxx110111xxxxxxxxxx
20645 fmulx. */
193614f2 20646 return 297;
e9dbdd80
TC
20647 }
20648 else
20649 {
20650 /* 33222222222211111111110000000000
20651 10987654321098765432109876543210
20652 xx101110xx1xxxxx110111xxxxxxxxxx
20653 fmul. */
193614f2 20654 return 346;
e9dbdd80
TC
20655 }
20656 }
20657 }
20658 else
20659 {
20660 if (((word >> 14) & 0x1) == 0)
20661 {
20662 /* 33222222222211111111110000000000
20663 10987654321098765432109876543210
20664 xxx01110xx1xxxxx101111xxxxxxxxxx
20665 addp. */
193614f2 20666 return 290;
e9dbdd80
TC
20667 }
20668 else
20669 {
20670 if (((word >> 23) & 0x1) == 0)
20671 {
20672 if (((word >> 29) & 0x1) == 0)
20673 {
20674 /* 33222222222211111111110000000000
20675 10987654321098765432109876543210
20676 xx0011100x1xxxxx111111xxxxxxxxxx
20677 frecps. */
193614f2 20678 return 303;
e9dbdd80
TC
20679 }
20680 else
20681 {
20682 /* 33222222222211111111110000000000
20683 10987654321098765432109876543210
20684 xx1011100x1xxxxx111111xxxxxxxxxx
20685 fdiv. */
193614f2 20686 return 354;
e9dbdd80
TC
20687 }
20688 }
20689 else
20690 {
20691 /* 33222222222211111111110000000000
20692 10987654321098765432109876543210
20693 xxx011101x1xxxxx111111xxxxxxxxxx
20694 frsqrts. */
193614f2 20695 return 315;
e9dbdd80
TC
20696 }
20697 }
20698 }
20699 }
20700 }
20701 }
20702 }
20703 }
20704 else
20705 {
20706 if (((word >> 10) & 0x1) == 0)
20707 {
20708 if (((word >> 11) & 0x1) == 0)
20709 {
20710 if (((word >> 12) & 0x1) == 0)
20711 {
20712 if (((word >> 13) & 0x1) == 0)
20713 {
20714 if (((word >> 14) & 0x1) == 0)
20715 {
20716 if (((word >> 16) & 0x1) == 0)
20717 {
20718 if (((word >> 17) & 0x1) == 0)
20719 {
20720 if (((word >> 18) & 0x1) == 0)
20721 {
20722 if (((word >> 19) & 0x1) == 0)
20723 {
20724 if (((word >> 20) & 0x1) == 0)
20725 {
20726 /* 33222222222211111111110000000000
20727 10987654321098765432109876543210
20728 xxx11110xx100000x00000xxxxxxxxxx
20729 fcvtns. */
09c1e68a 20730 return 768;
e9dbdd80
TC
20731 }
20732 else
20733 {
20734 /* 33222222222211111111110000000000
20735 10987654321098765432109876543210
20736 xxx11110xx110000x00000xxxxxxxxxx
20737 fcvtms. */
09c1e68a 20738 return 788;
e9dbdd80
TC
20739 }
20740 }
20741 else
20742 {
20743 if (((word >> 20) & 0x1) == 0)
20744 {
20745 /* 33222222222211111111110000000000
20746 10987654321098765432109876543210
20747 xxx11110xx101000x00000xxxxxxxxxx
20748 fcvtps. */
09c1e68a 20749 return 784;
e9dbdd80
TC
20750 }
20751 else
20752 {
20753 /* 33222222222211111111110000000000
20754 10987654321098765432109876543210
20755 xxx11110xx111000x00000xxxxxxxxxx
20756 fcvtzs. */
09c1e68a 20757 return 792;
e9dbdd80
TC
20758 }
20759 }
20760 }
20761 else
20762 {
20763 /* 33222222222211111111110000000000
20764 10987654321098765432109876543210
20765 xxx11110xx1xx100x00000xxxxxxxxxx
20766 fcvtas. */
09c1e68a 20767 return 776;
e9dbdd80
TC
20768 }
20769 }
20770 else
20771 {
20772 if (((word >> 18) & 0x1) == 0)
20773 {
20774 /* 33222222222211111111110000000000
20775 10987654321098765432109876543210
20776 xxx11110xx1xx010x00000xxxxxxxxxx
20777 scvtf. */
09c1e68a 20778 return 772;
e9dbdd80
TC
20779 }
20780 else
20781 {
20782 if (((word >> 19) & 0x1) == 0)
20783 {
20784 /* 33222222222211111111110000000000
20785 10987654321098765432109876543210
20786 xxx11110xx1x0110x00000xxxxxxxxxx
20787 fmov. */
09c1e68a 20788 return 780;
e9dbdd80
TC
20789 }
20790 else
20791 {
20792 if (((word >> 20) & 0x1) == 0)
20793 {
20794 /* 33222222222211111111110000000000
20795 10987654321098765432109876543210
20796 xxx11110xx101110x00000xxxxxxxxxx
20797 fmov. */
09c1e68a 20798 return 796;
e9dbdd80
TC
20799 }
20800 else
20801 {
20802 /* 33222222222211111111110000000000
20803 10987654321098765432109876543210
20804 xxx11110xx111110x00000xxxxxxxxxx
20805 fjcvtzs. */
09c1e68a 20806 return 798;
e9dbdd80
TC
20807 }
20808 }
20809 }
20810 }
20811 }
20812 else
20813 {
20814 if (((word >> 17) & 0x1) == 0)
20815 {
20816 if (((word >> 18) & 0x1) == 0)
20817 {
20818 if (((word >> 19) & 0x1) == 0)
20819 {
20820 if (((word >> 20) & 0x1) == 0)
20821 {
20822 /* 33222222222211111111110000000000
20823 10987654321098765432109876543210
20824 xxx11110xx100001x00000xxxxxxxxxx
20825 fcvtnu. */
09c1e68a 20826 return 770;
e9dbdd80
TC
20827 }
20828 else
20829 {
20830 /* 33222222222211111111110000000000
20831 10987654321098765432109876543210
20832 xxx11110xx110001x00000xxxxxxxxxx
20833 fcvtmu. */
09c1e68a 20834 return 790;
e9dbdd80
TC
20835 }
20836 }
20837 else
20838 {
20839 if (((word >> 20) & 0x1) == 0)
20840 {
20841 /* 33222222222211111111110000000000
20842 10987654321098765432109876543210
20843 xxx11110xx101001x00000xxxxxxxxxx
20844 fcvtpu. */
09c1e68a 20845 return 786;
e9dbdd80
TC
20846 }
20847 else
20848 {
20849 /* 33222222222211111111110000000000
20850 10987654321098765432109876543210
20851 xxx11110xx111001x00000xxxxxxxxxx
20852 fcvtzu. */
09c1e68a 20853 return 794;
e9dbdd80
TC
20854 }
20855 }
20856 }
20857 else
20858 {
20859 /* 33222222222211111111110000000000
20860 10987654321098765432109876543210
20861 xxx11110xx1xx101x00000xxxxxxxxxx
20862 fcvtau. */
09c1e68a 20863 return 778;
e9dbdd80 20864 }
a06ea964
NC
20865 }
20866 else
20867 {
e9dbdd80
TC
20868 if (((word >> 18) & 0x1) == 0)
20869 {
20870 /* 33222222222211111111110000000000
20871 10987654321098765432109876543210
20872 xxx11110xx1xx011x00000xxxxxxxxxx
20873 ucvtf. */
09c1e68a 20874 return 774;
e9dbdd80
TC
20875 }
20876 else
20877 {
20878 if (((word >> 19) & 0x1) == 0)
20879 {
20880 /* 33222222222211111111110000000000
20881 10987654321098765432109876543210
20882 xxx11110xx1x0111x00000xxxxxxxxxx
20883 fmov. */
09c1e68a 20884 return 782;
e9dbdd80
TC
20885 }
20886 else
20887 {
20888 /* 33222222222211111111110000000000
20889 10987654321098765432109876543210
20890 xxx11110xx1x1111x00000xxxxxxxxxx
20891 fmov. */
09c1e68a 20892 return 797;
e9dbdd80
TC
20893 }
20894 }
a06ea964
NC
20895 }
20896 }
e9dbdd80
TC
20897 }
20898 else
20899 {
20900 if (((word >> 17) & 0x1) == 0)
a06ea964 20901 {
e9dbdd80 20902 if (((word >> 15) & 0x1) == 0)
a06ea964 20903 {
e9dbdd80
TC
20904 if (((word >> 16) & 0x1) == 0)
20905 {
20906 if (((word >> 18) & 0x1) == 0)
20907 {
13c60ad7
SD
20908 if (((word >> 19) & 0x1) == 0)
20909 {
20910 /* 33222222222211111111110000000000
20911 10987654321098765432109876543210
20912 xxx11110xx1x0000010000xxxxxxxxxx
20913 fmov. */
09c1e68a 20914 return 817;
13c60ad7
SD
20915 }
20916 else
20917 {
20918 /* 33222222222211111111110000000000
20919 10987654321098765432109876543210
20920 xxx11110xx1x1000010000xxxxxxxxxx
20921 frint32z. */
09c1e68a 20922 return 813;
13c60ad7 20923 }
e9dbdd80
TC
20924 }
20925 else
20926 {
20927 /* 33222222222211111111110000000000
20928 10987654321098765432109876543210
20929 xxx11110xx1xx100010000xxxxxxxxxx
20930 frintn. */
09c1e68a 20931 return 826;
e9dbdd80
TC
20932 }
20933 }
20934 else
20935 {
20936 if (((word >> 18) & 0x1) == 0)
20937 {
13c60ad7
SD
20938 if (((word >> 19) & 0x1) == 0)
20939 {
20940 /* 33222222222211111111110000000000
20941 10987654321098765432109876543210
20942 xxx11110xx1x0001010000xxxxxxxxxx
20943 fneg. */
09c1e68a 20944 return 821;
13c60ad7
SD
20945 }
20946 else
20947 {
20948 /* 33222222222211111111110000000000
20949 10987654321098765432109876543210
20950 xxx11110xx1x1001010000xxxxxxxxxx
20951 frint64z. */
09c1e68a 20952 return 815;
13c60ad7 20953 }
e9dbdd80
TC
20954 }
20955 else
20956 {
20957 /* 33222222222211111111110000000000
20958 10987654321098765432109876543210
20959 xxx11110xx1xx101010000xxxxxxxxxx
20960 frintm. */
09c1e68a 20961 return 830;
e9dbdd80
TC
20962 }
20963 }
a06ea964
NC
20964 }
20965 else
20966 {
e9dbdd80
TC
20967 if (((word >> 16) & 0x1) == 0)
20968 {
20969 if (((word >> 18) & 0x1) == 0)
20970 {
13c60ad7
SD
20971 if (((word >> 19) & 0x1) == 0)
20972 {
20973 /* 33222222222211111111110000000000
20974 10987654321098765432109876543210
20975 xxx11110xx1x0000110000xxxxxxxxxx
20976 fabs. */
09c1e68a 20977 return 819;
13c60ad7
SD
20978 }
20979 else
20980 {
20981 /* 33222222222211111111110000000000
20982 10987654321098765432109876543210
20983 xxx11110xx1x1000110000xxxxxxxxxx
20984 frint32x. */
09c1e68a 20985 return 814;
13c60ad7 20986 }
e9dbdd80
TC
20987 }
20988 else
20989 {
20990 /* 33222222222211111111110000000000
20991 10987654321098765432109876543210
20992 xxx11110xx1xx100110000xxxxxxxxxx
20993 frintp. */
09c1e68a 20994 return 828;
e9dbdd80
TC
20995 }
20996 }
20997 else
20998 {
20999 if (((word >> 18) & 0x1) == 0)
21000 {
13c60ad7
SD
21001 if (((word >> 19) & 0x1) == 0)
21002 {
21003 /* 33222222222211111111110000000000
21004 10987654321098765432109876543210
21005 xxx11110xx1x0001110000xxxxxxxxxx
21006 fsqrt. */
09c1e68a 21007 return 823;
13c60ad7
SD
21008 }
21009 else
21010 {
21011 /* 33222222222211111111110000000000
21012 10987654321098765432109876543210
21013 xxx11110xx1x1001110000xxxxxxxxxx
21014 frint64x. */
09c1e68a 21015 return 816;
13c60ad7 21016 }
e9dbdd80
TC
21017 }
21018 else
21019 {
21020 /* 33222222222211111111110000000000
21021 10987654321098765432109876543210
21022 xxx11110xx1xx101110000xxxxxxxxxx
21023 frintz. */
09c1e68a 21024 return 832;
e9dbdd80
TC
21025 }
21026 }
a06ea964
NC
21027 }
21028 }
e9dbdd80 21029 else
a06ea964 21030 {
e9dbdd80 21031 if (((word >> 18) & 0x1) == 0)
a06ea964
NC
21032 {
21033 /* 33222222222211111111110000000000
21034 10987654321098765432109876543210
e9dbdd80
TC
21035 xxx11110xx1xx01xx10000xxxxxxxxxx
21036 fcvt. */
09c1e68a 21037 return 825;
a06ea964
NC
21038 }
21039 else
21040 {
e9dbdd80 21041 if (((word >> 15) & 0x1) == 0)
a06ea964 21042 {
e9dbdd80
TC
21043 if (((word >> 16) & 0x1) == 0)
21044 {
21045 /* 33222222222211111111110000000000
21046 10987654321098765432109876543210
21047 xxx11110xx1xx110010000xxxxxxxxxx
21048 frinta. */
09c1e68a 21049 return 834;
e9dbdd80
TC
21050 }
21051 else
21052 {
21053 /* 33222222222211111111110000000000
21054 10987654321098765432109876543210
21055 xxx11110xx1xx111010000xxxxxxxxxx
21056 frintx. */
09c1e68a 21057 return 836;
e9dbdd80 21058 }
a06ea964
NC
21059 }
21060 else
21061 {
21062 /* 33222222222211111111110000000000
21063 10987654321098765432109876543210
e9dbdd80
TC
21064 xxx11110xx1xx11x110000xxxxxxxxxx
21065 frinti. */
09c1e68a 21066 return 838;
a06ea964
NC
21067 }
21068 }
e9dbdd80
TC
21069 }
21070 }
21071 }
21072 else
21073 {
21074 if (((word >> 3) & 0x1) == 0)
21075 {
21076 if (((word >> 4) & 0x1) == 0)
21077 {
21078 /* 33222222222211111111110000000000
21079 10987654321098765432109876543210
21080 xxx11110xx1xxxxxxx1000xxxxx00xxx
21081 fcmp. */
09c1e68a 21082 return 803;
e9dbdd80
TC
21083 }
21084 else
21085 {
21086 /* 33222222222211111111110000000000
21087 10987654321098765432109876543210
21088 xxx11110xx1xxxxxxx1000xxxxx10xxx
21089 fcmpe. */
09c1e68a 21090 return 805;
e9dbdd80
TC
21091 }
21092 }
21093 else
21094 {
21095 if (((word >> 4) & 0x1) == 0)
21096 {
21097 /* 33222222222211111111110000000000
21098 10987654321098765432109876543210
21099 xxx11110xx1xxxxxxx1000xxxxx01xxx
21100 fcmp. */
09c1e68a 21101 return 807;
e9dbdd80
TC
21102 }
21103 else
21104 {
21105 /* 33222222222211111111110000000000
21106 10987654321098765432109876543210
21107 xxx11110xx1xxxxxxx1000xxxxx11xxx
21108 fcmpe. */
09c1e68a 21109 return 809;
a06ea964
NC
21110 }
21111 }
21112 }
21113 }
21114 else
21115 {
e9dbdd80 21116 if (((word >> 30) & 0x1) == 0)
a06ea964 21117 {
e9dbdd80
TC
21118 /* 33222222222211111111110000000000
21119 10987654321098765432109876543210
21120 x0x11110xx1xxxxxxxx100xxxxxxxxxx
21121 fmov. */
09c1e68a 21122 return 866;
e9dbdd80
TC
21123 }
21124 else
21125 {
21126 if (((word >> 13) & 0x1) == 0)
a06ea964 21127 {
e9dbdd80 21128 if (((word >> 14) & 0x1) == 0)
a06ea964 21129 {
e9dbdd80
TC
21130 /* 33222222222211111111110000000000
21131 10987654321098765432109876543210
21132 x1x11110xx1xxxxxx00100xxxxxxxxxx
21133 sqdmlal. */
193614f2 21134 return 422;
a06ea964
NC
21135 }
21136 else
21137 {
e9dbdd80
TC
21138 /* 33222222222211111111110000000000
21139 10987654321098765432109876543210
21140 x1x11110xx1xxxxxx10100xxxxxxxxxx
21141 sqdmull. */
193614f2 21142 return 424;
a06ea964
NC
21143 }
21144 }
21145 else
e9dbdd80
TC
21146 {
21147 /* 33222222222211111111110000000000
21148 10987654321098765432109876543210
21149 x1x11110xx1xxxxxxx1100xxxxxxxxxx
21150 sqdmlsl. */
193614f2 21151 return 423;
e9dbdd80
TC
21152 }
21153 }
21154 }
21155 }
21156 else
21157 {
21158 if (((word >> 12) & 0x1) == 0)
21159 {
21160 if (((word >> 13) & 0x1) == 0)
21161 {
21162 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
21163 {
21164 if (((word >> 15) & 0x1) == 0)
21165 {
e9dbdd80 21166 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
21167 {
21168 /* 33222222222211111111110000000000
21169 10987654321098765432109876543210
e9dbdd80
TC
21170 x0x11110xx1xxxxx000010xxxxxxxxxx
21171 fmul. */
09c1e68a 21172 return 840;
a06ea964
NC
21173 }
21174 else
21175 {
21176 /* 33222222222211111111110000000000
21177 10987654321098765432109876543210
e9dbdd80
TC
21178 x1x11110xx1xxxxx000010xxxxxxxxxx
21179 sha1h. */
193614f2 21180 return 675;
a06ea964
NC
21181 }
21182 }
21183 else
21184 {
e9dbdd80 21185 if (((word >> 29) & 0x1) == 0)
a06ea964 21186 {
e9dbdd80 21187 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
21188 {
21189 /* 33222222222211111111110000000000
21190 10987654321098765432109876543210
e9dbdd80
TC
21191 x0011110xx1xxxxx100010xxxxxxxxxx
21192 fnmul. */
09c1e68a 21193 return 856;
a06ea964
NC
21194 }
21195 else
21196 {
21197 /* 33222222222211111111110000000000
21198 10987654321098765432109876543210
e9dbdd80
TC
21199 x1011110xx1xxxxx100010xxxxxxxxxx
21200 cmgt. */
193614f2 21201 return 482;
a06ea964
NC
21202 }
21203 }
a06ea964
NC
21204 else
21205 {
21206 /* 33222222222211111111110000000000
21207 10987654321098765432109876543210
e9dbdd80
TC
21208 xx111110xx1xxxxx100010xxxxxxxxxx
21209 cmge. */
193614f2 21210 return 511;
a06ea964
NC
21211 }
21212 }
21213 }
21214 else
21215 {
21216 if (((word >> 15) & 0x1) == 0)
21217 {
21218 if (((word >> 29) & 0x1) == 0)
21219 {
e9dbdd80
TC
21220 if (((word >> 30) & 0x1) == 0)
21221 {
21222 /* 33222222222211111111110000000000
21223 10987654321098765432109876543210
21224 x0011110xx1xxxxx010010xxxxxxxxxx
21225 fmax. */
09c1e68a 21226 return 848;
e9dbdd80
TC
21227 }
21228 else
21229 {
21230 /* 33222222222211111111110000000000
21231 10987654321098765432109876543210
21232 x1011110xx1xxxxx010010xxxxxxxxxx
21233 sqxtn. */
193614f2 21234 return 486;
e9dbdd80 21235 }
a06ea964
NC
21236 }
21237 else
21238 {
21239 /* 33222222222211111111110000000000
21240 10987654321098765432109876543210
e9dbdd80
TC
21241 xx111110xx1xxxxx010010xxxxxxxxxx
21242 uqxtn. */
193614f2 21243 return 515;
a06ea964
NC
21244 }
21245 }
21246 else
21247 {
e9dbdd80 21248 if (((word >> 16) & 0x1) == 0)
a06ea964 21249 {
e9dbdd80 21250 if (((word >> 19) & 0x1) == 0)
a06ea964 21251 {
e9dbdd80
TC
21252 if (((word >> 20) & 0x1) == 0)
21253 {
21254 if (((word >> 29) & 0x1) == 0)
21255 {
21256 /* 33222222222211111111110000000000
21257 10987654321098765432109876543210
21258 xx011110xx100xx0110010xxxxxxxxxx
21259 fcmgt. */
193614f2 21260 return 495;
e9dbdd80
TC
21261 }
21262 else
21263 {
21264 /* 33222222222211111111110000000000
21265 10987654321098765432109876543210
21266 xx111110xx100xx0110010xxxxxxxxxx
21267 fcmge. */
193614f2 21268 return 525;
e9dbdd80
TC
21269 }
21270 }
21271 else
21272 {
21273 if (((word >> 23) & 0x1) == 0)
21274 {
21275 if (((word >> 29) & 0x1) == 0)
21276 {
21277 /* 33222222222211111111110000000000
21278 10987654321098765432109876543210
21279 xx0111100x110xx0110010xxxxxxxxxx
21280 fmaxnmp. */
193614f2 21281 return 539;
e9dbdd80
TC
21282 }
21283 else
21284 {
21285 /* 33222222222211111111110000000000
21286 10987654321098765432109876543210
21287 xx1111100x110xx0110010xxxxxxxxxx
21288 fmaxnmp. */
193614f2 21289 return 538;
e9dbdd80
TC
21290 }
21291 }
21292 else
21293 {
21294 if (((word >> 29) & 0x1) == 0)
21295 {
21296 /* 33222222222211111111110000000000
21297 10987654321098765432109876543210
21298 xx0111101x110xx0110010xxxxxxxxxx
21299 fminnmp. */
193614f2 21300 return 545;
e9dbdd80
TC
21301 }
21302 else
21303 {
21304 /* 33222222222211111111110000000000
21305 10987654321098765432109876543210
21306 xx1111101x110xx0110010xxxxxxxxxx
21307 fminnmp. */
193614f2 21308 return 544;
e9dbdd80
TC
21309 }
21310 }
21311 }
a06ea964
NC
21312 }
21313 else
21314 {
e9dbdd80
TC
21315 if (((word >> 29) & 0x1) == 0)
21316 {
21317 /* 33222222222211111111110000000000
21318 10987654321098765432109876543210
21319 xx011110xx1x1xx0110010xxxxxxxxxx
21320 fcmgt. */
193614f2 21321 return 496;
e9dbdd80
TC
21322 }
21323 else
21324 {
21325 /* 33222222222211111111110000000000
21326 10987654321098765432109876543210
21327 xx111110xx1x1xx0110010xxxxxxxxxx
21328 fcmge. */
193614f2 21329 return 526;
e9dbdd80 21330 }
a06ea964
NC
21331 }
21332 }
21333 else
21334 {
e9dbdd80 21335 if (((word >> 19) & 0x1) == 0)
a06ea964 21336 {
e9dbdd80
TC
21337 if (((word >> 29) & 0x1) == 0)
21338 {
21339 /* 33222222222211111111110000000000
21340 10987654321098765432109876543210
21341 xx011110xx1x0xx1110010xxxxxxxxxx
21342 fcvtas. */
193614f2 21343 return 491;
e9dbdd80
TC
21344 }
21345 else
21346 {
21347 /* 33222222222211111111110000000000
21348 10987654321098765432109876543210
21349 xx111110xx1x0xx1110010xxxxxxxxxx
21350 fcvtau. */
193614f2 21351 return 521;
e9dbdd80 21352 }
a06ea964
NC
21353 }
21354 else
21355 {
e9dbdd80
TC
21356 if (((word >> 29) & 0x1) == 0)
21357 {
21358 /* 33222222222211111111110000000000
21359 10987654321098765432109876543210
21360 xx011110xx1x1xx1110010xxxxxxxxxx
21361 fcvtas. */
193614f2 21362 return 492;
e9dbdd80
TC
21363 }
21364 else
21365 {
21366 /* 33222222222211111111110000000000
21367 10987654321098765432109876543210
21368 xx111110xx1x1xx1110010xxxxxxxxxx
21369 fcvtau. */
193614f2 21370 return 522;
e9dbdd80 21371 }
a06ea964
NC
21372 }
21373 }
21374 }
21375 }
21376 }
a06ea964
NC
21377 else
21378 {
e9dbdd80 21379 if (((word >> 14) & 0x1) == 0)
a06ea964 21380 {
e9dbdd80 21381 if (((word >> 15) & 0x1) == 0)
a06ea964 21382 {
e9dbdd80 21383 if (((word >> 29) & 0x1) == 0)
a06ea964 21384 {
e9dbdd80
TC
21385 if (((word >> 30) & 0x1) == 0)
21386 {
21387 /* 33222222222211111111110000000000
21388 10987654321098765432109876543210
21389 x0011110xx1xxxxx001010xxxxxxxxxx
21390 fadd. */
09c1e68a 21391 return 844;
e9dbdd80
TC
21392 }
21393 else
21394 {
21395 /* 33222222222211111111110000000000
21396 10987654321098765432109876543210
21397 x1011110xx1xxxxx001010xxxxxxxxxx
21398 sha256su0. */
193614f2 21399 return 677;
e9dbdd80 21400 }
a06ea964
NC
21401 }
21402 else
21403 {
21404 /* 33222222222211111111110000000000
21405 10987654321098765432109876543210
e9dbdd80
TC
21406 xx111110xx1xxxxx001010xxxxxxxxxx
21407 sqxtun. */
193614f2 21408 return 514;
a06ea964
NC
21409 }
21410 }
21411 else
21412 {
e9dbdd80 21413 if (((word >> 16) & 0x1) == 0)
a06ea964
NC
21414 {
21415 /* 33222222222211111111110000000000
21416 10987654321098765432109876543210
e9dbdd80
TC
21417 xxx11110xx1xxxx0101010xxxxxxxxxx
21418 cmlt. */
193614f2 21419 return 484;
a06ea964
NC
21420 }
21421 else
21422 {
e9dbdd80
TC
21423 if (((word >> 19) & 0x1) == 0)
21424 {
21425 if (((word >> 23) & 0x1) == 0)
21426 {
21427 if (((word >> 29) & 0x1) == 0)
21428 {
21429 /* 33222222222211111111110000000000
21430 10987654321098765432109876543210
21431 xx0111100x1x0xx1101010xxxxxxxxxx
21432 fcvtns. */
193614f2 21433 return 487;
e9dbdd80
TC
21434 }
21435 else
21436 {
21437 /* 33222222222211111111110000000000
21438 10987654321098765432109876543210
21439 xx1111100x1x0xx1101010xxxxxxxxxx
21440 fcvtnu. */
193614f2 21441 return 517;
e9dbdd80
TC
21442 }
21443 }
21444 else
21445 {
21446 if (((word >> 29) & 0x1) == 0)
21447 {
21448 /* 33222222222211111111110000000000
21449 10987654321098765432109876543210
21450 xx0111101x1x0xx1101010xxxxxxxxxx
21451 fcvtps. */
193614f2 21452 return 501;
e9dbdd80
TC
21453 }
21454 else
21455 {
21456 /* 33222222222211111111110000000000
21457 10987654321098765432109876543210
21458 xx1111101x1x0xx1101010xxxxxxxxxx
21459 fcvtpu. */
193614f2 21460 return 529;
e9dbdd80
TC
21461 }
21462 }
21463 }
21464 else
21465 {
21466 if (((word >> 23) & 0x1) == 0)
21467 {
21468 if (((word >> 29) & 0x1) == 0)
21469 {
21470 /* 33222222222211111111110000000000
21471 10987654321098765432109876543210
21472 xx0111100x1x1xx1101010xxxxxxxxxx
21473 fcvtns. */
193614f2 21474 return 488;
e9dbdd80
TC
21475 }
21476 else
21477 {
21478 /* 33222222222211111111110000000000
21479 10987654321098765432109876543210
21480 xx1111100x1x1xx1101010xxxxxxxxxx
21481 fcvtnu. */
193614f2 21482 return 518;
e9dbdd80
TC
21483 }
21484 }
21485 else
21486 {
21487 if (((word >> 29) & 0x1) == 0)
21488 {
21489 /* 33222222222211111111110000000000
21490 10987654321098765432109876543210
21491 xx0111101x1x1xx1101010xxxxxxxxxx
21492 fcvtps. */
193614f2 21493 return 502;
e9dbdd80
TC
21494 }
21495 else
21496 {
21497 /* 33222222222211111111110000000000
21498 10987654321098765432109876543210
21499 xx1111101x1x1xx1101010xxxxxxxxxx
21500 fcvtpu. */
193614f2 21501 return 530;
e9dbdd80
TC
21502 }
21503 }
21504 }
21505 }
a06ea964
NC
21506 }
21507 }
e9dbdd80 21508 else
a06ea964
NC
21509 {
21510 if (((word >> 15) & 0x1) == 0)
21511 {
21512 if (((word >> 29) & 0x1) == 0)
21513 {
21514 /* 33222222222211111111110000000000
21515 10987654321098765432109876543210
e9dbdd80
TC
21516 xx011110xx1xxxxx011010xxxxxxxxxx
21517 fmaxnm. */
09c1e68a 21518 return 852;
a06ea964
NC
21519 }
21520 else
21521 {
21522 /* 33222222222211111111110000000000
21523 10987654321098765432109876543210
e9dbdd80
TC
21524 xx111110xx1xxxxx011010xxxxxxxxxx
21525 fcvtxn. */
193614f2 21526 return 516;
a06ea964
NC
21527 }
21528 }
21529 else
21530 {
e9dbdd80 21531 if (((word >> 19) & 0x1) == 0)
a06ea964
NC
21532 {
21533 /* 33222222222211111111110000000000
21534 10987654321098765432109876543210
e9dbdd80
TC
21535 xxx11110xx1x0xxx111010xxxxxxxxxx
21536 fcmlt. */
193614f2 21537 return 499;
a06ea964
NC
21538 }
21539 else
21540 {
21541 /* 33222222222211111111110000000000
21542 10987654321098765432109876543210
e9dbdd80
TC
21543 xxx11110xx1x1xxx111010xxxxxxxxxx
21544 fcmlt. */
193614f2 21545 return 500;
a06ea964
NC
21546 }
21547 }
21548 }
e9dbdd80
TC
21549 }
21550 }
21551 else
21552 {
21553 if (((word >> 13) & 0x1) == 0)
21554 {
21555 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
21556 {
21557 if (((word >> 15) & 0x1) == 0)
21558 {
e9dbdd80 21559 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
21560 {
21561 /* 33222222222211111111110000000000
21562 10987654321098765432109876543210
e9dbdd80
TC
21563 x0x11110xx1xxxxx000110xxxxxxxxxx
21564 fdiv. */
09c1e68a 21565 return 842;
a06ea964
NC
21566 }
21567 else
21568 {
21569 /* 33222222222211111111110000000000
21570 10987654321098765432109876543210
e9dbdd80
TC
21571 x1x11110xx1xxxxx000110xxxxxxxxxx
21572 sha1su1. */
193614f2 21573 return 676;
a06ea964
NC
21574 }
21575 }
21576 else
21577 {
e9dbdd80 21578 if (((word >> 29) & 0x1) == 0)
a06ea964
NC
21579 {
21580 /* 33222222222211111111110000000000
21581 10987654321098765432109876543210
e9dbdd80
TC
21582 xx011110xx1xxxxx100110xxxxxxxxxx
21583 cmeq. */
193614f2 21584 return 483;
a06ea964
NC
21585 }
21586 else
21587 {
21588 /* 33222222222211111111110000000000
21589 10987654321098765432109876543210
e9dbdd80
TC
21590 xx111110xx1xxxxx100110xxxxxxxxxx
21591 cmle. */
193614f2 21592 return 512;
a06ea964
NC
21593 }
21594 }
21595 }
e9dbdd80 21596 else
a06ea964
NC
21597 {
21598 if (((word >> 15) & 0x1) == 0)
21599 {
e9dbdd80
TC
21600 /* 33222222222211111111110000000000
21601 10987654321098765432109876543210
21602 xxx11110xx1xxxxx010110xxxxxxxxxx
21603 fmin. */
09c1e68a 21604 return 850;
a06ea964
NC
21605 }
21606 else
21607 {
e9dbdd80 21608 if (((word >> 16) & 0x1) == 0)
a06ea964 21609 {
e9dbdd80
TC
21610 if (((word >> 19) & 0x1) == 0)
21611 {
21612 if (((word >> 20) & 0x1) == 0)
21613 {
21614 if (((word >> 29) & 0x1) == 0)
21615 {
21616 /* 33222222222211111111110000000000
21617 10987654321098765432109876543210
21618 xx011110xx100xx0110110xxxxxxxxxx
21619 fcmeq. */
193614f2 21620 return 497;
e9dbdd80
TC
21621 }
21622 else
21623 {
21624 /* 33222222222211111111110000000000
21625 10987654321098765432109876543210
21626 xx111110xx100xx0110110xxxxxxxxxx
21627 fcmle. */
193614f2 21628 return 527;
e9dbdd80
TC
21629 }
21630 }
21631 else
21632 {
21633 if (((word >> 29) & 0x1) == 0)
21634 {
21635 /* 33222222222211111111110000000000
21636 10987654321098765432109876543210
21637 xx011110xx110xx0110110xxxxxxxxxx
21638 faddp. */
193614f2 21639 return 541;
e9dbdd80
TC
21640 }
21641 else
21642 {
21643 /* 33222222222211111111110000000000
21644 10987654321098765432109876543210
21645 xx111110xx110xx0110110xxxxxxxxxx
21646 faddp. */
193614f2 21647 return 540;
e9dbdd80
TC
21648 }
21649 }
21650 }
21651 else
21652 {
21653 if (((word >> 29) & 0x1) == 0)
21654 {
21655 /* 33222222222211111111110000000000
21656 10987654321098765432109876543210
21657 xx011110xx1x1xx0110110xxxxxxxxxx
21658 fcmeq. */
193614f2 21659 return 498;
e9dbdd80
TC
21660 }
21661 else
21662 {
21663 /* 33222222222211111111110000000000
21664 10987654321098765432109876543210
21665 xx111110xx1x1xx0110110xxxxxxxxxx
21666 fcmle. */
193614f2 21667 return 528;
e9dbdd80
TC
21668 }
21669 }
a06ea964
NC
21670 }
21671 else
21672 {
e9dbdd80
TC
21673 if (((word >> 19) & 0x1) == 0)
21674 {
21675 if (((word >> 23) & 0x1) == 0)
21676 {
21677 if (((word >> 29) & 0x1) == 0)
21678 {
21679 /* 33222222222211111111110000000000
21680 10987654321098765432109876543210
21681 xx0111100x1x0xx1110110xxxxxxxxxx
21682 scvtf. */
193614f2 21683 return 493;
e9dbdd80
TC
21684 }
21685 else
21686 {
21687 /* 33222222222211111111110000000000
21688 10987654321098765432109876543210
21689 xx1111100x1x0xx1110110xxxxxxxxxx
21690 ucvtf. */
193614f2 21691 return 523;
e9dbdd80
TC
21692 }
21693 }
21694 else
21695 {
21696 if (((word >> 29) & 0x1) == 0)
21697 {
21698 /* 33222222222211111111110000000000
21699 10987654321098765432109876543210
21700 xx0111101x1x0xx1110110xxxxxxxxxx
21701 frecpe. */
193614f2 21702 return 505;
e9dbdd80
TC
21703 }
21704 else
21705 {
21706 /* 33222222222211111111110000000000
21707 10987654321098765432109876543210
21708 xx1111101x1x0xx1110110xxxxxxxxxx
21709 frsqrte. */
193614f2 21710 return 533;
e9dbdd80
TC
21711 }
21712 }
21713 }
21714 else
21715 {
21716 if (((word >> 23) & 0x1) == 0)
21717 {
21718 if (((word >> 29) & 0x1) == 0)
21719 {
21720 /* 33222222222211111111110000000000
21721 10987654321098765432109876543210
21722 xx0111100x1x1xx1110110xxxxxxxxxx
21723 scvtf. */
193614f2 21724 return 494;
e9dbdd80
TC
21725 }
21726 else
21727 {
21728 /* 33222222222211111111110000000000
21729 10987654321098765432109876543210
21730 xx1111100x1x1xx1110110xxxxxxxxxx
21731 ucvtf. */
193614f2 21732 return 524;
e9dbdd80
TC
21733 }
21734 }
21735 else
21736 {
21737 if (((word >> 29) & 0x1) == 0)
21738 {
21739 /* 33222222222211111111110000000000
21740 10987654321098765432109876543210
21741 xx0111101x1x1xx1110110xxxxxxxxxx
21742 frecpe. */
193614f2 21743 return 506;
e9dbdd80
TC
21744 }
21745 else
21746 {
21747 /* 33222222222211111111110000000000
21748 10987654321098765432109876543210
21749 xx1111101x1x1xx1110110xxxxxxxxxx
21750 frsqrte. */
193614f2 21751 return 534;
e9dbdd80
TC
21752 }
21753 }
21754 }
a06ea964
NC
21755 }
21756 }
21757 }
e9dbdd80
TC
21758 }
21759 else
21760 {
21761 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
21762 {
21763 if (((word >> 15) & 0x1) == 0)
21764 {
21765 if (((word >> 29) & 0x1) == 0)
21766 {
e9dbdd80
TC
21767 if (((word >> 30) & 0x1) == 0)
21768 {
21769 /* 33222222222211111111110000000000
21770 10987654321098765432109876543210
21771 x0011110xx1xxxxx001110xxxxxxxxxx
21772 fsub. */
09c1e68a 21773 return 846;
e9dbdd80
TC
21774 }
21775 else
21776 {
21777 /* 33222222222211111111110000000000
21778 10987654321098765432109876543210
21779 x1011110xx1xxxxx001110xxxxxxxxxx
21780 suqadd. */
193614f2 21781 return 480;
e9dbdd80 21782 }
a06ea964
NC
21783 }
21784 else
21785 {
21786 /* 33222222222211111111110000000000
21787 10987654321098765432109876543210
e9dbdd80
TC
21788 xx111110xx1xxxxx001110xxxxxxxxxx
21789 usqadd. */
193614f2 21790 return 509;
a06ea964
NC
21791 }
21792 }
21793 else
21794 {
e9dbdd80 21795 if (((word >> 16) & 0x1) == 0)
a06ea964 21796 {
e9dbdd80
TC
21797 if (((word >> 29) & 0x1) == 0)
21798 {
21799 /* 33222222222211111111110000000000
21800 10987654321098765432109876543210
21801 xx011110xx1xxxx0101110xxxxxxxxxx
21802 abs. */
193614f2 21803 return 485;
e9dbdd80
TC
21804 }
21805 else
21806 {
21807 /* 33222222222211111111110000000000
21808 10987654321098765432109876543210
21809 xx111110xx1xxxx0101110xxxxxxxxxx
21810 neg. */
193614f2 21811 return 513;
e9dbdd80 21812 }
a06ea964
NC
21813 }
21814 else
21815 {
e9dbdd80 21816 if (((word >> 19) & 0x1) == 0)
a06ea964 21817 {
e9dbdd80 21818 if (((word >> 20) & 0x1) == 0)
a06ea964 21819 {
e9dbdd80
TC
21820 if (((word >> 23) & 0x1) == 0)
21821 {
21822 if (((word >> 29) & 0x1) == 0)
21823 {
21824 /* 33222222222211111111110000000000
21825 10987654321098765432109876543210
21826 xx0111100x100xx1101110xxxxxxxxxx
21827 fcvtms. */
193614f2 21828 return 489;
e9dbdd80
TC
21829 }
21830 else
21831 {
21832 /* 33222222222211111111110000000000
21833 10987654321098765432109876543210
21834 xx1111100x100xx1101110xxxxxxxxxx
21835 fcvtmu. */
193614f2 21836 return 519;
e9dbdd80
TC
21837 }
21838 }
21839 else
21840 {
21841 if (((word >> 29) & 0x1) == 0)
21842 {
21843 /* 33222222222211111111110000000000
21844 10987654321098765432109876543210
21845 xx0111101x100xx1101110xxxxxxxxxx
21846 fcvtzs. */
193614f2 21847 return 503;
e9dbdd80
TC
21848 }
21849 else
21850 {
21851 /* 33222222222211111111110000000000
21852 10987654321098765432109876543210
21853 xx1111101x100xx1101110xxxxxxxxxx
21854 fcvtzu. */
193614f2 21855 return 531;
e9dbdd80
TC
21856 }
21857 }
a06ea964
NC
21858 }
21859 else
21860 {
21861 /* 33222222222211111111110000000000
21862 10987654321098765432109876543210
e9dbdd80
TC
21863 xxx11110xx110xx1101110xxxxxxxxxx
21864 addp. */
193614f2 21865 return 537;
a06ea964
NC
21866 }
21867 }
21868 else
21869 {
e9dbdd80 21870 if (((word >> 23) & 0x1) == 0)
a06ea964 21871 {
e9dbdd80
TC
21872 if (((word >> 29) & 0x1) == 0)
21873 {
21874 /* 33222222222211111111110000000000
21875 10987654321098765432109876543210
21876 xx0111100x1x1xx1101110xxxxxxxxxx
21877 fcvtms. */
193614f2 21878 return 490;
e9dbdd80
TC
21879 }
21880 else
21881 {
21882 /* 33222222222211111111110000000000
21883 10987654321098765432109876543210
21884 xx1111100x1x1xx1101110xxxxxxxxxx
21885 fcvtmu. */
193614f2 21886 return 520;
e9dbdd80 21887 }
a06ea964
NC
21888 }
21889 else
21890 {
e9dbdd80
TC
21891 if (((word >> 29) & 0x1) == 0)
21892 {
21893 /* 33222222222211111111110000000000
21894 10987654321098765432109876543210
21895 xx0111101x1x1xx1101110xxxxxxxxxx
21896 fcvtzs. */
193614f2 21897 return 504;
e9dbdd80
TC
21898 }
21899 else
21900 {
21901 /* 33222222222211111111110000000000
21902 10987654321098765432109876543210
21903 xx1111101x1x1xx1101110xxxxxxxxxx
21904 fcvtzu. */
193614f2 21905 return 532;
e9dbdd80 21906 }
a06ea964
NC
21907 }
21908 }
21909 }
e9dbdd80
TC
21910 }
21911 }
21912 else
21913 {
21914 if (((word >> 15) & 0x1) == 0)
21915 {
21916 if (((word >> 29) & 0x1) == 0)
21917 {
21918 if (((word >> 30) & 0x1) == 0)
21919 {
21920 /* 33222222222211111111110000000000
21921 10987654321098765432109876543210
21922 x0011110xx1xxxxx011110xxxxxxxxxx
21923 fminnm. */
09c1e68a 21924 return 854;
e9dbdd80
TC
21925 }
21926 else
21927 {
21928 /* 33222222222211111111110000000000
21929 10987654321098765432109876543210
21930 x1011110xx1xxxxx011110xxxxxxxxxx
21931 sqabs. */
193614f2 21932 return 481;
e9dbdd80
TC
21933 }
21934 }
a06ea964 21935 else
e9dbdd80
TC
21936 {
21937 /* 33222222222211111111110000000000
21938 10987654321098765432109876543210
21939 xx111110xx1xxxxx011110xxxxxxxxxx
21940 sqneg. */
193614f2 21941 return 510;
e9dbdd80
TC
21942 }
21943 }
21944 else
21945 {
21946 if (((word >> 16) & 0x1) == 0)
a06ea964
NC
21947 {
21948 if (((word >> 23) & 0x1) == 0)
21949 {
21950 if (((word >> 29) & 0x1) == 0)
21951 {
21952 /* 33222222222211111111110000000000
21953 10987654321098765432109876543210
e9dbdd80
TC
21954 xx0111100x1xxxx0111110xxxxxxxxxx
21955 fmaxp. */
193614f2 21956 return 543;
a06ea964
NC
21957 }
21958 else
21959 {
21960 /* 33222222222211111111110000000000
21961 10987654321098765432109876543210
e9dbdd80
TC
21962 xx1111100x1xxxx0111110xxxxxxxxxx
21963 fmaxp. */
193614f2 21964 return 542;
a06ea964
NC
21965 }
21966 }
21967 else
21968 {
21969 if (((word >> 29) & 0x1) == 0)
21970 {
21971 /* 33222222222211111111110000000000
21972 10987654321098765432109876543210
e9dbdd80
TC
21973 xx0111101x1xxxx0111110xxxxxxxxxx
21974 fminp. */
193614f2 21975 return 547;
a06ea964
NC
21976 }
21977 else
21978 {
21979 /* 33222222222211111111110000000000
21980 10987654321098765432109876543210
e9dbdd80
TC
21981 xx1111101x1xxxx0111110xxxxxxxxxx
21982 fminp. */
193614f2 21983 return 546;
a06ea964
NC
21984 }
21985 }
21986 }
a06ea964
NC
21987 else
21988 {
e9dbdd80
TC
21989 if (((word >> 19) & 0x1) == 0)
21990 {
21991 /* 33222222222211111111110000000000
21992 10987654321098765432109876543210
21993 xxx11110xx1x0xx1111110xxxxxxxxxx
21994 frecpx. */
193614f2 21995 return 507;
e9dbdd80
TC
21996 }
21997 else
21998 {
21999 /* 33222222222211111111110000000000
22000 10987654321098765432109876543210
22001 xxx11110xx1x1xx1111110xxxxxxxxxx
22002 frecpx. */
193614f2 22003 return 508;
e9dbdd80 22004 }
a06ea964
NC
22005 }
22006 }
22007 }
e9dbdd80
TC
22008 }
22009 }
22010 }
22011 }
22012 else
22013 {
22014 if (((word >> 11) & 0x1) == 0)
22015 {
22016 if (((word >> 29) & 0x1) == 0)
22017 {
22018 if (((word >> 30) & 0x1) == 0)
22019 {
22020 if (((word >> 4) & 0x1) == 0)
22021 {
22022 /* 33222222222211111111110000000000
22023 10987654321098765432109876543210
22024 x0011110xx1xxxxxxxxx01xxxxx0xxxx
22025 fccmp. */
09c1e68a 22026 return 799;
e9dbdd80
TC
22027 }
22028 else
22029 {
22030 /* 33222222222211111111110000000000
22031 10987654321098765432109876543210
22032 x0011110xx1xxxxxxxxx01xxxxx1xxxx
22033 fccmpe. */
09c1e68a 22034 return 801;
e9dbdd80
TC
22035 }
22036 }
22037 else
22038 {
22039 if (((word >> 12) & 0x1) == 0)
a06ea964 22040 {
e9dbdd80 22041 if (((word >> 13) & 0x1) == 0)
a06ea964 22042 {
e9dbdd80 22043 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
22044 {
22045 /* 33222222222211111111110000000000
22046 10987654321098765432109876543210
e9dbdd80
TC
22047 x1011110xx1xxxxxx00001xxxxxxxxxx
22048 add. */
193614f2 22049 return 565;
a06ea964
NC
22050 }
22051 else
22052 {
22053 /* 33222222222211111111110000000000
22054 10987654321098765432109876543210
e9dbdd80
TC
22055 x1011110xx1xxxxxx10001xxxxxxxxxx
22056 sshl. */
193614f2 22057 return 563;
a06ea964
NC
22058 }
22059 }
22060 else
22061 {
e9dbdd80
TC
22062 /* 33222222222211111111110000000000
22063 10987654321098765432109876543210
22064 x1011110xx1xxxxxxx1001xxxxxxxxxx
22065 fcmeq. */
193614f2 22066 return 555;
e9dbdd80
TC
22067 }
22068 }
22069 else
22070 {
22071 if (((word >> 13) & 0x1) == 0)
22072 {
22073 /* 33222222222211111111110000000000
22074 10987654321098765432109876543210
22075 x1011110xx1xxxxxxx0101xxxxxxxxxx
22076 srshl. */
193614f2 22077 return 564;
e9dbdd80
TC
22078 }
22079 else
22080 {
22081 if (((word >> 15) & 0x1) == 0)
a06ea964
NC
22082 {
22083 /* 33222222222211111111110000000000
22084 10987654321098765432109876543210
e9dbdd80
TC
22085 x1011110xx1xxxxx0x1101xxxxxxxxxx
22086 cmgt. */
193614f2 22087 return 561;
a06ea964
NC
22088 }
22089 else
22090 {
22091 /* 33222222222211111111110000000000
22092 10987654321098765432109876543210
e9dbdd80
TC
22093 x1011110xx1xxxxx1x1101xxxxxxxxxx
22094 sqdmulh. */
193614f2 22095 return 552;
a06ea964
NC
22096 }
22097 }
22098 }
22099 }
e9dbdd80
TC
22100 }
22101 else
22102 {
22103 if (((word >> 12) & 0x1) == 0)
22104 {
22105 if (((word >> 13) & 0x1) == 0)
22106 {
22107 if (((word >> 14) & 0x1) == 0)
22108 {
22109 /* 33222222222211111111110000000000
22110 10987654321098765432109876543210
22111 xx111110xx1xxxxxx00001xxxxxxxxxx
22112 sub. */
193614f2 22113 return 586;
e9dbdd80
TC
22114 }
22115 else
22116 {
22117 /* 33222222222211111111110000000000
22118 10987654321098765432109876543210
22119 xx111110xx1xxxxxx10001xxxxxxxxxx
22120 ushl. */
193614f2 22121 return 584;
e9dbdd80
TC
22122 }
22123 }
22124 else
22125 {
22126 if (((word >> 23) & 0x1) == 0)
22127 {
22128 /* 33222222222211111111110000000000
22129 10987654321098765432109876543210
22130 xx1111100x1xxxxxxx1001xxxxxxxxxx
22131 fcmge. */
193614f2 22132 return 572;
e9dbdd80
TC
22133 }
22134 else
22135 {
22136 /* 33222222222211111111110000000000
22137 10987654321098765432109876543210
22138 xx1111101x1xxxxxxx1001xxxxxxxxxx
22139 fcmgt. */
193614f2 22140 return 578;
e9dbdd80
TC
22141 }
22142 }
22143 }
a06ea964
NC
22144 else
22145 {
e9dbdd80 22146 if (((word >> 13) & 0x1) == 0)
a06ea964
NC
22147 {
22148 if (((word >> 15) & 0x1) == 0)
22149 {
e9dbdd80
TC
22150 /* 33222222222211111111110000000000
22151 10987654321098765432109876543210
22152 xx111110xx1xxxxx0x0101xxxxxxxxxx
22153 urshl. */
193614f2 22154 return 585;
a06ea964
NC
22155 }
22156 else
22157 {
22158 /* 33222222222211111111110000000000
22159 10987654321098765432109876543210
e9dbdd80
TC
22160 xx111110xx1xxxxx1x0101xxxxxxxxxx
22161 fabd. */
193614f2 22162 return 576;
a06ea964
NC
22163 }
22164 }
22165 else
22166 {
22167 if (((word >> 15) & 0x1) == 0)
22168 {
e9dbdd80
TC
22169 /* 33222222222211111111110000000000
22170 10987654321098765432109876543210
22171 xx111110xx1xxxxx0x1101xxxxxxxxxx
22172 cmhi. */
193614f2 22173 return 582;
a06ea964
NC
22174 }
22175 else
22176 {
e9dbdd80
TC
22177 /* 33222222222211111111110000000000
22178 10987654321098765432109876543210
22179 xx111110xx1xxxxx1x1101xxxxxxxxxx
22180 sqrdmulh. */
193614f2 22181 return 571;
a06ea964
NC
22182 }
22183 }
22184 }
22185 }
22186 }
22187 else
22188 {
22189 if (((word >> 29) & 0x1) == 0)
22190 {
22191 if (((word >> 30) & 0x1) == 0)
22192 {
22193 /* 33222222222211111111110000000000
22194 10987654321098765432109876543210
7684e580 22195 x0011110xx1xxxxxxxxx11xxxxxxxxxx
a06ea964 22196 fcsel. */
09c1e68a 22197 return 868;
a06ea964
NC
22198 }
22199 else
22200 {
22201 if (((word >> 12) & 0x1) == 0)
22202 {
22203 if (((word >> 13) & 0x1) == 0)
22204 {
22205 if (((word >> 14) & 0x1) == 0)
22206 {
22207 if (((word >> 15) & 0x1) == 0)
22208 {
22209 /* 33222222222211111111110000000000
22210 10987654321098765432109876543210
7684e580 22211 x1011110xx1xxxxx000011xxxxxxxxxx
a06ea964 22212 sqadd. */
193614f2 22213 return 548;
a06ea964
NC
22214 }
22215 else
22216 {
22217 /* 33222222222211111111110000000000
22218 10987654321098765432109876543210
7684e580 22219 x1011110xx1xxxxx100011xxxxxxxxxx
a06ea964 22220 cmtst. */
193614f2 22221 return 566;
a06ea964
NC
22222 }
22223 }
22224 else
22225 {
22226 /* 33222222222211111111110000000000
22227 10987654321098765432109876543210
7684e580 22228 x1011110xx1xxxxxx10011xxxxxxxxxx
a06ea964 22229 sqshl. */
193614f2 22230 return 550;
a06ea964
NC
22231 }
22232 }
22233 else
22234 {
22235 /* 33222222222211111111110000000000
22236 10987654321098765432109876543210
7684e580 22237 x1011110xx1xxxxxxx1011xxxxxxxxxx
a06ea964 22238 sqsub. */
193614f2 22239 return 549;
a06ea964
NC
22240 }
22241 }
22242 else
22243 {
22244 if (((word >> 13) & 0x1) == 0)
22245 {
22246 if (((word >> 15) & 0x1) == 0)
22247 {
22248 /* 33222222222211111111110000000000
22249 10987654321098765432109876543210
7684e580 22250 x1011110xx1xxxxx0x0111xxxxxxxxxx
a06ea964 22251 sqrshl. */
193614f2 22252 return 551;
a06ea964
NC
22253 }
22254 else
22255 {
22256 /* 33222222222211111111110000000000
22257 10987654321098765432109876543210
7684e580 22258 x1011110xx1xxxxx1x0111xxxxxxxxxx
a06ea964 22259 fmulx. */
193614f2 22260 return 553;
a06ea964
NC
22261 }
22262 }
22263 else
22264 {
22265 if (((word >> 14) & 0x1) == 0)
22266 {
22267 /* 33222222222211111111110000000000
22268 10987654321098765432109876543210
7684e580 22269 x1011110xx1xxxxxx01111xxxxxxxxxx
a06ea964 22270 cmge. */
193614f2 22271 return 562;
a06ea964
NC
22272 }
22273 else
22274 {
22275 if (((word >> 23) & 0x1) == 0)
22276 {
22277 /* 33222222222211111111110000000000
22278 10987654321098765432109876543210
7684e580 22279 x10111100x1xxxxxx11111xxxxxxxxxx
a06ea964 22280 frecps. */
193614f2 22281 return 557;
a06ea964
NC
22282 }
22283 else
22284 {
22285 /* 33222222222211111111110000000000
22286 10987654321098765432109876543210
7684e580 22287 x10111101x1xxxxxx11111xxxxxxxxxx
a06ea964 22288 frsqrts. */
193614f2 22289 return 559;
a06ea964
NC
22290 }
22291 }
22292 }
22293 }
22294 }
22295 }
22296 else
22297 {
22298 if (((word >> 12) & 0x1) == 0)
22299 {
22300 if (((word >> 13) & 0x1) == 0)
22301 {
22302 if (((word >> 14) & 0x1) == 0)
22303 {
22304 if (((word >> 15) & 0x1) == 0)
22305 {
22306 /* 33222222222211111111110000000000
22307 10987654321098765432109876543210
7684e580 22308 xx111110xx1xxxxx000011xxxxxxxxxx
a06ea964 22309 uqadd. */
193614f2 22310 return 567;
a06ea964
NC
22311 }
22312 else
22313 {
22314 /* 33222222222211111111110000000000
22315 10987654321098765432109876543210
7684e580 22316 xx111110xx1xxxxx100011xxxxxxxxxx
a06ea964 22317 cmeq. */
193614f2 22318 return 587;
a06ea964
NC
22319 }
22320 }
22321 else
22322 {
22323 /* 33222222222211111111110000000000
22324 10987654321098765432109876543210
7684e580 22325 xx111110xx1xxxxxx10011xxxxxxxxxx
a06ea964 22326 uqshl. */
193614f2 22327 return 569;
a06ea964
NC
22328 }
22329 }
22330 else
22331 {
22332 if (((word >> 14) & 0x1) == 0)
22333 {
22334 /* 33222222222211111111110000000000
22335 10987654321098765432109876543210
7684e580 22336 xx111110xx1xxxxxx01011xxxxxxxxxx
a06ea964 22337 uqsub. */
193614f2 22338 return 568;
a06ea964
NC
22339 }
22340 else
22341 {
22342 if (((word >> 23) & 0x1) == 0)
22343 {
22344 /* 33222222222211111111110000000000
22345 10987654321098765432109876543210
7684e580 22346 xx1111100x1xxxxxx11011xxxxxxxxxx
a06ea964 22347 facge. */
193614f2 22348 return 574;
a06ea964
NC
22349 }
22350 else
22351 {
22352 /* 33222222222211111111110000000000
22353 10987654321098765432109876543210
7684e580 22354 xx1111101x1xxxxxx11011xxxxxxxxxx
a06ea964 22355 facgt. */
193614f2 22356 return 580;
a06ea964
NC
22357 }
22358 }
22359 }
22360 }
22361 else
22362 {
22363 if (((word >> 13) & 0x1) == 0)
22364 {
22365 /* 33222222222211111111110000000000
22366 10987654321098765432109876543210
7684e580 22367 xx111110xx1xxxxxxx0111xxxxxxxxxx
a06ea964 22368 uqrshl. */
193614f2 22369 return 570;
a06ea964
NC
22370 }
22371 else
22372 {
22373 /* 33222222222211111111110000000000
22374 10987654321098765432109876543210
7684e580 22375 xx111110xx1xxxxxxx1111xxxxxxxxxx
a06ea964 22376 cmhs. */
193614f2 22377 return 583;
a06ea964
NC
22378 }
22379 }
22380 }
22381 }
22382 }
22383 }
22384 }
22385 }
22386 else
22387 {
22388 if (((word >> 15) & 0x1) == 0)
22389 {
22390 if (((word >> 28) & 0x1) == 0)
22391 {
22392 if (((word >> 10) & 0x1) == 0)
22393 {
22394 if (((word >> 12) & 0x1) == 0)
22395 {
22396 if (((word >> 13) & 0x1) == 0)
22397 {
22398 if (((word >> 14) & 0x1) == 0)
22399 {
e9dbdd80
TC
22400 if (((word >> 29) & 0x1) == 0)
22401 {
22402 if (((word >> 30) & 0x1) == 0)
22403 {
22404 /* 33222222222211111111110000000000
22405 10987654321098765432109876543210
22406 x0001111xxxxxxxx0000x0xxxxxxxxxx
22407 fmlal. */
01a4d082 22408 return 2432;
e9dbdd80
TC
22409 }
22410 else
22411 {
22412 /* 33222222222211111111110000000000
22413 10987654321098765432109876543210
22414 x1001111xxxxxxxx0000x0xxxxxxxxxx
22415 fmlal. */
01a4d082 22416 return 2436;
e9dbdd80
TC
22417 }
22418 }
22419 else
22420 {
22421 /* 33222222222211111111110000000000
22422 10987654321098765432109876543210
22423 xx101111xxxxxxxx0000x0xxxxxxxxxx
22424 mla. */
193614f2 22425 return 119;
e9dbdd80 22426 }
a06ea964
NC
22427 }
22428 else
22429 {
e9dbdd80
TC
22430 if (((word >> 29) & 0x1) == 0)
22431 {
22432 if (((word >> 30) & 0x1) == 0)
22433 {
22434 /* 33222222222211111111110000000000
22435 10987654321098765432109876543210
22436 x0001111xxxxxxxx0100x0xxxxxxxxxx
22437 fmlsl. */
01a4d082 22438 return 2433;
e9dbdd80
TC
22439 }
22440 else
22441 {
22442 /* 33222222222211111111110000000000
22443 10987654321098765432109876543210
22444 x1001111xxxxxxxx0100x0xxxxxxxxxx
22445 fmlsl. */
01a4d082 22446 return 2437;
e9dbdd80
TC
22447 }
22448 }
22449 else
22450 {
22451 /* 33222222222211111111110000000000
22452 10987654321098765432109876543210
22453 xx101111xxxxxxxx0100x0xxxxxxxxxx
22454 mls. */
193614f2 22455 return 122;
e9dbdd80 22456 }
a06ea964
NC
22457 }
22458 }
22459 else
22460 {
22461 if (((word >> 14) & 0x1) == 0)
22462 {
22463 if (((word >> 29) & 0x1) == 0)
22464 {
22465 if (((word >> 30) & 0x1) == 0)
22466 {
22467 /* 33222222222211111111110000000000
22468 10987654321098765432109876543210
7684e580 22469 x0001111xxxxxxxx0010x0xxxxxxxxxx
a06ea964 22470 smlal. */
193614f2 22471 return 98;
a06ea964
NC
22472 }
22473 else
22474 {
22475 /* 33222222222211111111110000000000
22476 10987654321098765432109876543210
7684e580 22477 x1001111xxxxxxxx0010x0xxxxxxxxxx
a06ea964 22478 smlal2. */
193614f2 22479 return 99;
a06ea964
NC
22480 }
22481 }
22482 else
22483 {
22484 if (((word >> 30) & 0x1) == 0)
22485 {
22486 /* 33222222222211111111110000000000
22487 10987654321098765432109876543210
7684e580 22488 x0101111xxxxxxxx0010x0xxxxxxxxxx
a06ea964 22489 umlal. */
193614f2 22490 return 120;
a06ea964
NC
22491 }
22492 else
22493 {
22494 /* 33222222222211111111110000000000
22495 10987654321098765432109876543210
7684e580 22496 x1101111xxxxxxxx0010x0xxxxxxxxxx
a06ea964 22497 umlal2. */
193614f2 22498 return 121;
a06ea964
NC
22499 }
22500 }
22501 }
22502 else
22503 {
22504 if (((word >> 29) & 0x1) == 0)
22505 {
22506 if (((word >> 30) & 0x1) == 0)
22507 {
22508 /* 33222222222211111111110000000000
22509 10987654321098765432109876543210
7684e580 22510 x0001111xxxxxxxx0110x0xxxxxxxxxx
a06ea964 22511 smlsl. */
193614f2 22512 return 102;
a06ea964
NC
22513 }
22514 else
22515 {
22516 /* 33222222222211111111110000000000
22517 10987654321098765432109876543210
7684e580 22518 x1001111xxxxxxxx0110x0xxxxxxxxxx
a06ea964 22519 smlsl2. */
193614f2 22520 return 103;
a06ea964
NC
22521 }
22522 }
22523 else
22524 {
22525 if (((word >> 30) & 0x1) == 0)
22526 {
22527 /* 33222222222211111111110000000000
22528 10987654321098765432109876543210
7684e580 22529 x0101111xxxxxxxx0110x0xxxxxxxxxx
a06ea964 22530 umlsl. */
193614f2 22531 return 123;
a06ea964
NC
22532 }
22533 else
22534 {
22535 /* 33222222222211111111110000000000
22536 10987654321098765432109876543210
7684e580 22537 x1101111xxxxxxxx0110x0xxxxxxxxxx
a06ea964 22538 umlsl2. */
193614f2 22539 return 124;
a06ea964
NC
22540 }
22541 }
22542 }
22543 }
22544 }
22545 else
22546 {
c2c4ff8d 22547 if (((word >> 29) & 0x1) == 0)
a06ea964 22548 {
c2c4ff8d 22549 if (((word >> 13) & 0x1) == 0)
a06ea964 22550 {
c2c4ff8d 22551 if (((word >> 14) & 0x1) == 0)
42f23f62 22552 {
c2c4ff8d
SN
22553 if (((word >> 23) & 0x1) == 0)
22554 {
22555 /* 33222222222211111111110000000000
22556 10987654321098765432109876543210
7684e580 22557 xx0011110xxxxxxx0001x0xxxxxxxxxx
c2c4ff8d 22558 fmla. */
193614f2 22559 return 114;
c2c4ff8d
SN
22560 }
22561 else
22562 {
22563 /* 33222222222211111111110000000000
22564 10987654321098765432109876543210
7684e580 22565 xx0011111xxxxxxx0001x0xxxxxxxxxx
c2c4ff8d 22566 fmla. */
193614f2 22567 return 113;
c2c4ff8d 22568 }
42f23f62
MW
22569 }
22570 else
22571 {
c2c4ff8d
SN
22572 if (((word >> 23) & 0x1) == 0)
22573 {
22574 /* 33222222222211111111110000000000
22575 10987654321098765432109876543210
7684e580 22576 xx0011110xxxxxxx0101x0xxxxxxxxxx
c2c4ff8d 22577 fmls. */
193614f2 22578 return 116;
c2c4ff8d
SN
22579 }
22580 else
22581 {
22582 /* 33222222222211111111110000000000
22583 10987654321098765432109876543210
7684e580 22584 xx0011111xxxxxxx0101x0xxxxxxxxxx
c2c4ff8d 22585 fmls. */
193614f2 22586 return 115;
c2c4ff8d 22587 }
42f23f62 22588 }
a06ea964
NC
22589 }
22590 else
22591 {
c2c4ff8d 22592 if (((word >> 14) & 0x1) == 0)
42f23f62 22593 {
c2c4ff8d
SN
22594 if (((word >> 30) & 0x1) == 0)
22595 {
22596 /* 33222222222211111111110000000000
22597 10987654321098765432109876543210
7684e580 22598 x0001111xxxxxxxx0011x0xxxxxxxxxx
c2c4ff8d 22599 sqdmlal. */
193614f2 22600 return 100;
c2c4ff8d
SN
22601 }
22602 else
22603 {
22604 /* 33222222222211111111110000000000
22605 10987654321098765432109876543210
7684e580 22606 x1001111xxxxxxxx0011x0xxxxxxxxxx
c2c4ff8d 22607 sqdmlal2. */
193614f2 22608 return 101;
c2c4ff8d 22609 }
42f23f62
MW
22610 }
22611 else
22612 {
c2c4ff8d
SN
22613 if (((word >> 30) & 0x1) == 0)
22614 {
22615 /* 33222222222211111111110000000000
22616 10987654321098765432109876543210
7684e580 22617 x0001111xxxxxxxx0111x0xxxxxxxxxx
c2c4ff8d 22618 sqdmlsl. */
193614f2 22619 return 104;
c2c4ff8d
SN
22620 }
22621 else
22622 {
22623 /* 33222222222211111111110000000000
22624 10987654321098765432109876543210
7684e580 22625 x1001111xxxxxxxx0111x0xxxxxxxxxx
c2c4ff8d 22626 sqdmlsl2. */
193614f2 22627 return 105;
c2c4ff8d 22628 }
42f23f62 22629 }
a06ea964
NC
22630 }
22631 }
22632 else
22633 {
c2c4ff8d
SN
22634 /* 33222222222211111111110000000000
22635 10987654321098765432109876543210
7684e580 22636 xx101111xxxxxxxx0xx1x0xxxxxxxxxx
c2c4ff8d 22637 fcmla. */
193614f2 22638 return 131;
a06ea964
NC
22639 }
22640 }
22641 }
22642 else
22643 {
22644 if (((word >> 12) & 0x1) == 0)
22645 {
22646 if (((word >> 29) & 0x1) == 0)
22647 {
22648 /* 33222222222211111111110000000000
22649 10987654321098765432109876543210
7684e580 22650 xx001111xxxxxxxx0xx0x1xxxxxxxxxx
a06ea964 22651 movi. */
193614f2 22652 return 133;
a06ea964
NC
22653 }
22654 else
22655 {
22656 /* 33222222222211111111110000000000
22657 10987654321098765432109876543210
7684e580 22658 xx101111xxxxxxxx0xx0x1xxxxxxxxxx
a06ea964 22659 mvni. */
193614f2 22660 return 141;
a06ea964
NC
22661 }
22662 }
22663 else
22664 {
22665 if (((word >> 29) & 0x1) == 0)
22666 {
22667 /* 33222222222211111111110000000000
22668 10987654321098765432109876543210
7684e580 22669 xx001111xxxxxxxx0xx1x1xxxxxxxxxx
a06ea964 22670 orr. */
193614f2 22671 return 134;
a06ea964
NC
22672 }
22673 else
22674 {
22675 /* 33222222222211111111110000000000
22676 10987654321098765432109876543210
7684e580 22677 xx101111xxxxxxxx0xx1x1xxxxxxxxxx
a06ea964 22678 bic. */
193614f2 22679 return 142;
a06ea964
NC
22680 }
22681 }
22682 }
22683 }
22684 else
22685 {
22686 if (((word >> 29) & 0x1) == 0)
22687 {
22688 if (((word >> 30) & 0x1) == 0)
22689 {
22690 if (((word >> 21) & 0x1) == 0)
22691 {
22692 /* 33222222222211111111110000000000
22693 10987654321098765432109876543210
7684e580 22694 x0011111xx0xxxxx0xxxxxxxxxxxxxxx
a06ea964 22695 fmadd. */
09c1e68a 22696 return 858;
a06ea964
NC
22697 }
22698 else
22699 {
22700 /* 33222222222211111111110000000000
22701 10987654321098765432109876543210
7684e580 22702 x0011111xx1xxxxx0xxxxxxxxxxxxxxx
a06ea964 22703 fnmadd. */
09c1e68a 22704 return 862;
a06ea964
NC
22705 }
22706 }
22707 else
22708 {
22709 if (((word >> 10) & 0x1) == 0)
22710 {
22711 if (((word >> 13) & 0x1) == 0)
22712 {
22713 if (((word >> 14) & 0x1) == 0)
22714 {
5f7728b7
MW
22715 if (((word >> 23) & 0x1) == 0)
22716 {
22717 /* 33222222222211111111110000000000
22718 10987654321098765432109876543210
7684e580 22719 x10111110xxxxxxx000xx0xxxxxxxxxx
5f7728b7 22720 fmla. */
193614f2 22721 return 431;
5f7728b7
MW
22722 }
22723 else
22724 {
22725 /* 33222222222211111111110000000000
22726 10987654321098765432109876543210
7684e580 22727 x10111111xxxxxxx000xx0xxxxxxxxxx
5f7728b7 22728 fmla. */
193614f2 22729 return 430;
5f7728b7 22730 }
a06ea964
NC
22731 }
22732 else
22733 {
5f7728b7
MW
22734 if (((word >> 23) & 0x1) == 0)
22735 {
22736 /* 33222222222211111111110000000000
22737 10987654321098765432109876543210
7684e580 22738 x10111110xxxxxxx010xx0xxxxxxxxxx
5f7728b7 22739 fmls. */
193614f2 22740 return 433;
5f7728b7
MW
22741 }
22742 else
22743 {
22744 /* 33222222222211111111110000000000
22745 10987654321098765432109876543210
7684e580 22746 x10111111xxxxxxx010xx0xxxxxxxxxx
5f7728b7 22747 fmls. */
193614f2 22748 return 432;
5f7728b7 22749 }
a06ea964
NC
22750 }
22751 }
22752 else
22753 {
22754 if (((word >> 14) & 0x1) == 0)
22755 {
22756 /* 33222222222211111111110000000000
22757 10987654321098765432109876543210
7684e580 22758 x1011111xxxxxxxx001xx0xxxxxxxxxx
a06ea964 22759 sqdmlal. */
193614f2 22760 return 425;
a06ea964
NC
22761 }
22762 else
22763 {
22764 /* 33222222222211111111110000000000
22765 10987654321098765432109876543210
7684e580 22766 x1011111xxxxxxxx011xx0xxxxxxxxxx
a06ea964 22767 sqdmlsl. */
193614f2 22768 return 426;
a06ea964
NC
22769 }
22770 }
22771 }
22772 else
22773 {
22774 if (((word >> 12) & 0x1) == 0)
22775 {
22776 if (((word >> 13) & 0x1) == 0)
22777 {
22778 /* 33222222222211111111110000000000
22779 10987654321098765432109876543210
7684e580 22780 x1011111xxxxxxxx0x00x1xxxxxxxxxx
a06ea964 22781 sshr. */
193614f2 22782 return 590;
a06ea964
NC
22783 }
22784 else
22785 {
22786 /* 33222222222211111111110000000000
22787 10987654321098765432109876543210
7684e580 22788 x1011111xxxxxxxx0x10x1xxxxxxxxxx
a06ea964 22789 srshr. */
193614f2 22790 return 592;
a06ea964
NC
22791 }
22792 }
22793 else
22794 {
22795 if (((word >> 13) & 0x1) == 0)
22796 {
22797 if (((word >> 14) & 0x1) == 0)
22798 {
22799 /* 33222222222211111111110000000000
22800 10987654321098765432109876543210
7684e580 22801 x1011111xxxxxxxx0001x1xxxxxxxxxx
a06ea964 22802 ssra. */
193614f2 22803 return 591;
a06ea964
NC
22804 }
22805 else
22806 {
22807 /* 33222222222211111111110000000000
22808 10987654321098765432109876543210
7684e580 22809 x1011111xxxxxxxx0101x1xxxxxxxxxx
a06ea964 22810 shl. */
193614f2 22811 return 594;
a06ea964
NC
22812 }
22813 }
22814 else
22815 {
22816 if (((word >> 14) & 0x1) == 0)
22817 {
22818 /* 33222222222211111111110000000000
22819 10987654321098765432109876543210
7684e580 22820 x1011111xxxxxxxx0011x1xxxxxxxxxx
a06ea964 22821 srsra. */
193614f2 22822 return 593;
a06ea964
NC
22823 }
22824 else
22825 {
22826 /* 33222222222211111111110000000000
22827 10987654321098765432109876543210
7684e580 22828 x1011111xxxxxxxx0111x1xxxxxxxxxx
a06ea964 22829 sqshl. */
193614f2 22830 return 595;
a06ea964
NC
22831 }
22832 }
22833 }
22834 }
22835 }
22836 }
22837 else
22838 {
22839 if (((word >> 12) & 0x1) == 0)
22840 {
22841 if (((word >> 13) & 0x1) == 0)
22842 {
22843 if (((word >> 14) & 0x1) == 0)
22844 {
22845 /* 33222222222211111111110000000000
22846 10987654321098765432109876543210
7684e580 22847 xx111111xxxxxxxx0000xxxxxxxxxxxx
a06ea964 22848 ushr. */
193614f2 22849 return 602;
a06ea964
NC
22850 }
22851 else
22852 {
22853 /* 33222222222211111111110000000000
22854 10987654321098765432109876543210
7684e580 22855 xx111111xxxxxxxx0100xxxxxxxxxxxx
a06ea964 22856 sri. */
193614f2 22857 return 606;
a06ea964
NC
22858 }
22859 }
22860 else
22861 {
22862 if (((word >> 14) & 0x1) == 0)
22863 {
22864 /* 33222222222211111111110000000000
22865 10987654321098765432109876543210
7684e580 22866 xx111111xxxxxxxx0010xxxxxxxxxxxx
a06ea964 22867 urshr. */
193614f2 22868 return 604;
a06ea964
NC
22869 }
22870 else
22871 {
22872 /* 33222222222211111111110000000000
22873 10987654321098765432109876543210
7684e580 22874 xx111111xxxxxxxx0110xxxxxxxxxxxx
a06ea964 22875 sqshlu. */
193614f2 22876 return 608;
a06ea964
NC
22877 }
22878 }
22879 }
22880 else
22881 {
22882 if (((word >> 13) & 0x1) == 0)
22883 {
22884 if (((word >> 14) & 0x1) == 0)
22885 {
22886 /* 33222222222211111111110000000000
22887 10987654321098765432109876543210
7684e580 22888 xx111111xxxxxxxx0001xxxxxxxxxxxx
a06ea964 22889 usra. */
193614f2 22890 return 603;
a06ea964
NC
22891 }
22892 else
22893 {
22894 /* 33222222222211111111110000000000
22895 10987654321098765432109876543210
7684e580 22896 xx111111xxxxxxxx0101xxxxxxxxxxxx
a06ea964 22897 sli. */
193614f2 22898 return 607;
a06ea964
NC
22899 }
22900 }
22901 else
22902 {
22903 if (((word >> 14) & 0x1) == 0)
22904 {
22905 /* 33222222222211111111110000000000
22906 10987654321098765432109876543210
7684e580 22907 xx111111xxxxxxxx0011xxxxxxxxxxxx
a06ea964 22908 ursra. */
193614f2 22909 return 605;
a06ea964
NC
22910 }
22911 else
22912 {
22913 /* 33222222222211111111110000000000
22914 10987654321098765432109876543210
7684e580 22915 xx111111xxxxxxxx0111xxxxxxxxxxxx
a06ea964 22916 uqshl. */
193614f2 22917 return 609;
a06ea964
NC
22918 }
22919 }
22920 }
22921 }
22922 }
22923 }
22924 else
22925 {
22926 if (((word >> 28) & 0x1) == 0)
22927 {
ff329288 22928 if (((word >> 10) & 0x1) == 0)
a06ea964 22929 {
ff329288 22930 if (((word >> 12) & 0x1) == 0)
a06ea964 22931 {
ff329288 22932 if (((word >> 13) & 0x1) == 0)
a06ea964 22933 {
ff329288 22934 if (((word >> 14) & 0x1) == 0)
a06ea964 22935 {
e9dbdd80
TC
22936 if (((word >> 29) & 0x1) == 0)
22937 {
22938 /* 33222222222211111111110000000000
22939 10987654321098765432109876543210
22940 xx001111xxxxxxxx1000x0xxxxxxxxxx
22941 mul. */
193614f2 22942 return 106;
e9dbdd80
TC
22943 }
22944 else
22945 {
22946 if (((word >> 30) & 0x1) == 0)
22947 {
22948 /* 33222222222211111111110000000000
22949 10987654321098765432109876543210
22950 x0101111xxxxxxxx1000x0xxxxxxxxxx
22951 fmlal2. */
01a4d082 22952 return 2434;
e9dbdd80
TC
22953 }
22954 else
22955 {
22956 /* 33222222222211111111110000000000
22957 10987654321098765432109876543210
22958 x1101111xxxxxxxx1000x0xxxxxxxxxx
22959 fmlal2. */
01a4d082 22960 return 2438;
e9dbdd80
TC
22961 }
22962 }
a06ea964
NC
22963 }
22964 else
ff329288
TC
22965 {
22966 if (((word >> 29) & 0x1) == 0)
22967 {
22968 /* 33222222222211111111110000000000
22969 10987654321098765432109876543210
22970 xx001111xxxxxxxx1100x0xxxxxxxxxx
22971 sqdmulh. */
193614f2 22972 return 111;
ff329288
TC
22973 }
22974 else
22975 {
22976 if (((word >> 30) & 0x1) == 0)
22977 {
22978 /* 33222222222211111111110000000000
22979 10987654321098765432109876543210
22980 x0101111xxxxxxxx1100x0xxxxxxxxxx
22981 fmlsl2. */
01a4d082 22982 return 2435;
ff329288
TC
22983 }
22984 else
22985 {
22986 /* 33222222222211111111110000000000
22987 10987654321098765432109876543210
22988 x1101111xxxxxxxx1100x0xxxxxxxxxx
22989 fmlsl2. */
01a4d082 22990 return 2439;
ff329288
TC
22991 }
22992 }
22993 }
22994 }
22995 else
22996 {
22997 if (((word >> 14) & 0x1) == 0)
a06ea964 22998 {
65a55fbb 22999 if (((word >> 29) & 0x1) == 0)
a06ea964 23000 {
65a55fbb
TC
23001 if (((word >> 30) & 0x1) == 0)
23002 {
23003 /* 33222222222211111111110000000000
23004 10987654321098765432109876543210
7684e580 23005 x0001111xxxxxxxx1010x0xxxxxxxxxx
65a55fbb 23006 smull. */
193614f2 23007 return 107;
65a55fbb
TC
23008 }
23009 else
23010 {
23011 /* 33222222222211111111110000000000
23012 10987654321098765432109876543210
7684e580 23013 x1001111xxxxxxxx1010x0xxxxxxxxxx
65a55fbb 23014 smull2. */
193614f2 23015 return 108;
65a55fbb 23016 }
a06ea964
NC
23017 }
23018 else
23019 {
65a55fbb
TC
23020 if (((word >> 30) & 0x1) == 0)
23021 {
23022 /* 33222222222211111111110000000000
23023 10987654321098765432109876543210
7684e580 23024 x0101111xxxxxxxx1010x0xxxxxxxxxx
65a55fbb 23025 umull. */
193614f2 23026 return 125;
65a55fbb
TC
23027 }
23028 else
23029 {
23030 /* 33222222222211111111110000000000
23031 10987654321098765432109876543210
7684e580 23032 x1101111xxxxxxxx1010x0xxxxxxxxxx
65a55fbb 23033 umull2. */
193614f2 23034 return 126;
65a55fbb 23035 }
a06ea964
NC
23036 }
23037 }
ff329288
TC
23038 else
23039 {
23040 if (((word >> 29) & 0x1) == 0)
23041 {
23042 /* 33222222222211111111110000000000
23043 10987654321098765432109876543210
23044 xx001111xxxxxxxx1110x0xxxxxxxxxx
23045 sdot. */
01a4d082 23046 return 2406;
ff329288
TC
23047 }
23048 else
23049 {
23050 /* 33222222222211111111110000000000
23051 10987654321098765432109876543210
23052 xx101111xxxxxxxx1110x0xxxxxxxxxx
23053 udot. */
01a4d082 23054 return 2405;
ff329288
TC
23055 }
23056 }
a06ea964 23057 }
ff329288
TC
23058 }
23059 else
23060 {
23061 if (((word >> 13) & 0x1) == 0)
a06ea964 23062 {
ff329288 23063 if (((word >> 14) & 0x1) == 0)
a06ea964 23064 {
42f23f62 23065 if (((word >> 23) & 0x1) == 0)
a06ea964 23066 {
42f23f62
MW
23067 if (((word >> 29) & 0x1) == 0)
23068 {
23069 /* 33222222222211111111110000000000
23070 10987654321098765432109876543210
7684e580 23071 xx0011110xxxxxxx1001x0xxxxxxxxxx
42f23f62 23072 fmul. */
193614f2 23073 return 118;
42f23f62
MW
23074 }
23075 else
23076 {
23077 /* 33222222222211111111110000000000
23078 10987654321098765432109876543210
7684e580 23079 xx1011110xxxxxxx1001x0xxxxxxxxxx
42f23f62 23080 fmulx. */
193614f2 23081 return 128;
42f23f62 23082 }
a06ea964
NC
23083 }
23084 else
23085 {
42f23f62
MW
23086 if (((word >> 29) & 0x1) == 0)
23087 {
23088 /* 33222222222211111111110000000000
23089 10987654321098765432109876543210
7684e580 23090 xx0011111xxxxxxx1001x0xxxxxxxxxx
42f23f62 23091 fmul. */
193614f2 23092 return 117;
42f23f62
MW
23093 }
23094 else
23095 {
23096 /* 33222222222211111111110000000000
23097 10987654321098765432109876543210
7684e580 23098 xx1011111xxxxxxx1001x0xxxxxxxxxx
42f23f62 23099 fmulx. */
193614f2 23100 return 127;
42f23f62 23101 }
a06ea964
NC
23102 }
23103 }
23104 else
ff329288
TC
23105 {
23106 if (((word >> 29) & 0x1) == 0)
23107 {
23108 /* 33222222222211111111110000000000
23109 10987654321098765432109876543210
23110 xx001111xxxxxxxx1101x0xxxxxxxxxx
23111 sqrdmulh. */
193614f2 23112 return 112;
ff329288
TC
23113 }
23114 else
23115 {
23116 /* 33222222222211111111110000000000
23117 10987654321098765432109876543210
23118 xx101111xxxxxxxx1101x0xxxxxxxxxx
23119 sqrdmlah. */
193614f2 23120 return 129;
ff329288
TC
23121 }
23122 }
23123 }
23124 else
23125 {
23126 if (((word >> 14) & 0x1) == 0)
a06ea964 23127 {
9e1f0fa7
MW
23128 if (((word >> 30) & 0x1) == 0)
23129 {
23130 /* 33222222222211111111110000000000
23131 10987654321098765432109876543210
7684e580 23132 x0x01111xxxxxxxx1011x0xxxxxxxxxx
9e1f0fa7 23133 sqdmull. */
193614f2 23134 return 109;
9e1f0fa7
MW
23135 }
23136 else
23137 {
23138 /* 33222222222211111111110000000000
23139 10987654321098765432109876543210
7684e580 23140 x1x01111xxxxxxxx1011x0xxxxxxxxxx
9e1f0fa7 23141 sqdmull2. */
193614f2 23142 return 110;
9e1f0fa7 23143 }
a06ea964 23144 }
ff329288
TC
23145 else
23146 {
df678013
MM
23147 if (((word >> 29) & 0x1) == 0)
23148 {
8382113f 23149 if (((word >> 22) & 0x1) == 0)
df678013 23150 {
8382113f
MM
23151 if (((word >> 23) & 0x1) == 0)
23152 {
23153 /* 33222222222211111111110000000000
23154 10987654321098765432109876543210
23155 xx00111100xxxxxx1111x0xxxxxxxxxx
23156 sudot. */
01a4d082 23157 return 2483;
8382113f
MM
23158 }
23159 else
23160 {
23161 /* 33222222222211111111110000000000
23162 10987654321098765432109876543210
23163 xx00111110xxxxxx1111x0xxxxxxxxxx
23164 usdot. */
01a4d082 23165 return 2482;
8382113f 23166 }
df678013
MM
23167 }
23168 else
23169 {
8382113f 23170 if (((word >> 23) & 0x1) == 0)
df678013
MM
23171 {
23172 /* 33222222222211111111110000000000
23173 10987654321098765432109876543210
8382113f
MM
23174 xx00111101xxxxxx1111x0xxxxxxxxxx
23175 bfdot. */
01a4d082 23176 return 2494;
df678013
MM
23177 }
23178 else
23179 {
8382113f
MM
23180 if (((word >> 30) & 0x1) == 0)
23181 {
23182 /* 33222222222211111111110000000000
23183 10987654321098765432109876543210
23184 x000111111xxxxxx1111x0xxxxxxxxxx
23185 bfmlalb. */
01a4d082 23186 return 2502;
8382113f
MM
23187 }
23188 else
23189 {
23190 /* 33222222222211111111110000000000
23191 10987654321098765432109876543210
23192 x100111111xxxxxx1111x0xxxxxxxxxx
23193 bfmlalt. */
01a4d082 23194 return 2501;
8382113f 23195 }
df678013
MM
23196 }
23197 }
23198 }
23199 else
23200 {
23201 /* 33222222222211111111110000000000
23202 10987654321098765432109876543210
23203 xx101111xxxxxxxx1111x0xxxxxxxxxx
23204 sqrdmlsh. */
23205 return 130;
23206 }
ff329288 23207 }
a06ea964
NC
23208 }
23209 }
ff329288
TC
23210 }
23211 else
23212 {
23213 if (((word >> 11) & 0x1) == 0)
a06ea964 23214 {
ff329288 23215 if (((word >> 14) & 0x1) == 0)
a06ea964
NC
23216 {
23217 if (((word >> 12) & 0x1) == 0)
23218 {
23219 if (((word >> 29) & 0x1) == 0)
23220 {
23221 /* 33222222222211111111110000000000
23222 10987654321098765432109876543210
7684e580 23223 xx001111xxxxxxxx10x001xxxxxxxxxx
a06ea964 23224 movi. */
193614f2 23225 return 135;
a06ea964
NC
23226 }
23227 else
23228 {
23229 /* 33222222222211111111110000000000
23230 10987654321098765432109876543210
7684e580 23231 xx101111xxxxxxxx10x001xxxxxxxxxx
a06ea964 23232 mvni. */
193614f2 23233 return 143;
a06ea964
NC
23234 }
23235 }
23236 else
23237 {
23238 if (((word >> 29) & 0x1) == 0)
23239 {
23240 /* 33222222222211111111110000000000
23241 10987654321098765432109876543210
7684e580 23242 xx001111xxxxxxxx10x101xxxxxxxxxx
a06ea964 23243 orr. */
193614f2 23244 return 136;
a06ea964
NC
23245 }
23246 else
23247 {
23248 /* 33222222222211111111110000000000
23249 10987654321098765432109876543210
7684e580 23250 xx101111xxxxxxxx10x101xxxxxxxxxx
a06ea964 23251 bic. */
193614f2 23252 return 144;
a06ea964
NC
23253 }
23254 }
23255 }
23256 else
23257 {
ff329288 23258 if (((word >> 13) & 0x1) == 0)
a06ea964
NC
23259 {
23260 if (((word >> 29) & 0x1) == 0)
23261 {
ff329288
TC
23262 /* 33222222222211111111110000000000
23263 10987654321098765432109876543210
23264 xx001111xxxxxxxx110x01xxxxxxxxxx
23265 movi. */
193614f2 23266 return 137;
a06ea964
NC
23267 }
23268 else
23269 {
ff329288
TC
23270 /* 33222222222211111111110000000000
23271 10987654321098765432109876543210
23272 xx101111xxxxxxxx110x01xxxxxxxxxx
23273 mvni. */
193614f2 23274 return 145;
a06ea964
NC
23275 }
23276 }
23277 else
23278 {
ff329288 23279 if (((word >> 12) & 0x1) == 0)
a06ea964 23280 {
ff329288 23281 if (((word >> 29) & 0x1) == 0)
a06ea964
NC
23282 {
23283 /* 33222222222211111111110000000000
23284 10987654321098765432109876543210
ff329288
TC
23285 xx001111xxxxxxxx111001xxxxxxxxxx
23286 movi. */
193614f2 23287 return 138;
a06ea964
NC
23288 }
23289 else
23290 {
23291 /* 33222222222211111111110000000000
23292 10987654321098765432109876543210
ff329288
TC
23293 xx101111xxxxxxxx111001xxxxxxxxxx
23294 movi. */
193614f2 23295 return 146;
a06ea964
NC
23296 }
23297 }
23298 else
23299 {
ff329288 23300 if (((word >> 29) & 0x1) == 0)
a06ea964
NC
23301 {
23302 /* 33222222222211111111110000000000
23303 10987654321098765432109876543210
ff329288
TC
23304 xx001111xxxxxxxx111101xxxxxxxxxx
23305 fmov. */
193614f2 23306 return 139;
a06ea964
NC
23307 }
23308 else
23309 {
23310 /* 33222222222211111111110000000000
23311 10987654321098765432109876543210
ff329288
TC
23312 xx101111xxxxxxxx111101xxxxxxxxxx
23313 fmov. */
193614f2 23314 return 148;
a06ea964
NC
23315 }
23316 }
23317 }
23318 }
23319 }
ff329288 23320 else
a06ea964 23321 {
ff329288 23322 if (((word >> 12) & 0x1) == 0)
a06ea964 23323 {
ff329288 23324 if (((word >> 29) & 0x1) == 0)
a06ea964 23325 {
ff329288 23326 if (((word >> 30) & 0x1) == 0)
e9dbdd80
TC
23327 {
23328 /* 33222222222211111111110000000000
23329 10987654321098765432109876543210
ff329288
TC
23330 x0001111xxxxxxxx1xx011xxxxxxxxxx
23331 rshrn. */
193614f2 23332 return 382;
e9dbdd80
TC
23333 }
23334 else
23335 {
ff329288
TC
23336 /* 33222222222211111111110000000000
23337 10987654321098765432109876543210
23338 x1001111xxxxxxxx1xx011xxxxxxxxxx
23339 rshrn2. */
193614f2 23340 return 383;
e9dbdd80 23341 }
a06ea964
NC
23342 }
23343 else
23344 {
ff329288 23345 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
23346 {
23347 /* 33222222222211111111110000000000
23348 10987654321098765432109876543210
ff329288
TC
23349 x0101111xxxxxxxx1xx011xxxxxxxxxx
23350 sqrshrun. */
193614f2 23351 return 406;
a06ea964
NC
23352 }
23353 else
23354 {
23355 /* 33222222222211111111110000000000
23356 10987654321098765432109876543210
ff329288
TC
23357 x1101111xxxxxxxx1xx011xxxxxxxxxx
23358 sqrshrun2. */
193614f2 23359 return 407;
a06ea964
NC
23360 }
23361 }
23362 }
23363 else
23364 {
ff329288 23365 if (((word >> 13) & 0x1) == 0)
65a55fbb 23366 {
ff329288 23367 if (((word >> 29) & 0x1) == 0)
a06ea964 23368 {
ff329288 23369 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
23370 {
23371 /* 33222222222211111111110000000000
23372 10987654321098765432109876543210
ff329288
TC
23373 x0001111xxxxxxxx1x0111xxxxxxxxxx
23374 sqrshrn. */
193614f2 23375 return 386;
a06ea964
NC
23376 }
23377 else
23378 {
23379 /* 33222222222211111111110000000000
23380 10987654321098765432109876543210
ff329288
TC
23381 x1001111xxxxxxxx1x0111xxxxxxxxxx
23382 sqrshrn2. */
193614f2 23383 return 387;
a06ea964
NC
23384 }
23385 }
23386 else
23387 {
ff329288 23388 if (((word >> 30) & 0x1) == 0)
a06ea964
NC
23389 {
23390 /* 33222222222211111111110000000000
23391 10987654321098765432109876543210
ff329288
TC
23392 x0101111xxxxxxxx1x0111xxxxxxxxxx
23393 uqrshrn. */
193614f2 23394 return 410;
a06ea964
NC
23395 }
23396 else
23397 {
23398 /* 33222222222211111111110000000000
23399 10987654321098765432109876543210
ff329288
TC
23400 x1101111xxxxxxxx1x0111xxxxxxxxxx
23401 uqrshrn2. */
193614f2 23402 return 411;
a06ea964
NC
23403 }
23404 }
23405 }
ff329288
TC
23406 else
23407 {
23408 if (((word >> 29) & 0x1) == 0)
23409 {
23410 /* 33222222222211111111110000000000
23411 10987654321098765432109876543210
23412 xx001111xxxxxxxx1x1111xxxxxxxxxx
23413 fmov. */
193614f2 23414 return 140;
ff329288
TC
23415 }
23416 else
23417 {
23418 /* 33222222222211111111110000000000
23419 10987654321098765432109876543210
23420 xx101111xxxxxxxx1x1111xxxxxxxxxx
23421 fcvtzu. */
193614f2 23422 return 418;
ff329288
TC
23423 }
23424 }
a06ea964
NC
23425 }
23426 }
23427 }
23428 }
23429 else
23430 {
23431 if (((word >> 29) & 0x1) == 0)
23432 {
23433 if (((word >> 30) & 0x1) == 0)
23434 {
23435 if (((word >> 21) & 0x1) == 0)
23436 {
23437 /* 33222222222211111111110000000000
23438 10987654321098765432109876543210
7684e580 23439 x0011111xx0xxxxx1xxxxxxxxxxxxxxx
a06ea964 23440 fmsub. */
09c1e68a 23441 return 860;
a06ea964
NC
23442 }
23443 else
23444 {
23445 /* 33222222222211111111110000000000
23446 10987654321098765432109876543210
7684e580 23447 x0011111xx1xxxxx1xxxxxxxxxxxxxxx
a06ea964 23448 fnmsub. */
09c1e68a 23449 return 864;
a06ea964
NC
23450 }
23451 }
23452 else
23453 {
23454 if (((word >> 10) & 0x1) == 0)
23455 {
23456 if (((word >> 12) & 0x1) == 0)
23457 {
23458 /* 33222222222211111111110000000000
23459 10987654321098765432109876543210
7684e580 23460 x1011111xxxxxxxx1xx0x0xxxxxxxxxx
a06ea964 23461 sqdmulh. */
193614f2 23462 return 428;
a06ea964
NC
23463 }
23464 else
23465 {
23466 if (((word >> 13) & 0x1) == 0)
23467 {
23468 if (((word >> 14) & 0x1) == 0)
23469 {
5f7728b7
MW
23470 if (((word >> 23) & 0x1) == 0)
23471 {
23472 /* 33222222222211111111110000000000
23473 10987654321098765432109876543210
7684e580 23474 x10111110xxxxxxx1001x0xxxxxxxxxx
5f7728b7 23475 fmul. */
193614f2 23476 return 435;
5f7728b7
MW
23477 }
23478 else
23479 {
23480 /* 33222222222211111111110000000000
23481 10987654321098765432109876543210
7684e580 23482 x10111111xxxxxxx1001x0xxxxxxxxxx
5f7728b7 23483 fmul. */
193614f2 23484 return 434;
5f7728b7 23485 }
a06ea964
NC
23486 }
23487 else
23488 {
23489 /* 33222222222211111111110000000000
23490 10987654321098765432109876543210
7684e580 23491 x1011111xxxxxxxx1101x0xxxxxxxxxx
a06ea964 23492 sqrdmulh. */
193614f2 23493 return 429;
a06ea964
NC
23494 }
23495 }
23496 else
23497 {
23498 /* 33222222222211111111110000000000
23499 10987654321098765432109876543210
7684e580 23500 x1011111xxxxxxxx1x11x0xxxxxxxxxx
a06ea964 23501 sqdmull. */
193614f2 23502 return 427;
a06ea964
NC
23503 }
23504 }
23505 }
23506 else
23507 {
23508 if (((word >> 11) & 0x1) == 0)
23509 {
23510 if (((word >> 12) & 0x1) == 0)
23511 {
23512 /* 33222222222211111111110000000000
23513 10987654321098765432109876543210
7684e580 23514 x1011111xxxxxxxx1xx001xxxxxxxxxx
a06ea964 23515 scvtf. */
193614f2 23516 return 598;
a06ea964
NC
23517 }
23518 else
23519 {
23520 /* 33222222222211111111110000000000
23521 10987654321098765432109876543210
7684e580 23522 x1011111xxxxxxxx1xx101xxxxxxxxxx
a06ea964 23523 sqshrn. */
193614f2 23524 return 596;
a06ea964
NC
23525 }
23526 }
23527 else
23528 {
23529 if (((word >> 13) & 0x1) == 0)
23530 {
23531 /* 33222222222211111111110000000000
23532 10987654321098765432109876543210
7684e580 23533 x1011111xxxxxxxx1x0x11xxxxxxxxxx
a06ea964 23534 sqrshrn. */
193614f2 23535 return 597;
a06ea964
NC
23536 }
23537 else
23538 {
23539 /* 33222222222211111111110000000000
23540 10987654321098765432109876543210
7684e580 23541 x1011111xxxxxxxx1x1x11xxxxxxxxxx
a06ea964 23542 fcvtzs. */
193614f2 23543 return 600;
a06ea964
NC
23544 }
23545 }
23546 }
23547 }
23548 }
23549 else
23550 {
23551 if (((word >> 10) & 0x1) == 0)
23552 {
9e1f0fa7
MW
23553 if (((word >> 13) & 0x1) == 0)
23554 {
23555 if (((word >> 14) & 0x1) == 0)
23556 {
5f7728b7
MW
23557 if (((word >> 23) & 0x1) == 0)
23558 {
23559 /* 33222222222211111111110000000000
23560 10987654321098765432109876543210
7684e580 23561 xx1111110xxxxxxx100xx0xxxxxxxxxx
5f7728b7 23562 fmulx. */
193614f2 23563 return 437;
5f7728b7
MW
23564 }
23565 else
23566 {
23567 /* 33222222222211111111110000000000
23568 10987654321098765432109876543210
7684e580 23569 xx1111111xxxxxxx100xx0xxxxxxxxxx
5f7728b7 23570 fmulx. */
193614f2 23571 return 436;
5f7728b7 23572 }
9e1f0fa7
MW
23573 }
23574 else
23575 {
23576 /* 33222222222211111111110000000000
23577 10987654321098765432109876543210
7684e580 23578 xx111111xxxxxxxx110xx0xxxxxxxxxx
9e1f0fa7 23579 sqrdmlah. */
193614f2 23580 return 438;
9e1f0fa7
MW
23581 }
23582 }
23583 else
23584 {
23585 /* 33222222222211111111110000000000
23586 10987654321098765432109876543210
7684e580 23587 xx111111xxxxxxxx1x1xx0xxxxxxxxxx
9e1f0fa7 23588 sqrdmlsh. */
193614f2 23589 return 439;
9e1f0fa7 23590 }
a06ea964
NC
23591 }
23592 else
23593 {
23594 if (((word >> 11) & 0x1) == 0)
23595 {
23596 if (((word >> 12) & 0x1) == 0)
23597 {
23598 if (((word >> 13) & 0x1) == 0)
23599 {
23600 /* 33222222222211111111110000000000
23601 10987654321098765432109876543210
7684e580 23602 xx111111xxxxxxxx1x0001xxxxxxxxxx
a06ea964 23603 sqshrun. */
193614f2 23604 return 610;
a06ea964
NC
23605 }
23606 else
23607 {
23608 /* 33222222222211111111110000000000
23609 10987654321098765432109876543210
7684e580 23610 xx111111xxxxxxxx1x1001xxxxxxxxxx
a06ea964 23611 ucvtf. */
193614f2 23612 return 614;
a06ea964
NC
23613 }
23614 }
23615 else
23616 {
23617 /* 33222222222211111111110000000000
23618 10987654321098765432109876543210
7684e580 23619 xx111111xxxxxxxx1xx101xxxxxxxxxx
a06ea964 23620 uqshrn. */
193614f2 23621 return 612;
a06ea964
NC
23622 }
23623 }
23624 else
23625 {
23626 if (((word >> 12) & 0x1) == 0)
23627 {
23628 /* 33222222222211111111110000000000
23629 10987654321098765432109876543210
7684e580 23630 xx111111xxxxxxxx1xx011xxxxxxxxxx
a06ea964 23631 sqrshrun. */
193614f2 23632 return 611;
a06ea964
NC
23633 }
23634 else
23635 {
23636 if (((word >> 13) & 0x1) == 0)
23637 {
23638 /* 33222222222211111111110000000000
23639 10987654321098765432109876543210
7684e580 23640 xx111111xxxxxxxx1x0111xxxxxxxxxx
a06ea964 23641 uqrshrn. */
193614f2 23642 return 613;
a06ea964
NC
23643 }
23644 else
23645 {
23646 /* 33222222222211111111110000000000
23647 10987654321098765432109876543210
7684e580 23648 xx111111xxxxxxxx1x1111xxxxxxxxxx
a06ea964 23649 fcvtzu. */
193614f2 23650 return 616;
a06ea964
NC
23651 }
23652 }
23653 }
23654 }
23655 }
23656 }
23657 }
23658 }
23659 }
23660 }
23661 }
23662}
23663
23664/* Lookup opcode WORD in the opcode table. N.B. all alias
23665 opcodes are ignored here. */
23666
23667const aarch64_opcode *
23668aarch64_opcode_lookup (uint32_t word)
23669{
23670 return aarch64_opcode_table + aarch64_opcode_lookup_1 (word);
23671}
23672
23673const aarch64_opcode *
23674aarch64_find_next_opcode (const aarch64_opcode *opcode)
23675{
23676 /* Use the index as the key to locate the next opcode. */
23677 int key = opcode - aarch64_opcode_table;
23678 int value;
23679 switch (key)
23680 {
7bb5f07c
PW
23681 case 2377: value = 2379; break; /* mov --> mova. */
23682 case 2379: return NULL; /* mova --> NULL. */
23683 case 2376: value = 2378; break; /* mov --> mova. */
23684 case 2378: return NULL; /* mova --> NULL. */
01a4d082
PW
23685 case 2381: value = 2386; break; /* ld1b --> ld1b. */
23686 case 2386: return NULL; /* ld1b --> NULL. */
23687 case 2383: value = 2388; break; /* ld1w --> ld1w. */
23688 case 2388: return NULL; /* ld1w --> NULL. */
23689 case 2382: value = 2387; break; /* ld1h --> ld1h. */
23690 case 2387: return NULL; /* ld1h --> NULL. */
23691 case 2384: value = 2389; break; /* ld1d --> ld1d. */
23692 case 2389: return NULL; /* ld1d --> NULL. */
23693 case 2391: value = 2396; break; /* st1b --> st1b. */
23694 case 2396: return NULL; /* st1b --> NULL. */
23695 case 2393: value = 2398; break; /* st1w --> st1w. */
23696 case 2398: return NULL; /* st1w --> NULL. */
23697 case 2392: value = 2397; break; /* st1h --> st1h. */
23698 case 2397: return NULL; /* st1h --> NULL. */
23699 case 2394: value = 2399; break; /* st1d --> st1d. */
23700 case 2399: return NULL; /* st1d --> NULL. */
23701 case 2385: value = 2390; break; /* ld1q --> ld1q. */
23702 case 2390: return NULL; /* ld1q --> NULL. */
23703 case 2395: value = 2400; break; /* st1q --> st1q. */
23704 case 2400: return NULL; /* st1q --> NULL. */
193614f2
SD
23705 case 12: value = 19; break; /* add --> addg. */
23706 case 19: return NULL; /* addg --> NULL. */
23707 case 16: value = 20; break; /* sub --> subg. */
23708 case 20: return NULL; /* subg --> NULL. */
09c1e68a
AC
23709 case 971: value = 975; break; /* stnp --> stp. */
23710 case 975: return NULL; /* stp --> NULL. */
23711 case 969: value = 970; break; /* stllrb --> stllrh. */
23712 case 970: return NULL; /* stllrh --> NULL. */
23713 case 972: value = 976; break; /* ldnp --> ldp. */
23714 case 976: return NULL; /* ldp --> NULL. */
82c70b08
KT
23715 case 1636: value = 1637; break; /* ldff1b --> ldff1b. */
23716 case 1637: return NULL; /* ldff1b --> NULL. */
23717 case 1692: value = 1693; break; /* ldff1sw --> ldff1sw. */
23718 case 1693: return NULL; /* ldff1sw --> NULL. */
23719 case 1640: value = 1641; break; /* ldff1b --> ldff1b. */
23720 case 1641: return NULL; /* ldff1b --> NULL. */
23721 case 1659: value = 1660; break; /* ldff1h --> ldff1h. */
23722 case 1660: return NULL; /* ldff1h --> NULL. */
23723 case 1638: value = 1639; break; /* ldff1b --> ldff1b. */
23724 case 1639: return NULL; /* ldff1b --> NULL. */
23725 case 1657: value = 1658; break; /* ldff1h --> ldff1h. */
23726 case 1658: return NULL; /* ldff1h --> NULL. */
23727 case 1642: value = 1643; break; /* ldff1b --> ldff1b. */
23728 case 1643: return NULL; /* ldff1b --> NULL. */
23729 case 1661: value = 1662; break; /* ldff1h --> ldff1h. */
23730 case 1662: return NULL; /* ldff1h --> NULL. */
23731 case 1682: value = 1683; break; /* ldff1sh --> ldff1sh. */
23732 case 1683: return NULL; /* ldff1sh --> NULL. */
23733 case 1670: value = 1671; break; /* ldff1sb --> ldff1sb. */
23734 case 1671: return NULL; /* ldff1sb --> NULL. */
23735 case 1701: value = 1702; break; /* ldff1w --> ldff1w. */
23736 case 1702: return NULL; /* ldff1w --> NULL. */
23737 case 1674: value = 1675; break; /* ldff1sb --> ldff1sb. */
23738 case 1675: return NULL; /* ldff1sb --> NULL. */
23739 case 1684: value = 1685; break; /* ldff1sh --> ldff1sh. */
23740 case 1685: return NULL; /* ldff1sh --> NULL. */
23741 case 1672: value = 1673; break; /* ldff1sb --> ldff1sb. */
23742 case 1673: return NULL; /* ldff1sb --> NULL. */
23743 case 1703: value = 1704; break; /* ldff1w --> ldff1w. */
23744 case 1704: return NULL; /* ldff1w --> NULL. */
23745 case 1648: value = 1649; break; /* ldff1d --> ldff1d. */
23746 case 1649: return NULL; /* ldff1d --> NULL. */
09c1e68a 23747 case 811: value = 812; break; /* xaflag --> axflag. */
fd65497d 23748 case 812: value = 1194; break; /* axflag --> tcommit. */
82c70b08
KT
23749 case 1194: value = 1197; break; /* tcommit --> msr. */
23750 case 1197: value = 1198; break; /* msr --> hint. */
23751 case 1198: value = 1207; break; /* hint --> dgh. */
23752 case 1207: value = 1216; break; /* dgh --> clrex. */
23753 case 1216: value = 1217; break; /* clrex --> dsb. */
23754 case 1217: value = 1218; break; /* dsb --> dsb. */
23755 case 1218: value = 1222; break; /* dsb --> dmb. */
23756 case 1222: value = 1223; break; /* dmb --> isb. */
23757 case 1223: value = 1224; break; /* isb --> sb. */
23758 case 1224: value = 1225; break; /* sb --> sys. */
23759 case 1225: value = 1230; break; /* sys --> wfet. */
23760 case 1230: value = 1231; break; /* wfet --> wfit. */
23761 case 1231: value = 1235; break; /* wfit --> cfinv. */
23762 case 1235: value = 1236; break; /* cfinv --> msr. */
23763 case 1236: return NULL; /* msr --> NULL. */
fd65497d 23764 case 1193: value = 1195; break; /* tstart --> ttest. */
82c70b08
KT
23765 case 1195: value = 1237; break; /* ttest --> sysl. */
23766 case 1237: value = 1238; break; /* sysl --> mrs. */
23767 case 1238: return NULL; /* mrs --> NULL. */
193614f2
SD
23768 case 440: value = 441; break; /* st4 --> st1. */
23769 case 441: value = 442; break; /* st1 --> st2. */
23770 case 442: value = 443; break; /* st2 --> st3. */
23771 case 443: return NULL; /* st3 --> NULL. */
23772 case 448: value = 449; break; /* st4 --> st1. */
23773 case 449: value = 450; break; /* st1 --> st2. */
23774 case 450: value = 451; break; /* st2 --> st3. */
23775 case 451: return NULL; /* st3 --> NULL. */
23776 case 444: value = 445; break; /* ld4 --> ld1. */
23777 case 445: value = 446; break; /* ld1 --> ld2. */
23778 case 446: value = 447; break; /* ld2 --> ld3. */
23779 case 447: return NULL; /* ld3 --> NULL. */
23780 case 460: value = 462; break; /* ld1 --> ld1r. */
23781 case 462: return NULL; /* ld1r --> NULL. */
23782 case 464: value = 466; break; /* ld2 --> ld2r. */
23783 case 466: return NULL; /* ld2r --> NULL. */
23784 case 461: value = 463; break; /* ld3 --> ld3r. */
23785 case 463: return NULL; /* ld3r --> NULL. */
23786 case 465: value = 467; break; /* ld4 --> ld4r. */
23787 case 467: return NULL; /* ld4r --> NULL. */
23788 case 452: value = 453; break; /* ld4 --> ld1. */
23789 case 453: value = 454; break; /* ld1 --> ld2. */
23790 case 454: value = 455; break; /* ld2 --> ld3. */
23791 case 455: return NULL; /* ld3 --> NULL. */
23792 case 472: value = 474; break; /* ld1 --> ld1r. */
23793 case 474: return NULL; /* ld1r --> NULL. */
23794 case 473: value = 475; break; /* ld3 --> ld3r. */
23795 case 475: return NULL; /* ld3r --> NULL. */
23796 case 476: value = 478; break; /* ld2 --> ld2r. */
23797 case 478: return NULL; /* ld2r --> NULL. */
23798 case 477: value = 479; break; /* ld4 --> ld4r. */
23799 case 479: return NULL; /* ld4r --> NULL. */
09c1e68a
AC
23800 case 764: value = 765; break; /* fcvtzs --> fcvtzs. */
23801 case 765: return NULL; /* fcvtzs --> NULL. */
23802 case 760: value = 761; break; /* scvtf --> scvtf. */
23803 case 761: return NULL; /* scvtf --> NULL. */
23804 case 766: value = 767; break; /* fcvtzu --> fcvtzu. */
23805 case 767: return NULL; /* fcvtzu --> NULL. */
23806 case 762: value = 763; break; /* ucvtf --> ucvtf. */
23807 case 763: return NULL; /* ucvtf --> NULL. */
23808 case 768: value = 769; break; /* fcvtns --> fcvtns. */
23809 case 769: return NULL; /* fcvtns --> NULL. */
23810 case 788: value = 789; break; /* fcvtms --> fcvtms. */
23811 case 789: return NULL; /* fcvtms --> NULL. */
23812 case 784: value = 785; break; /* fcvtps --> fcvtps. */
23813 case 785: return NULL; /* fcvtps --> NULL. */
23814 case 792: value = 793; break; /* fcvtzs --> fcvtzs. */
23815 case 793: return NULL; /* fcvtzs --> NULL. */
23816 case 776: value = 777; break; /* fcvtas --> fcvtas. */
23817 case 777: return NULL; /* fcvtas --> NULL. */
23818 case 772: value = 773; break; /* scvtf --> scvtf. */
23819 case 773: return NULL; /* scvtf --> NULL. */
23820 case 780: value = 781; break; /* fmov --> fmov. */
23821 case 781: return NULL; /* fmov --> NULL. */
23822 case 770: value = 771; break; /* fcvtnu --> fcvtnu. */
23823 case 771: return NULL; /* fcvtnu --> NULL. */
23824 case 790: value = 791; break; /* fcvtmu --> fcvtmu. */
23825 case 791: return NULL; /* fcvtmu --> NULL. */
23826 case 786: value = 787; break; /* fcvtpu --> fcvtpu. */
23827 case 787: return NULL; /* fcvtpu --> NULL. */
23828 case 794: value = 795; break; /* fcvtzu --> fcvtzu. */
23829 case 795: return NULL; /* fcvtzu --> NULL. */
23830 case 778: value = 779; break; /* fcvtau --> fcvtau. */
23831 case 779: return NULL; /* fcvtau --> NULL. */
23832 case 774: value = 775; break; /* ucvtf --> ucvtf. */
23833 case 775: return NULL; /* ucvtf --> NULL. */
23834 case 782: value = 783; break; /* fmov --> fmov. */
23835 case 783: return NULL; /* fmov --> NULL. */
23836 case 817: value = 818; break; /* fmov --> fmov. */
23837 case 818: return NULL; /* fmov --> NULL. */
23838 case 826: value = 827; break; /* frintn --> frintn. */
23839 case 827: return NULL; /* frintn --> NULL. */
23840 case 821: value = 822; break; /* fneg --> fneg. */
23841 case 822: return NULL; /* fneg --> NULL. */
23842 case 830: value = 831; break; /* frintm --> frintm. */
23843 case 831: return NULL; /* frintm --> NULL. */
23844 case 819: value = 820; break; /* fabs --> fabs. */
23845 case 820: return NULL; /* fabs --> NULL. */
23846 case 828: value = 829; break; /* frintp --> frintp. */
23847 case 829: return NULL; /* frintp --> NULL. */
23848 case 823: value = 824; break; /* fsqrt --> fsqrt. */
23849 case 824: return NULL; /* fsqrt --> NULL. */
23850 case 832: value = 833; break; /* frintz --> frintz. */
23851 case 833: return NULL; /* frintz --> NULL. */
01a4d082
PW
23852 case 825: value = 2498; break; /* fcvt --> bfcvt. */
23853 case 2498: return NULL; /* bfcvt --> NULL. */
09c1e68a
AC
23854 case 834: value = 835; break; /* frinta --> frinta. */
23855 case 835: return NULL; /* frinta --> NULL. */
23856 case 836: value = 837; break; /* frintx --> frintx. */
23857 case 837: return NULL; /* frintx --> NULL. */
23858 case 838: value = 839; break; /* frinti --> frinti. */
23859 case 839: return NULL; /* frinti --> NULL. */
23860 case 803: value = 804; break; /* fcmp --> fcmp. */
23861 case 804: return NULL; /* fcmp --> NULL. */
23862 case 805: value = 806; break; /* fcmpe --> fcmpe. */
23863 case 806: return NULL; /* fcmpe --> NULL. */
23864 case 807: value = 808; break; /* fcmp --> fcmp. */
23865 case 808: return NULL; /* fcmp --> NULL. */
23866 case 809: value = 810; break; /* fcmpe --> fcmpe. */
23867 case 810: return NULL; /* fcmpe --> NULL. */
23868 case 866: value = 867; break; /* fmov --> fmov. */
23869 case 867: return NULL; /* fmov --> NULL. */
23870 case 840: value = 841; break; /* fmul --> fmul. */
23871 case 841: return NULL; /* fmul --> NULL. */
23872 case 856: value = 857; break; /* fnmul --> fnmul. */
23873 case 857: return NULL; /* fnmul --> NULL. */
23874 case 848: value = 849; break; /* fmax --> fmax. */
23875 case 849: return NULL; /* fmax --> NULL. */
23876 case 844: value = 845; break; /* fadd --> fadd. */
23877 case 845: return NULL; /* fadd --> NULL. */
23878 case 852: value = 853; break; /* fmaxnm --> fmaxnm. */
23879 case 853: return NULL; /* fmaxnm --> NULL. */
23880 case 842: value = 843; break; /* fdiv --> fdiv. */
23881 case 843: return NULL; /* fdiv --> NULL. */
23882 case 850: value = 851; break; /* fmin --> fmin. */
23883 case 851: return NULL; /* fmin --> NULL. */
23884 case 846: value = 847; break; /* fsub --> fsub. */
23885 case 847: return NULL; /* fsub --> NULL. */
23886 case 854: value = 855; break; /* fminnm --> fminnm. */
23887 case 855: return NULL; /* fminnm --> NULL. */
23888 case 799: value = 800; break; /* fccmp --> fccmp. */
23889 case 800: return NULL; /* fccmp --> NULL. */
23890 case 801: value = 802; break; /* fccmpe --> fccmpe. */
23891 case 802: return NULL; /* fccmpe --> NULL. */
23892 case 868: value = 869; break; /* fcsel --> fcsel. */
23893 case 869: return NULL; /* fcsel --> NULL. */
193614f2
SD
23894 case 133: value = 374; break; /* movi --> sshr. */
23895 case 374: value = 376; break; /* sshr --> srshr. */
23896 case 376: return NULL; /* srshr --> NULL. */
23897 case 141: value = 396; break; /* mvni --> ushr. */
23898 case 396: value = 398; break; /* ushr --> urshr. */
23899 case 398: value = 400; break; /* urshr --> sri. */
23900 case 400: value = 402; break; /* sri --> sqshlu. */
23901 case 402: return NULL; /* sqshlu --> NULL. */
23902 case 134: value = 375; break; /* orr --> ssra. */
23903 case 375: value = 377; break; /* ssra --> srsra. */
23904 case 377: value = 378; break; /* srsra --> shl. */
23905 case 378: value = 379; break; /* shl --> sqshl. */
23906 case 379: return NULL; /* sqshl --> NULL. */
23907 case 142: value = 397; break; /* bic --> usra. */
23908 case 397: value = 399; break; /* usra --> ursra. */
23909 case 399: value = 401; break; /* ursra --> sli. */
23910 case 401: value = 403; break; /* sli --> uqshl. */
23911 case 403: return NULL; /* uqshl --> NULL. */
09c1e68a
AC
23912 case 858: value = 859; break; /* fmadd --> fmadd. */
23913 case 859: return NULL; /* fmadd --> NULL. */
23914 case 862: value = 863; break; /* fnmadd --> fnmadd. */
23915 case 863: return NULL; /* fnmadd --> NULL. */
193614f2
SD
23916 case 135: value = 380; break; /* movi --> shrn. */
23917 case 380: value = 381; break; /* shrn --> shrn2. */
23918 case 381: value = 388; break; /* shrn2 --> sshll. */
23919 case 388: value = 390; break; /* sshll --> sshll2. */
23920 case 390: return NULL; /* sshll2 --> NULL. */
23921 case 143: value = 404; break; /* mvni --> sqshrun. */
23922 case 404: value = 405; break; /* sqshrun --> sqshrun2. */
23923 case 405: value = 412; break; /* sqshrun2 --> ushll. */
23924 case 412: value = 414; break; /* ushll --> ushll2. */
23925 case 414: return NULL; /* ushll2 --> NULL. */
23926 case 136: value = 384; break; /* orr --> sqshrn. */
23927 case 384: value = 385; break; /* sqshrn --> sqshrn2. */
23928 case 385: return NULL; /* sqshrn2 --> NULL. */
23929 case 144: value = 408; break; /* bic --> uqshrn. */
23930 case 408: value = 409; break; /* uqshrn --> uqshrn2. */
23931 case 409: return NULL; /* uqshrn2 --> NULL. */
23932 case 138: value = 392; break; /* movi --> scvtf. */
23933 case 392: value = 393; break; /* scvtf --> scvtf. */
23934 case 393: return NULL; /* scvtf --> NULL. */
23935 case 146: value = 147; break; /* movi --> movi. */
23936 case 147: value = 416; break; /* movi --> ucvtf. */
23937 case 416: value = 417; break; /* ucvtf --> ucvtf. */
23938 case 417: return NULL; /* ucvtf --> NULL. */
23939 case 140: value = 394; break; /* fmov --> fcvtzs. */
23940 case 394: value = 395; break; /* fcvtzs --> fcvtzs. */
23941 case 395: return NULL; /* fcvtzs --> NULL. */
23942 case 418: value = 419; break; /* fcvtzu --> fcvtzu. */
23943 case 419: return NULL; /* fcvtzu --> NULL. */
09c1e68a
AC
23944 case 860: value = 861; break; /* fmsub --> fmsub. */
23945 case 861: return NULL; /* fmsub --> NULL. */
23946 case 864: value = 865; break; /* fnmsub --> fnmsub. */
23947 case 865: return NULL; /* fnmsub --> NULL. */
193614f2
SD
23948 case 598: value = 599; break; /* scvtf --> scvtf. */
23949 case 599: return NULL; /* scvtf --> NULL. */
23950 case 600: value = 601; break; /* fcvtzs --> fcvtzs. */
23951 case 601: return NULL; /* fcvtzs --> NULL. */
23952 case 614: value = 615; break; /* ucvtf --> ucvtf. */
23953 case 615: return NULL; /* ucvtf --> NULL. */
23954 case 616: value = 617; break; /* fcvtzu --> fcvtzu. */
23955 case 617: return NULL; /* fcvtzu --> NULL. */
a06ea964
NC
23956 default: return NULL;
23957 }
23958
23959 return aarch64_opcode_table + value;
23960}
23961
23962const aarch64_opcode *
23963aarch64_find_alias_opcode (const aarch64_opcode *opcode)
23964{
23965 /* Use the index as the key to locate the alias opcode. */
23966 int key = opcode - aarch64_opcode_table;
23967 int value;
23968 switch (key)
23969 {
23970 case 2: value = 3; break; /* sbc --> ngc. */
23971 case 4: value = 5; break; /* sbcs --> ngcs. */
23972 case 7: value = 8; break; /* adds --> cmn. */
23973 case 10: value = 11; break; /* subs --> cmp. */
23974 case 12: value = 13; break; /* add --> mov. */
23975 case 14: value = 15; break; /* adds --> cmn. */
23976 case 17: value = 18; break; /* subs --> cmp. */
193614f2
SD
23977 case 22: value = 23; break; /* adds --> cmn. */
23978 case 24: value = 25; break; /* sub --> neg. */
23979 case 26: value = 27; break; /* subs --> cmp. */
23980 case 152: value = 153; break; /* umov --> mov. */
c2c4ff8d 23981 case 154: value = 155; break; /* ins --> mov. */
193614f2
SD
23982 case 156: value = 157; break; /* ins --> mov. */
23983 case 242: value = 243; break; /* not --> mvn. */
23984 case 317: value = 318; break; /* orr --> mov. */
23985 case 388: value = 389; break; /* sshll --> sxtl. */
23986 case 390: value = 391; break; /* sshll2 --> sxtl2. */
23987 case 412: value = 413; break; /* ushll --> uxtl. */
23988 case 414: value = 415; break; /* ushll2 --> uxtl2. */
23989 case 535: value = 536; break; /* dup --> mov. */
23990 case 618: value = 623; break; /* sbfm --> sxtw. */
23991 case 625: value = 627; break; /* bfm --> bfc. */
23992 case 629: value = 633; break; /* ubfm --> uxth. */
23993 case 663: value = 665; break; /* csinc --> cset. */
23994 case 666: value = 668; break; /* csinv --> csetm. */
23995 case 669: value = 670; break; /* csneg --> cneg. */
23996 case 688: value = 688; break; /* rev --> rev. */
23997 case 713: value = 714; break; /* lslv --> lsl. */
23998 case 715: value = 716; break; /* lsrv --> lsr. */
23999 case 717: value = 718; break; /* asrv --> asr. */
24000 case 719: value = 720; break; /* rorv --> ror. */
b731bc3b
SD
24001 case 722: value = 723; break; /* subps --> cmpp. */
24002 case 735: value = 736; break; /* madd --> mul. */
24003 case 737: value = 738; break; /* msub --> mneg. */
24004 case 739: value = 740; break; /* smaddl --> smull. */
24005 case 741: value = 742; break; /* smsubl --> smnegl. */
24006 case 744: value = 745; break; /* umaddl --> umull. */
24007 case 746: value = 747; break; /* umsubl --> umnegl. */
09c1e68a 24008 case 758: value = 759; break; /* extr --> ror. */
fd65497d
PW
24009 case 995: value = 996; break; /* and --> bic. */
24010 case 997: value = 998; break; /* orr --> mov. */
24011 case 1000: value = 1001; break; /* ands --> tst. */
24012 case 1004: value = 1006; break; /* orr --> uxtw. */
24013 case 1007: value = 1008; break; /* orn --> mvn. */
24014 case 1011: value = 1012; break; /* ands --> tst. */
24015 case 1042: value = 1138; break; /* ldaddb --> staddb. */
24016 case 1043: value = 1139; break; /* ldaddh --> staddh. */
24017 case 1044: value = 1140; break; /* ldadd --> stadd. */
24018 case 1046: value = 1141; break; /* ldaddlb --> staddlb. */
24019 case 1049: value = 1142; break; /* ldaddlh --> staddlh. */
24020 case 1052: value = 1143; break; /* ldaddl --> staddl. */
24021 case 1054: value = 1144; break; /* ldclrb --> stclrb. */
24022 case 1055: value = 1145; break; /* ldclrh --> stclrh. */
24023 case 1056: value = 1146; break; /* ldclr --> stclr. */
24024 case 1058: value = 1147; break; /* ldclrlb --> stclrlb. */
24025 case 1061: value = 1148; break; /* ldclrlh --> stclrlh. */
24026 case 1064: value = 1149; break; /* ldclrl --> stclrl. */
24027 case 1066: value = 1150; break; /* ldeorb --> steorb. */
24028 case 1067: value = 1151; break; /* ldeorh --> steorh. */
24029 case 1068: value = 1152; break; /* ldeor --> steor. */
24030 case 1070: value = 1153; break; /* ldeorlb --> steorlb. */
24031 case 1073: value = 1154; break; /* ldeorlh --> steorlh. */
24032 case 1076: value = 1155; break; /* ldeorl --> steorl. */
24033 case 1078: value = 1156; break; /* ldsetb --> stsetb. */
24034 case 1079: value = 1157; break; /* ldseth --> stseth. */
24035 case 1080: value = 1158; break; /* ldset --> stset. */
24036 case 1082: value = 1159; break; /* ldsetlb --> stsetlb. */
24037 case 1085: value = 1160; break; /* ldsetlh --> stsetlh. */
24038 case 1088: value = 1161; break; /* ldsetl --> stsetl. */
24039 case 1090: value = 1162; break; /* ldsmaxb --> stsmaxb. */
24040 case 1091: value = 1163; break; /* ldsmaxh --> stsmaxh. */
24041 case 1092: value = 1164; break; /* ldsmax --> stsmax. */
24042 case 1094: value = 1165; break; /* ldsmaxlb --> stsmaxlb. */
24043 case 1097: value = 1166; break; /* ldsmaxlh --> stsmaxlh. */
24044 case 1100: value = 1167; break; /* ldsmaxl --> stsmaxl. */
24045 case 1102: value = 1168; break; /* ldsminb --> stsminb. */
24046 case 1103: value = 1169; break; /* ldsminh --> stsminh. */
24047 case 1104: value = 1170; break; /* ldsmin --> stsmin. */
24048 case 1106: value = 1171; break; /* ldsminlb --> stsminlb. */
24049 case 1109: value = 1172; break; /* ldsminlh --> stsminlh. */
24050 case 1112: value = 1173; break; /* ldsminl --> stsminl. */
24051 case 1114: value = 1174; break; /* ldumaxb --> stumaxb. */
24052 case 1115: value = 1175; break; /* ldumaxh --> stumaxh. */
24053 case 1116: value = 1176; break; /* ldumax --> stumax. */
24054 case 1118: value = 1177; break; /* ldumaxlb --> stumaxlb. */
24055 case 1121: value = 1178; break; /* ldumaxlh --> stumaxlh. */
24056 case 1124: value = 1179; break; /* ldumaxl --> stumaxl. */
24057 case 1126: value = 1180; break; /* lduminb --> stuminb. */
24058 case 1127: value = 1181; break; /* lduminh --> stuminh. */
24059 case 1128: value = 1182; break; /* ldumin --> stumin. */
24060 case 1130: value = 1183; break; /* lduminlb --> stuminlb. */
24061 case 1133: value = 1184; break; /* lduminlh --> stuminlh. */
24062 case 1136: value = 1185; break; /* lduminl --> stuminl. */
24063 case 1186: value = 1187; break; /* movn --> mov. */
24064 case 1188: value = 1189; break; /* movz --> mov. */
82c70b08
KT
24065 case 1198: value = 1246; break; /* hint --> autibsp. */
24066 case 1217: value = 1221; break; /* dsb --> pssbb. */
24067 case 1218: value = 1218; break; /* dsb --> dsb. */
24068 case 1225: value = 1234; break; /* sys --> cpp. */
24069 case 1230: value = 1230; break; /* wfet --> wfet. */
24070 case 1231: value = 1231; break; /* wfit --> wfit. */
24071 case 1294: value = 2044; break; /* and --> bic. */
24072 case 1296: value = 1277; break; /* and --> mov. */
24073 case 1297: value = 1281; break; /* ands --> movs. */
24074 case 1332: value = 2045; break; /* cmpge --> cmple. */
24075 case 1335: value = 2048; break; /* cmpgt --> cmplt. */
24076 case 1337: value = 2046; break; /* cmphi --> cmplo. */
24077 case 1340: value = 2047; break; /* cmphs --> cmpls. */
24078 case 1362: value = 1274; break; /* cpy --> mov. */
24079 case 1363: value = 1276; break; /* cpy --> mov. */
24080 case 1364: value = 2055; break; /* cpy --> fmov. */
24081 case 1376: value = 1269; break; /* dup --> mov. */
24082 case 1377: value = 1271; break; /* dup --> mov. */
24083 case 1378: value = 2054; break; /* dup --> fmov. */
24084 case 1379: value = 1272; break; /* dupm --> mov. */
24085 case 1381: value = 2049; break; /* eor --> eon. */
24086 case 1383: value = 1282; break; /* eor --> not. */
24087 case 1384: value = 1283; break; /* eors --> nots. */
24088 case 1389: value = 2050; break; /* facge --> facle. */
24089 case 1390: value = 2051; break; /* facgt --> faclt. */
24090 case 1403: value = 2052; break; /* fcmge --> fcmle. */
24091 case 1405: value = 2053; break; /* fcmgt --> fcmlt. */
24092 case 1411: value = 1266; break; /* fcpy --> fmov. */
24093 case 1434: value = 1265; break; /* fdup --> fmov. */
24094 case 1765: value = 1267; break; /* orr --> mov. */
24095 case 1766: value = 2056; break; /* orr --> orn. */
24096 case 1768: value = 1270; break; /* orr --> mov. */
24097 case 1769: value = 1280; break; /* orrs --> movs. */
24098 case 1831: value = 1275; break; /* sel --> mov. */
24099 case 1832: value = 1278; break; /* sel --> mov. */
a06ea964
NC
24100 default: return NULL;
24101 }
24102
24103 return aarch64_opcode_table + value;
24104}
24105
24106const aarch64_opcode *
24107aarch64_find_next_alias_opcode (const aarch64_opcode *opcode)
24108{
24109 /* Use the index as the key to locate the next opcode. */
24110 int key = opcode - aarch64_opcode_table;
24111 int value;
24112 switch (key)
24113 {
35822b38
MW
24114 case 3: value = 2; break; /* ngc --> sbc. */
24115 case 5: value = 4; break; /* ngcs --> sbcs. */
24116 case 8: value = 7; break; /* cmn --> adds. */
24117 case 11: value = 10; break; /* cmp --> subs. */
24118 case 13: value = 12; break; /* mov --> add. */
24119 case 15: value = 14; break; /* cmn --> adds. */
24120 case 18: value = 17; break; /* cmp --> subs. */
193614f2
SD
24121 case 23: value = 22; break; /* cmn --> adds. */
24122 case 25: value = 24; break; /* neg --> sub. */
24123 case 27: value = 28; break; /* cmp --> negs. */
24124 case 28: value = 26; break; /* negs --> subs. */
24125 case 153: value = 152; break; /* mov --> umov. */
c2c4ff8d 24126 case 155: value = 154; break; /* mov --> ins. */
193614f2
SD
24127 case 157: value = 156; break; /* mov --> ins. */
24128 case 243: value = 242; break; /* mvn --> not. */
24129 case 318: value = 317; break; /* mov --> orr. */
24130 case 389: value = 388; break; /* sxtl --> sshll. */
24131 case 391: value = 390; break; /* sxtl2 --> sshll2. */
24132 case 413: value = 412; break; /* uxtl --> ushll. */
24133 case 415: value = 414; break; /* uxtl2 --> ushll2. */
24134 case 536: value = 535; break; /* mov --> dup. */
24135 case 623: value = 622; break; /* sxtw --> sxth. */
24136 case 622: value = 621; break; /* sxth --> sxtb. */
24137 case 621: value = 624; break; /* sxtb --> asr. */
24138 case 624: value = 620; break; /* asr --> sbfx. */
24139 case 620: value = 619; break; /* sbfx --> sbfiz. */
24140 case 619: value = 618; break; /* sbfiz --> sbfm. */
24141 case 627: value = 628; break; /* bfc --> bfxil. */
24142 case 628: value = 626; break; /* bfxil --> bfi. */
24143 case 626: value = 625; break; /* bfi --> bfm. */
24144 case 633: value = 632; break; /* uxth --> uxtb. */
24145 case 632: value = 635; break; /* uxtb --> lsr. */
24146 case 635: value = 634; break; /* lsr --> lsl. */
24147 case 634: value = 631; break; /* lsl --> ubfx. */
24148 case 631: value = 630; break; /* ubfx --> ubfiz. */
24149 case 630: value = 629; break; /* ubfiz --> ubfm. */
24150 case 665: value = 664; break; /* cset --> cinc. */
24151 case 664: value = 663; break; /* cinc --> csinc. */
24152 case 668: value = 667; break; /* csetm --> cinv. */
24153 case 667: value = 666; break; /* cinv --> csinv. */
24154 case 670: value = 669; break; /* cneg --> csneg. */
24155 case 688: value = 689; break; /* rev --> rev64. */
24156 case 714: value = 713; break; /* lsl --> lslv. */
24157 case 716: value = 715; break; /* lsr --> lsrv. */
24158 case 718: value = 717; break; /* asr --> asrv. */
24159 case 720: value = 719; break; /* ror --> rorv. */
b731bc3b
SD
24160 case 723: value = 722; break; /* cmpp --> subps. */
24161 case 736: value = 735; break; /* mul --> madd. */
24162 case 738: value = 737; break; /* mneg --> msub. */
24163 case 740: value = 739; break; /* smull --> smaddl. */
24164 case 742: value = 741; break; /* smnegl --> smsubl. */
24165 case 745: value = 744; break; /* umull --> umaddl. */
24166 case 747: value = 746; break; /* umnegl --> umsubl. */
09c1e68a 24167 case 759: value = 758; break; /* ror --> extr. */
fd65497d
PW
24168 case 996: value = 995; break; /* bic --> and. */
24169 case 998: value = 997; break; /* mov --> orr. */
24170 case 1001: value = 1000; break; /* tst --> ands. */
24171 case 1006: value = 1005; break; /* uxtw --> mov. */
24172 case 1005: value = 1004; break; /* mov --> orr. */
24173 case 1008: value = 1007; break; /* mvn --> orn. */
24174 case 1012: value = 1011; break; /* tst --> ands. */
24175 case 1138: value = 1042; break; /* staddb --> ldaddb. */
24176 case 1139: value = 1043; break; /* staddh --> ldaddh. */
24177 case 1140: value = 1044; break; /* stadd --> ldadd. */
24178 case 1141: value = 1046; break; /* staddlb --> ldaddlb. */
24179 case 1142: value = 1049; break; /* staddlh --> ldaddlh. */
24180 case 1143: value = 1052; break; /* staddl --> ldaddl. */
24181 case 1144: value = 1054; break; /* stclrb --> ldclrb. */
24182 case 1145: value = 1055; break; /* stclrh --> ldclrh. */
24183 case 1146: value = 1056; break; /* stclr --> ldclr. */
24184 case 1147: value = 1058; break; /* stclrlb --> ldclrlb. */
24185 case 1148: value = 1061; break; /* stclrlh --> ldclrlh. */
24186 case 1149: value = 1064; break; /* stclrl --> ldclrl. */
24187 case 1150: value = 1066; break; /* steorb --> ldeorb. */
24188 case 1151: value = 1067; break; /* steorh --> ldeorh. */
24189 case 1152: value = 1068; break; /* steor --> ldeor. */
24190 case 1153: value = 1070; break; /* steorlb --> ldeorlb. */
24191 case 1154: value = 1073; break; /* steorlh --> ldeorlh. */
24192 case 1155: value = 1076; break; /* steorl --> ldeorl. */
24193 case 1156: value = 1078; break; /* stsetb --> ldsetb. */
24194 case 1157: value = 1079; break; /* stseth --> ldseth. */
24195 case 1158: value = 1080; break; /* stset --> ldset. */
24196 case 1159: value = 1082; break; /* stsetlb --> ldsetlb. */
24197 case 1160: value = 1085; break; /* stsetlh --> ldsetlh. */
24198 case 1161: value = 1088; break; /* stsetl --> ldsetl. */
24199 case 1162: value = 1090; break; /* stsmaxb --> ldsmaxb. */
24200 case 1163: value = 1091; break; /* stsmaxh --> ldsmaxh. */
24201 case 1164: value = 1092; break; /* stsmax --> ldsmax. */
24202 case 1165: value = 1094; break; /* stsmaxlb --> ldsmaxlb. */
24203 case 1166: value = 1097; break; /* stsmaxlh --> ldsmaxlh. */
24204 case 1167: value = 1100; break; /* stsmaxl --> ldsmaxl. */
24205 case 1168: value = 1102; break; /* stsminb --> ldsminb. */
24206 case 1169: value = 1103; break; /* stsminh --> ldsminh. */
24207 case 1170: value = 1104; break; /* stsmin --> ldsmin. */
24208 case 1171: value = 1106; break; /* stsminlb --> ldsminlb. */
24209 case 1172: value = 1109; break; /* stsminlh --> ldsminlh. */
24210 case 1173: value = 1112; break; /* stsminl --> ldsminl. */
24211 case 1174: value = 1114; break; /* stumaxb --> ldumaxb. */
24212 case 1175: value = 1115; break; /* stumaxh --> ldumaxh. */
24213 case 1176: value = 1116; break; /* stumax --> ldumax. */
24214 case 1177: value = 1118; break; /* stumaxlb --> ldumaxlb. */
24215 case 1178: value = 1121; break; /* stumaxlh --> ldumaxlh. */
24216 case 1179: value = 1124; break; /* stumaxl --> ldumaxl. */
24217 case 1180: value = 1126; break; /* stuminb --> lduminb. */
24218 case 1181: value = 1127; break; /* stuminh --> lduminh. */
24219 case 1182: value = 1128; break; /* stumin --> ldumin. */
24220 case 1183: value = 1130; break; /* stuminlb --> lduminlb. */
24221 case 1184: value = 1133; break; /* stuminlh --> lduminlh. */
24222 case 1185: value = 1136; break; /* stuminl --> lduminl. */
24223 case 1187: value = 1186; break; /* mov --> movn. */
24224 case 1189: value = 1188; break; /* mov --> movz. */
82c70b08
KT
24225 case 1246: value = 1245; break; /* autibsp --> autibz. */
24226 case 1245: value = 1244; break; /* autibz --> autiasp. */
24227 case 1244: value = 1243; break; /* autiasp --> autiaz. */
24228 case 1243: value = 1242; break; /* autiaz --> pacibsp. */
24229 case 1242: value = 1241; break; /* pacibsp --> pacibz. */
24230 case 1241: value = 1240; break; /* pacibz --> paciasp. */
24231 case 1240: value = 1239; break; /* paciasp --> paciaz. */
24232 case 1239: value = 1215; break; /* paciaz --> tsb. */
24233 case 1215: value = 1214; break; /* tsb --> psb. */
24234 case 1214: value = 1213; break; /* psb --> esb. */
24235 case 1213: value = 1212; break; /* esb --> autib1716. */
24236 case 1212: value = 1211; break; /* autib1716 --> autia1716. */
24237 case 1211: value = 1210; break; /* autia1716 --> pacib1716. */
24238 case 1210: value = 1209; break; /* pacib1716 --> pacia1716. */
24239 case 1209: value = 1208; break; /* pacia1716 --> xpaclri. */
24240 case 1208: value = 1206; break; /* xpaclri --> sevl. */
24241 case 1206: value = 1205; break; /* sevl --> sev. */
24242 case 1205: value = 1204; break; /* sev --> wfi. */
24243 case 1204: value = 1203; break; /* wfi --> wfe. */
24244 case 1203: value = 1202; break; /* wfe --> yield. */
24245 case 1202: value = 1201; break; /* yield --> bti. */
24246 case 1201: value = 1200; break; /* bti --> csdb. */
24247 case 1200: value = 1199; break; /* csdb --> nop. */
24248 case 1199: value = 1198; break; /* nop --> hint. */
24249 case 1221: value = 1220; break; /* pssbb --> ssbb. */
24250 case 1220: value = 1219; break; /* ssbb --> dfb. */
24251 case 1219: value = 1217; break; /* dfb --> dsb. */
24252 case 1234: value = 1233; break; /* cpp --> dvp. */
24253 case 1233: value = 1232; break; /* dvp --> cfp. */
24254 case 1232: value = 1229; break; /* cfp --> tlbi. */
24255 case 1229: value = 1228; break; /* tlbi --> ic. */
24256 case 1228: value = 1227; break; /* ic --> dc. */
24257 case 1227: value = 1226; break; /* dc --> at. */
24258 case 1226: value = 1225; break; /* at --> sys. */
24259 case 2044: value = 1294; break; /* bic --> and. */
24260 case 1277: value = 1296; break; /* mov --> and. */
24261 case 1281: value = 1297; break; /* movs --> ands. */
24262 case 2045: value = 1332; break; /* cmple --> cmpge. */
24263 case 2048: value = 1335; break; /* cmplt --> cmpgt. */
24264 case 2046: value = 1337; break; /* cmplo --> cmphi. */
24265 case 2047: value = 1340; break; /* cmpls --> cmphs. */
24266 case 1274: value = 1362; break; /* mov --> cpy. */
24267 case 1276: value = 1363; break; /* mov --> cpy. */
24268 case 2055: value = 1279; break; /* fmov --> mov. */
24269 case 1279: value = 1364; break; /* mov --> cpy. */
24270 case 1269: value = 1376; break; /* mov --> dup. */
24271 case 1271: value = 1268; break; /* mov --> mov. */
24272 case 1268: value = 1377; break; /* mov --> dup. */
24273 case 2054: value = 1273; break; /* fmov --> mov. */
24274 case 1273: value = 1378; break; /* mov --> dup. */
24275 case 1272: value = 1379; break; /* mov --> dupm. */
24276 case 2049: value = 1381; break; /* eon --> eor. */
24277 case 1282: value = 1383; break; /* not --> eor. */
24278 case 1283: value = 1384; break; /* nots --> eors. */
24279 case 2050: value = 1389; break; /* facle --> facge. */
24280 case 2051: value = 1390; break; /* faclt --> facgt. */
24281 case 2052: value = 1403; break; /* fcmle --> fcmge. */
24282 case 2053: value = 1405; break; /* fcmlt --> fcmgt. */
24283 case 1266: value = 1411; break; /* fmov --> fcpy. */
24284 case 1265: value = 1434; break; /* fmov --> fdup. */
24285 case 1267: value = 1765; break; /* mov --> orr. */
24286 case 2056: value = 1766; break; /* orn --> orr. */
24287 case 1270: value = 1768; break; /* mov --> orr. */
24288 case 1280: value = 1769; break; /* movs --> orrs. */
24289 case 1275: value = 1831; break; /* mov --> sel. */
24290 case 1278: value = 1832; break; /* mov --> sel. */
a06ea964
NC
24291 default: return NULL;
24292 }
24293
24294 return aarch64_opcode_table + value;
24295}
24296
78933a4a 24297bool
a06ea964
NC
24298aarch64_extract_operand (const aarch64_operand *self,
24299 aarch64_opnd_info *info,
561a72d4
TC
24300 aarch64_insn code, const aarch64_inst *inst,
24301 aarch64_operand_error *errors)
a06ea964
NC
24302{
24303 /* Use the index as the key. */
24304 int key = self - aarch64_operands;
24305 switch (key)
24306 {
24307 case 1:
24308 case 2:
24309 case 3:
24310 case 4:
24311 case 5:
24312 case 6:
24313 case 7:
bd7ceb8d 24314 case 8:
8edca81e 24315 case 9:
c84364ec 24316 case 11:
bd7ceb8d 24317 case 12:
8edca81e 24318 case 13:
ee804238 24319 case 17:
c84364ec 24320 case 18:
bd7ceb8d 24321 case 19:
8edca81e 24322 case 20:
a06ea964
NC
24323 case 22:
24324 case 23:
24325 case 24:
24326 case 25:
24327 case 26:
ee804238 24328 case 27:
c84364ec 24329 case 28:
f42f1a1d 24330 case 29:
bd7ceb8d 24331 case 30:
8edca81e 24332 case 31:
fb3265b3
SD
24333 case 167:
24334 case 168:
bd7ceb8d 24335 case 169:
adccc507 24336 case 170:
c469c864 24337 case 171:
8382113f 24338 case 172:
09c1e68a 24339 case 173:
fd195909 24340 case 174:
8edca81e 24341 case 175:
01a4d082 24342 case 176:
adccc507 24343 case 191:
c469c864 24344 case 192:
3c17238b 24345 case 193:
28ed815a 24346 case 194:
8382113f 24347 case 195:
09c1e68a 24348 case 196:
fd195909 24349 case 197:
8edca81e 24350 case 198:
01a4d082
PW
24351 case 199:
24352 case 205:
24353 case 208:
971eda73 24354 case 210:
01a4d082
PW
24355 case 211:
24356 case 214:
561a72d4 24357 return aarch64_ext_regno (self, info, code, inst, errors);
8edca81e 24358 case 10:
561a72d4 24359 return aarch64_ext_regrt_sysins (self, info, code, inst, errors);
c84364ec 24360 case 14:
8edca81e 24361 return aarch64_ext_regno_pair (self, info, code, inst, errors);
bd7ceb8d 24362 case 15:
8edca81e
PW
24363 return aarch64_ext_reg_extended (self, info, code, inst, errors);
24364 case 16:
561a72d4 24365 return aarch64_ext_reg_shifted (self, info, code, inst, errors);
8edca81e 24366 case 21:
561a72d4 24367 return aarch64_ext_ft (self, info, code, inst, errors);
a06ea964 24368 case 32:
a06ea964 24369 case 33:
ee804238 24370 case 34:
c84364ec 24371 case 35:
01a4d082 24372 case 220:
8edca81e 24373 return aarch64_ext_reglane (self, info, code, inst, errors);
a6a51754 24374 case 36:
8edca81e 24375 return aarch64_ext_reglist (self, info, code, inst, errors);
a6a51754 24376 case 37:
8edca81e 24377 return aarch64_ext_ldst_reglist (self, info, code, inst, errors);
c84364ec 24378 case 38:
8edca81e 24379 return aarch64_ext_ldst_reglist_r (self, info, code, inst, errors);
f42f1a1d 24380 case 39:
8edca81e 24381 return aarch64_ext_ldst_elemlist (self, info, code, inst, errors);
f42f1a1d 24382 case 40:
369c9167 24383 case 41:
bd7ceb8d 24384 case 42:
8edca81e 24385 case 43:
a06ea964
NC
24386 case 53:
24387 case 54:
24388 case 55:
24389 case 56:
24390 case 57:
ee804238 24391 case 58:
e950b345 24392 case 59:
c84364ec 24393 case 60:
f42f1a1d
TC
24394 case 61:
24395 case 62:
24396 case 63:
369c9167 24397 case 64:
193614f2
SD
24398 case 65:
24399 case 66:
bd7ceb8d 24400 case 67:
09c1e68a 24401 case 68:
8edca81e 24402 case 69:
193614f2
SD
24403 case 80:
24404 case 81:
bd7ceb8d 24405 case 82:
09c1e68a 24406 case 83:
8edca81e 24407 case 84:
01a4d082
PW
24408 case 164:
24409 case 166:
c469c864 24410 case 183:
3c17238b 24411 case 184:
28ed815a 24412 case 185:
8382113f 24413 case 186:
09c1e68a 24414 case 187:
fd195909 24415 case 188:
8edca81e 24416 case 189:
01a4d082 24417 case 190:
1cad938d 24418 case 215:
01a4d082 24419 case 219:
561a72d4 24420 return aarch64_ext_imm (self, info, code, inst, errors);
c84364ec 24421 case 44:
f42f1a1d 24422 case 45:
8edca81e 24423 return aarch64_ext_advsimd_imm_shift (self, info, code, inst, errors);
f42f1a1d 24424 case 46:
369c9167 24425 case 47:
bd7ceb8d 24426 case 48:
8edca81e
PW
24427 return aarch64_ext_advsimd_imm_modified (self, info, code, inst, errors);
24428 case 49:
561a72d4 24429 return aarch64_ext_shll_imm (self, info, code, inst, errors);
8edca81e 24430 case 52:
01a4d082 24431 case 154:
561a72d4 24432 return aarch64_ext_fpimm (self, info, code, inst, errors);
c2c4ff8d 24433 case 70:
01a4d082 24434 case 162:
8edca81e 24435 return aarch64_ext_limm (self, info, code, inst, errors);
bd7ceb8d 24436 case 71:
8edca81e 24437 return aarch64_ext_aimm (self, info, code, inst, errors);
09c1e68a 24438 case 72:
8edca81e
PW
24439 return aarch64_ext_imm_half (self, info, code, inst, errors);
24440 case 73:
193614f2 24441 return aarch64_ext_fbits (self, info, code, inst, errors);
193614f2
SD
24442 case 75:
24443 case 76:
01a4d082 24444 case 159:
8edca81e 24445 return aarch64_ext_imm_rotate2 (self, info, code, inst, errors);
bd7ceb8d 24446 case 77:
01a4d082
PW
24447 case 158:
24448 case 160:
8edca81e 24449 return aarch64_ext_imm_rotate1 (self, info, code, inst, errors);
09c1e68a 24450 case 78:
8edca81e 24451 case 79:
561a72d4 24452 return aarch64_ext_cond (self, info, code, inst, errors);
a06ea964 24453 case 85:
8edca81e
PW
24454 case 94:
24455 return aarch64_ext_addr_simple (self, info, code, inst, errors);
369c9167 24456 case 86:
8edca81e 24457 return aarch64_ext_addr_regoff (self, info, code, inst, errors);
550fd7bf 24458 case 87:
bd7ceb8d 24459 case 88:
09c1e68a 24460 case 89:
09c1e68a 24461 case 91:
8edca81e
PW
24462 case 93:
24463 return aarch64_ext_addr_simm (self, info, code, inst, errors);
24464 case 90:
24465 return aarch64_ext_addr_simm10 (self, info, code, inst, errors);
24466 case 92:
193614f2 24467 return aarch64_ext_addr_uimm12 (self, info, code, inst, errors);
550fd7bf 24468 case 95:
8edca81e 24469 return aarch64_ext_addr_offset (self, info, code, inst, errors);
550fd7bf 24470 case 96:
8edca81e 24471 return aarch64_ext_simd_addr_post (self, info, code, inst, errors);
4df068de 24472 case 97:
8edca81e 24473 return aarch64_ext_sysreg (self, info, code, inst, errors);
4df068de 24474 case 98:
8edca81e 24475 return aarch64_ext_pstatefield (self, info, code, inst, errors);
4df068de
RS
24476 case 99:
24477 case 100:
550fd7bf 24478 case 101:
4df068de 24479 case 102:
550fd7bf 24480 case 103:
8edca81e 24481 return aarch64_ext_sysins_op (self, info, code, inst, errors);
fd195909 24482 case 104:
550fd7bf 24483 case 106:
8edca81e
PW
24484 return aarch64_ext_barrier (self, info, code, inst, errors);
24485 case 105:
24486 return aarch64_ext_barrier_dsb_nxs (self, info, code, inst, errors);
550fd7bf 24487 case 107:
8edca81e 24488 return aarch64_ext_prfop (self, info, code, inst, errors);
4df068de 24489 case 108:
8edca81e 24490 return aarch64_ext_none (self, info, code, inst, errors);
2442d846 24491 case 109:
8edca81e 24492 return aarch64_ext_hint (self, info, code, inst, errors);
4df068de 24493 case 110:
550fd7bf 24494 case 111:
8edca81e 24495 return aarch64_ext_sve_addr_ri_s4 (self, info, code, inst, errors);
550fd7bf 24496 case 112:
550fd7bf 24497 case 113:
4df068de
RS
24498 case 114:
24499 case 115:
8edca81e 24500 return aarch64_ext_sve_addr_ri_s4xvl (self, info, code, inst, errors);
4df068de 24501 case 116:
8edca81e 24502 return aarch64_ext_sve_addr_ri_s6xvl (self, info, code, inst, errors);
550fd7bf 24503 case 117:
8edca81e 24504 return aarch64_ext_sve_addr_ri_s9xvl (self, info, code, inst, errors);
4df068de 24505 case 118:
4df068de 24506 case 119:
98907a70 24507 case 120:
4df068de 24508 case 121:
8edca81e 24509 return aarch64_ext_sve_addr_ri_u6 (self, info, code, inst, errors);
98907a70 24510 case 122:
98907a70 24511 case 123:
98907a70 24512 case 124:
98907a70 24513 case 125:
e950b345 24514 case 126:
98907a70 24515 case 127:
e950b345 24516 case 128:
c84364ec 24517 case 129:
550fd7bf 24518 case 130:
c2c4ff8d 24519 case 131:
165d4950 24520 case 132:
e950b345 24521 case 133:
582e12bf 24522 case 134:
f42f1a1d 24523 case 135:
c2c4ff8d 24524 case 136:
01a4d082 24525 return aarch64_ext_sve_addr_rr_lsl (self, info, code, inst, errors);
c2c4ff8d 24526 case 137:
550fd7bf 24527 case 138:
c8d59609 24528 case 139:
369c9167 24529 case 140:
2ac435d4 24530 case 141:
550fd7bf 24531 case 142:
550fd7bf 24532 case 143:
550fd7bf 24533 case 144:
01a4d082 24534 return aarch64_ext_sve_addr_rz_xtw (self, info, code, inst, errors);
550fd7bf 24535 case 145:
550fd7bf 24536 case 146:
bd7ceb8d 24537 case 147:
c469c864 24538 case 148:
01a4d082 24539 return aarch64_ext_sve_addr_zi_u5 (self, info, code, inst, errors);
8382113f 24540 case 149:
01a4d082 24541 return aarch64_ext_sve_addr_zz_lsl (self, info, code, inst, errors);
09c1e68a 24542 case 150:
01a4d082 24543 return aarch64_ext_sve_addr_zz_sxtw (self, info, code, inst, errors);
fd195909 24544 case 151:
01a4d082 24545 return aarch64_ext_sve_addr_zz_uxtw (self, info, code, inst, errors);
8edca81e 24546 case 152:
01a4d082
PW
24547 return aarch64_ext_sve_aimm (self, info, code, inst, errors);
24548 case 153:
fb3265b3 24549 return aarch64_ext_sve_asimm (self, info, code, inst, errors);
fd195909 24550 case 155:
01a4d082 24551 return aarch64_ext_sve_float_half_one (self, info, code, inst, errors);
8edca81e 24552 case 156:
01a4d082
PW
24553 return aarch64_ext_sve_float_half_two (self, info, code, inst, errors);
24554 case 157:
561a72d4 24555 return aarch64_ext_sve_float_zero_one (self, info, code, inst, errors);
01a4d082 24556 case 161:
fb3265b3 24557 return aarch64_ext_inv_limm (self, info, code, inst, errors);
01a4d082 24558 case 163:
fb3265b3 24559 return aarch64_ext_sve_limm_mov (self, info, code, inst, errors);
01a4d082 24560 case 165:
561a72d4 24561 return aarch64_ext_sve_scale (self, info, code, inst, errors);
28ed815a 24562 case 177:
8382113f 24563 case 178:
09c1e68a 24564 case 179:
01a4d082 24565 return aarch64_ext_sve_shlimm (self, info, code, inst, errors);
fd195909 24566 case 180:
8edca81e 24567 case 181:
01a4d082 24568 case 182:
561a72d4 24569 return aarch64_ext_sve_shrimm (self, info, code, inst, errors);
8382113f 24570 case 200:
09c1e68a 24571 case 201:
fd195909 24572 case 202:
8edca81e 24573 case 203:
01a4d082 24574 case 204:
fb3265b3 24575 return aarch64_ext_sve_quad_index (self, info, code, inst, errors);
8edca81e 24576 case 206:
01a4d082
PW
24577 return aarch64_ext_sve_index (self, info, code, inst, errors);
24578 case 207:
24579 case 209:
561a72d4 24580 return aarch64_ext_sve_reglist (self, info, code, inst, errors);
7bb5f07c 24581 case 212:
01a4d082
PW
24582 case 213:
24583 case 216:
7bb5f07c 24584 return aarch64_ext_sme_za_hv_tiles (self, info, code, inst, errors);
01a4d082
PW
24585 case 217:
24586 return aarch64_ext_sme_za_array (self, info, code, inst, errors);
24587 case 218:
24588 return aarch64_ext_sme_addr_ri_u4xvl (self, info, code, inst, errors);
a06ea964
NC
24589 default: assert (0); abort ();
24590 }
24591}