]> git.ipfire.org Git - thirdparty/binutils-gdb.git/blame - opcodes/s390-opc.txt
Automatic date update in version.in
[thirdparty/binutils-gdb.git] / opcodes / s390-opc.txt
CommitLineData
a85d7ed0 1# S/390 opcodes list. Use s390-mkopc to convert it into the opcode table.
fd67aa11 2# Copyright (C) 2000-2024 Free Software Foundation, Inc.
a85d7ed0 3# Contributed by Martin Schwidefsky (schwidefsky@de.ibm.com).
af169f23
MS
45a a RX_RRRD "add" g5 esa,zarch
56a ad RX_FRRD "add normalized (long)" g5 esa,zarch
62a adr RR_FF "add normalized (long)" g5 esa,zarch
77a ae RX_FRRD "add normalized (short)" g5 esa,zarch
83a aer RR_FF "add normalized (short)" g5 esa,zarch
94a ah RX_RRRD "add halfword" g5 esa,zarch
105e al RX_RRRD "add logical" g5 esa,zarch
111e alr RR_RR "add logical" g5 esa,zarch
12fa ap SS_LLRDRD "add decimal" g5 esa,zarch
131a ar RR_RR "add" g5 esa,zarch
147e au RX_FRRD "add unnormalized (short)" g5 esa,zarch
153e aur RR_FF "add unnormalized (short)" g5 esa,zarch
166e aw RX_FRRD "add unnormalized (long)" g5 esa,zarch
172e awr RR_FF "add unnormalized (long)" g5 esa,zarch
5e4b319c 1836 axr RR_FEFE "add normalized" g5 esa,zarch
af169f23
MS
19b240 bakr RRE_RR "branch and stack" g5 esa,zarch
2045 bal RX_RRRD "branch and link" g5 esa,zarch
2105 balr RR_RR "branch and link" g5 esa,zarch
224d bas RX_RRRD "branch and save" g5 esa,zarch
230d basr RR_RR "branch and save" g5 esa,zarch
240c bassm RR_RR "branch and save and set mode" g5 esa,zarch
2547 bc RX_URRD "branch on condition" g5 esa,zarch
2607 bcr RR_UR "branch on condition" g5 esa,zarch
2746 bct RX_RRRD "branch on count" g5 esa,zarch
2806 bctr RR_RR "branch on count" g5 esa,zarch
29b258 bsg RRE_RR "branch in subspace group" g5 esa,zarch
300b bsm RR_RR "branch and set mode" g5 esa,zarch
3186 bxh RS_RRRD "branch on index high" g5 esa,zarch
3287 bxle RS_RRRD "branch on index low or equal" g5 esa,zarch
3359 c RX_RRRD "compare" g5 esa,zarch
3469 cd RX_FRRD "compare (long)" g5 esa,zarch
3529 cdr RR_FF "compare (long)" g5 esa,zarch
5e4b319c 36bb cds RS_RERERD "compare double and swap" g5 esa,zarch
af169f23
MS
3779 ce RX_FRRD "compare (short)" g5 esa,zarch
3839 cer RR_FF "compare (short)" g5 esa,zarch
39b21a cfc S_RD "compare and form codeword" g5 esa,zarch
4049 ch RX_RRRD "compare halfword" g5 esa,zarch
4155 cl RX_RRRD "compare logical" g5 esa,zarch
42d5 clc SS_L0RDRD "compare logical" g5 esa,zarch
430f clcl RR_RR "compare logical long" g5 esa,zarch
4495 cli SI_URD "compare logical" g5 esa,zarch
45bd clm RS_RURD "compare logical characters under mask" g5 esa,zarch
4615 clr RR_RR "compare logical" g5 esa,zarch
47b25d clst RRE_RR "compare logical string" g5 esa,zarch
af169f23
MS
48f9 cp SS_LLRDRD "compare decimal" g5 esa,zarch
49b24d cpya RRE_AA "copy access" g5 esa,zarch
5019 cr RR_RR "compare" g5 esa,zarch
51ba cs RS_RRRD "compare and swap" g5 esa,zarch
52b230 csch S_00 "clear subchannel" g5 esa,zarch
5e4b319c 53b257 cuse RRE_RERE "compare until substring equal" g5 esa,zarch
af169f23
MS
54b250 csp RRE_RR "compare and swap and purge" g5 esa,zarch
554f cvb RX_RRRD "convert to binary" g5 esa,zarch
564e cvd RX_RRRD "convert to decimal" g5 esa,zarch
5e4b319c 575d d RX_RERRD "divide" g5 esa,zarch
af169f23
MS
586d dd RX_FRRD "divide (long)" g5 esa,zarch
592d ddr RR_FF "divide (long)" g5 esa,zarch
607d de RX_FRRD "divide (short)" g5 esa,zarch
613d der RR_FF "divide (short)" g5 esa,zarch
6283 diag RS_RRRD "diagnose" g5 esa,zarch
63fd dp SS_LLRDRD "divide decimal" g5 esa,zarch
5e4b319c
AK
641d dr RR_RER "divide" g5 esa,zarch
65b22d dxr RRE_FEFE "divide (ext.)" g5 esa,zarch
af169f23
MS
66b24f ear RRE_RA "extract access" g5 esa,zarch
67de ed SS_L0RDRD "edit" g5 esa,zarch
68df edmk SS_L0RDRD "edit and mark" g5 esa,zarch
69b226 epar RRE_R0 "extract primary ASN" g5 esa,zarch
70b249 ereg RRE_RR "extract stacked registers" g5 esa,zarch
71b227 esar RRE_R0 "extract secondary ASN" g5 esa,zarch
72b24a esta RRE_RR "extract stacked state" g5 esa,zarch
7344 ex RX_RRRD "execute" g5 esa,zarch
7424 hdr RR_FF "halve (long)" g5 esa,zarch
7534 her RR_FF "halve (short)" g5 esa,zarch
76b231 hsch S_00 "halt subchannel" g5 esa,zarch
77b224 iac RRE_R0 "insert address space control" g5 esa,zarch
7843 ic RX_RRRD "insert character" g5 esa,zarch
79bf icm RS_RURD "insert characters under mask" g5 esa,zarch
80b20b ipk S_00 "insert PSW key" g5 esa,zarch
81b222 ipm RRE_R0 "insert program mask" g5 esa,zarch
19fb31c0 82b221 ipte RRF_RURR "invalidate page table entry" g5 esa,zarch optparm2
af169f23
MS
83b229 iske RRE_RR "insert storage key extended" g5 esa,zarch
84b223 ivsk RRE_RR "insert virtual storage key" g5 esa,zarch
8558 l RX_RRRD "load" g5 esa,zarch
8641 la RX_RRRD "load address" g5 esa,zarch
8751 lae RX_RRRD "load address extended" g5 esa,zarch
889a lam RS_AARD "load access multiple" g5 esa,zarch
89e500 lasp SSE_RDRD "load address space parameters" g5 esa,zarch
9023 lcdr RR_FF "load complement (long)" g5 esa,zarch
9133 lcer RR_FF "load complement (short)" g5 esa,zarch
9213 lcr RR_RR "load complement" g5 esa,zarch
93b7 lctl RS_CCRD "load control" g5 esa,zarch
9468 ld RX_FRRD "load (long)" g5 esa,zarch
9528 ldr RR_FF "load (long)" g5 esa,zarch
9678 le RX_FRRD "load (short)" g5 esa,zarch
9738 ler RR_FF "load (short)" g5 esa,zarch
9848 lh RX_RRRD "load halfword" g5 esa,zarch
9998 lm RS_RRRD "load multiple" g5 esa,zarch
10021 lndr RR_FF "load negative (long)" g5 esa,zarch
10131 lner RR_FF "load negative (short)" g5 esa,zarch
10211 lnr RR_RR "load negative" g5 esa,zarch
10320 lpdr RR_FF "load positive (long)" g5 esa,zarch
10430 lper RR_FF "load positive (short)" g5 esa,zarch
10510 lpr RR_RR "load positive" g5 esa,zarch
ee6767da 10682 lpsw SI_RD "load PSW" g5 esa,zarch
af169f23
MS
10718 lr RR_RR "load" g5 esa,zarch
108b1 lra RX_RRRD "load real address" g5 esa,zarch
5e4b319c
AK
10925 ldxr RR_FFE "load rounded (ext. to long)" g5 esa,zarch
11025 lrdr RR_FFE "load rounded (ext. to long)" g5 esa,zarch
bac02689 11135 ledr RR_FF "load rounded (long to short)" g5 esa,zarch
02cbf767 11235 lrer RR_FF "load rounded (long to short)" g5 esa,zarch
af169f23
MS
11322 ltdr RR_FF "load and test (long)" g5 esa,zarch
11432 lter RR_FF "load and test (short)" g5 esa,zarch
11512 ltr RR_RR "load and test" g5 esa,zarch
116b24b lura RRE_RR "load using real address" g5 esa,zarch
5e4b319c 1175c m RX_RERRD "multiply" g5 esa,zarch
af169f23
MS
118af mc SI_URD "monitor call" g5 esa,zarch
1196c md RX_FRRD "multiply (long)" g5 esa,zarch
1202c mdr RR_FF "multiply (long)" g5 esa,zarch
bac02689 1217c mde RX_FRRD "multiply (short to long)" g5 esa,zarch
02cbf767 1227c me RX_FRRD "multiply (short to long)" g5 esa,zarch
bac02689 1233c mder RR_FF "multiply short to long hfp" g5 esa,zarch
02cbf767 1243c mer RR_FF "multiply (short to long)" g5 esa,zarch
af169f23
MS
1254c mh RX_RRRD "multiply halfword" g5 esa,zarch
126fc mp SS_LLRDRD "multiply decimal" g5 esa,zarch
5e4b319c 1271c mr RR_RER "multiply" g5 esa,zarch
af169f23
MS
128b232 msch S_RD "modify subchannel" g5 esa,zarch
129b247 msta RRE_R0 "modify stacked state" g5 esa,zarch
130d2 mvc SS_L0RDRD "move" g5 esa,zarch
131e50f mvcdk SSE_RDRD "move with destination key" g5 esa,zarch
132e8 mvcin SS_L0RDRD "move inverse" g5 esa,zarch
133d9 mvck SS_RRRDRD "move with key" g5 esa,zarch
1340e mvcl RR_RR "move long" g5 esa,zarch
135da mvcp SS_RRRDRD "move to primary" g5 esa,zarch
136db mvcs SS_RRRDRD "move to secondary" g5 esa,zarch
137e50e mvcsk SSE_RDRD "move with source key" g5 esa,zarch
13892 mvi SI_URD "move" g5 esa,zarch
139d1 mvn SS_L0RDRD "move numerics" g5 esa,zarch
140f1 mvo SS_LLRDRD "move with offset" g5 esa,zarch
141b254 mvpg RRE_RR "move page" g5 esa,zarch
142b255 mvst RRE_RR "move string" g5 esa,zarch
143d3 mvz SS_L0RDRD "move zones" g5 esa,zarch
5e4b319c
AK
14467 mxd RX_FERRD "multiply (long to ext.)" g5 esa,zarch
14527 mxdr RR_FEF "multiply (long to ext.)" g5 esa,zarch
14626 mxr RR_FEFE "multiply (ext.)" g5 esa,zarch
8e194ff8
JR
14754 n RX_RRRD "and" g5 esa,zarch
148d4 nc SS_L0RDRD "and" g5 esa,zarch
14994 ni SI_URD "and" g5 esa,zarch
15014 nr RR_RR "and" g5 esa,zarch
15156 o RX_RRRD "or" g5 esa,zarch
152d6 oc SS_L0RDRD "or" g5 esa,zarch
15396 oi SI_URD "or" g5 esa,zarch
15416 or RR_RR "or" g5 esa,zarch
af169f23
MS
155f2 pack SS_LLRDRD "pack" g5 esa,zarch
156b248 palb RRE_00 "purge ALB" g5 esa,zarch
157b218 pc S_RD "program call" g5 esa,zarch
1580101 pr E "program return" g5 esa,zarch
159b228 pt RRE_RR "program transfer" g5 esa,zarch
160b20d ptlb S_00 "purge TLB" g5 esa,zarch
161b23b rchp S_00 "reset channel path" g5 esa,zarch
162b22a rrbe RRE_RR "reset reference bit extended" g5 esa,zarch
163b238 rsch S_00 "resume subchannel" g5 esa,zarch
1645b s RX_RRRD "subtract" g5 esa,zarch
165b219 sac S_RD "set address space control" g5 esa,zarch
166b279 sacf S_RD "set address space control fast" g5 esa,zarch
167b237 sal S_00 "set address limit" g5 esa,zarch
168b24e sar RRE_AR "set access" g5 esa,zarch
169b23c schm S_00 "set channel monitor" g5 esa,zarch
170b204 sck S_RD "set clock" g5 esa,zarch
171b206 sckc S_RD "set clock comparator" g5 esa,zarch
1726b sd RX_FRRD "subtract normalized (long)" g5 esa,zarch
1732b sdr RR_FF "subtract normalized (long)" g5 esa,zarch
1747b se RX_FRRD "subtract normalized (short)" g5 esa,zarch
1753b ser RR_FF "subtract normalized (short)" g5 esa,zarch
1764b sh RX_RRRD "subtract halfword" g5 esa,zarch
177b214 sie S_RD "start interpretive execution" g5 esa,zarch
178ae sigp RS_RRRD "signal processor" g5 esa,zarch
1795f sl RX_RRRD "subtract logical" g5 esa,zarch
1808b sla RS_R0RD "shift left single" g5 esa,zarch
5e4b319c
AK
1818f slda RS_RE0RD "shift left double (long)" g5 esa,zarch
1828d sldl RS_RE0RD "shift left double logical (long)" g5 esa,zarch
af169f23
MS
18389 sll RS_R0RD "shift left single logical" g5 esa,zarch
1841f slr RR_RR "subtract logical" g5 esa,zarch
185fb sp SS_LLRDRD "subtract decimal" g5 esa,zarch
186b20a spka S_RD "set PSW key from address" g5 esa,zarch
18704 spm RR_R0 "set program mask" g5 esa,zarch
188b208 spt S_RD "set CPU timer" g5 esa,zarch
189b210 spx S_RD "set prefix" g5 esa,zarch
98c3d905
AK
190b244 sqdr RRE_FF "square root (long)" g5 esa,zarch
191b245 sqer RRE_FF "square root (short)" g5 esa,zarch
af169f23
MS
1921b sr RR_RR "subtract" g5 esa,zarch
1938a sra RS_R0RD "shift right single" g5 esa,zarch
5e4b319c
AK
1948e srda RS_RE0RD "shift right double (long)" g5 esa,zarch
1958c srdl RS_RE0RD "shift right double logical (long)" g5 esa,zarch
af169f23
MS
19688 srl RS_R0RD "shift right single logical" g5 esa,zarch
197f0 srp SS_LIRDRD "shift and round decimal" g5 esa,zarch
198b25e srst RRE_RR "search string" g5 esa,zarch
199b225 ssar RRE_R0 "set secondary ASN" g5 esa,zarch
200b233 ssch S_RD "start subchannel" g5 esa,zarch
201b22b sske RRE_RR "set storage key extended" g5 esa,zarch
ee6767da 20280 ssm SI_RD "set system mask" g5 esa,zarch
af169f23
MS
20350 st RX_RRRD "store" g5 esa,zarch
2049b stam RS_AARD "store access multiple" g5 esa,zarch
205b212 stap S_RD "store CPU address" g5 esa,zarch
20642 stc RX_RRRD "store character" g5 esa,zarch
207b205 stck S_RD "store clock" g5 esa,zarch
208b207 stckc S_RD "store clock comparator" g5 esa,zarch
209be stcm RS_RURD "store characters under mask" g5 esa,zarch
210b23a stcps S_RD "store channel path status" g5 esa,zarch
211b239 stcrw S_RD "store channel report word" g5 esa,zarch
212b6 stctl RS_CCRD "store control" g5 esa,zarch
21360 std RX_FRRD "store (long)" g5 esa,zarch
21470 ste RX_FRRD "store (short)" g5 esa,zarch
21540 sth RX_RRRD "store halfword" g5 esa,zarch
216b202 stidp S_RD "store CPU id" g5 esa,zarch
21790 stm RS_RRRD "store multiple" g5 esa,zarch
8e194ff8
JR
218ac stnsm SI_URD "store then and system mask" g5 esa,zarch
219ad stosm SI_URD "store then or system mask" g5 esa,zarch
af169f23
MS
220b209 stpt S_RD "store CPU timer" g5 esa,zarch
221b211 stpx S_RD "store prefix" g5 esa,zarch
222b234 stsch S_RD "store subchannel" g5 esa,zarch
223b246 stura RRE_RR "store using real address" g5 esa,zarch
2247f su RX_FRRD "subtract unnormalized (short)" g5 esa,zarch
2253f sur RR_FF "subtract unnormalized (short)" g5 esa,zarch
2260a svc RR_U0 "supervisor call" g5 esa,zarch
2276f sw RX_FRRD "subtract unnormalized (long)" g5 esa,zarch
2282f swr RR_FF "subtract unnormalized (long)" g5 esa,zarch
5e4b319c 22937 sxr RR_FEFE "subtract normalized (ext.)" g5 esa,zarch
af169f23 230b24c tar RRE_AR "test access" g5 esa,zarch
67aa8be4 231b22c tb RRE_RR "test block" g5 esa,zarch
af169f23
MS
23291 tm SI_URD "test under mask" g5 esa,zarch
233b236 tpi S_RD "test pending interruption" g5 esa,zarch
234e501 tprot SSE_RDRD "test protection" g5 esa,zarch
235dc tr SS_L0RDRD "translate" g5 esa,zarch
23699 trace RS_RRRD "trace" g5 esa,zarch
237dd trt SS_L0RDRD "translate and test" g5 esa,zarch
ee6767da 23893 ts SI_RD "test and set" g5 esa,zarch
af169f23
MS
239b235 tsch S_RD "test subchannel" g5 esa,zarch
240f3 unpk SS_LLRDRD "unpack" g5 esa,zarch
2410102 upt E "update tree" g5 esa,zarch
8e194ff8
JR
24257 x RX_RRRD "exclusive or" g5 esa,zarch
243d7 xc SS_L0RDRD "exclusive or" g5 esa,zarch
24497 xi SI_URD "exclusive or" g5 esa,zarch
24517 xr RR_RR "exclusive or" g5 esa,zarch
af169f23
MS
246f8 zap SS_LLRDRD "zero and add" g5 esa,zarch
247a70a ahi RI_RI "add halfword immediate" g5 esa,zarch
c5306fed
JR
24884 brxh RSI_RRP "branch relative on index high" g5 esa,zarch condjump
24984 jxh RSI_RRP "branch relative on index high" g5 esa,zarch condjump
25085 brxle RSI_RRP "branch relative on index low or equal" g5 esa,zarch condjump
25185 jxle RSI_RRP "branch relative on index low or equal" g5 esa,zarch condjump
252a705 bras RI_RP "branch relative and save" g5 esa,zarch jumpsr
253a705 jas RI_RP "branch relative and save" g5 esa,zarch jumpsr
254a704 brc RI_UP "branch relative on condition" g5 esa,zarch condjump
255a706 brct RI_RP "branch relative on count" g5 esa,zarch condjump
256a706 jct RI_RP "branch relative on count" g5 esa,zarch condjump
af169f23
MS
257b241 cksm RRE_RR "checksum" g5 esa,zarch
258a70e chi RI_RI "compare halfword immediate" g5 esa,zarch
259a9 clcle RS_RRRD "compare logical long extended" g5 esa,zarch
260a708 lhi RI_RI "load halfword immediate" g5 esa,zarch
5e4b319c 261a8 mvcle RS_RERERD "move long extended" g5 esa,zarch
af169f23
MS
262a70c mhi RI_RI "multiply halfword immediate" g5 esa,zarch
263b252 msr RRE_RR "multiply single" g5 esa,zarch
26471 ms RX_RRRD "multiply single" g5 esa,zarch
02cbf767 265a700 tmlh RI_RU "test under mask low high" g5 esa,zarch
af169f23 266a700 tmh RI_RU "test under mask high" g5 esa,zarch
02cbf767 267a701 tmll RI_RU "test under mask low low" g5 esa,zarch
af169f23 268a701 tml RI_RU "test under mask low" g5 esa,zarch
1e2e8c52 2690700 nopr RR_0R "no operation" g5 esa,zarch optparm
fcb7aa2f 2700700 b*8r RR_0R "conditional branch" g5 esa,zarch
af169f23 27107f0 br RR_0R "unconditional branch" g5 esa,zarch
1e2e8c52 2724700 nop RX_0RRD "no operation" g5 esa,zarch optparm
fcb7aa2f 2734700 b*8 RX_0RRD "conditional branch" g5 esa,zarch
af169f23 27447f0 b RX_0RRD "unconditional branch" g5 esa,zarch
c5306fed 275a704 jc RI_UP "conditional jump" g5 esa,zarch condjump
b10b530a 276a704 jnop RI_0P "nop jump" g5 esa,zarch
c5306fed
JR
277a704 j*8 RI_0P "conditional jump" g5 esa,zarch condjump
278a704 br*8 RI_0P "conditional jump" g5 esa,zarch condjump
279a7f4 j RI_0P "unconditional jump" g5 esa,zarch jump
280a7f4 bru RI_0P "unconditional jump" g5 esa,zarch jump
5e4b319c 281b34a axbr RRE_FEFE "add extended bfp" g5 esa,zarch
af169f23
MS
282b31a adbr RRE_FF "add long bfp" g5 esa,zarch
283ed000000001a adb RXE_FRRD "add long bfp" g5 esa,zarch
284b30a aebr RRE_FF "add short bfp" g5 esa,zarch
285ed000000000a aeb RXE_FRRD "add short bfp" g5 esa,zarch
5e4b319c 286b349 cxbr RRE_FEFE "compare extended bfp" g5 esa,zarch
af169f23
MS
287b319 cdbr RRE_FF "compare long bfp" g5 esa,zarch
288ed0000000019 cdb RXE_FRRD "compare long bfp" g5 esa,zarch
289b309 cebr RRE_FF "compare short bfp" g5 esa,zarch
290ed0000000009 ceb RXE_FRRD "compare short bfp" g5 esa,zarch
291b348 kxbr RRE_FF "compare and signal extended bfp" g5 esa,zarch
292b318 kdbr RRE_FF "compare and signal long bfp" g5 esa,zarch
293ed0000000018 kdb RXE_FRRD "compare and signal long bfp" g5 esa,zarch
294b308 kebr RRE_FF "compare and signal short bfp" g5 esa,zarch
295ed0000000008 keb RXE_FRRD "compare and signal short bfp" g5 esa,zarch
5e4b319c 296b396 cxfbr RRE_FER "convert from fixed 32 to extended bfp" g5 esa,zarch
98c3d905
AK
297b395 cdfbr RRE_FR "convert from fixed 32 to long bfp" g5 esa,zarch
298b394 cefbr RRE_FR "convert from fixed 32 to short bfp" g5 esa,zarch
5e4b319c 299b39a cfxbr RRF_U0RFE "convert to fixed extended bfp to 32" g5 esa,zarch
929e4d1a
MS
300b399 cfdbr RRF_U0RF "convert to fixed long bfp to 32" g5 esa,zarch
301b398 cfebr RRF_U0RF "convert to fixed short bfp to 32" g5 esa,zarch
5e4b319c 302b34d dxbr RRE_FEFE "divide extended bfp" g5 esa,zarch
af169f23
MS
303b31d ddbr RRE_FF "divide long bfp" g5 esa,zarch
304ed000000001d ddb RXE_FRRD "divide long bfp" g5 esa,zarch
305b30d debr RRE_FF "divide short bfp" g5 esa,zarch
306ed000000000d deb RXE_FRRD "divide short bfp" g5 esa,zarch
307b35b didbr RRF_FUFF "divide to integer long bfp" g5 esa,zarch
308b353 diebr RRF_FUFF "divide to integer short bfp" g5 esa,zarch
fca086d9 309# efpc and sfpc have only one operand; retain RR register format for compatibility
1e2e8c52 310b38c efpc RRE_RR "extract fpc" g5 esa,zarch optparm
5e4b319c 311b342 ltxbr RRE_FEFE "load and test extended bfp" g5 esa,zarch
af169f23
MS
312b312 ltdbr RRE_FF "load and test long bfp" g5 esa,zarch
313b302 ltebr RRE_FF "load and test short bfp" g5 esa,zarch
5e4b319c 314b343 lcxbr RRE_FEFE "load complement extended bfp" g5 esa,zarch
af169f23
MS
315b313 lcdbr RRE_FF "load complement long bfp" g5 esa,zarch
316b303 lcebr RRE_FF "load complement short bfp" g5 esa,zarch
5e4b319c 317b347 fixbr RRF_U0FEFE "load fp integer extended bfp" g5 esa,zarch
af169f23
MS
318b35f fidbr RRF_U0FF "load fp integer long bfp" g5 esa,zarch
319b357 fiebr RRF_U0FF "load fp integer short bfp" g5 esa,zarch
320b29d lfpc S_RD "load fpc" g5 esa,zarch
5e4b319c
AK
321b305 lxdbr RRE_FEF "load lengthened long to extended bfp" g5 esa,zarch
322ed0000000005 lxdb RXE_FERRD "load lengthened long to extended bfp" g5 esa,zarch
323b306 lxebr RRE_FEF "load lengthened short to extended bfp" g5 esa,zarch
324ed0000000006 lxeb RXE_FERRD "load lengthened short to extended bfp" g5 esa,zarch
af169f23
MS
325b304 ldebr RRE_FF "load lengthened short to long bfp" g5 esa,zarch
326ed0000000004 ldeb RXE_FRRD "load lengthened short to long bfp" g5 esa,zarch
5e4b319c 327b341 lnxbr RRE_FEFE "load negative extended bfp" g5 esa,zarch
af169f23
MS
328b311 lndbr RRE_FF "load negative long bfp" g5 esa,zarch
329b301 lnebr RRE_FF "load negative short bfp" g5 esa,zarch
5e4b319c 330b340 lpxbr RRE_FEFE "load positive extended bfp" g5 esa,zarch
af169f23
MS
331b310 lpdbr RRE_FF "load positive long bfp" g5 esa,zarch
332b300 lpebr RRE_FF "load positive short bfp" g5 esa,zarch
5e4b319c
AK
333b345 ldxbr RRE_FEFE "load rounded extended to long bfp" g5 esa,zarch
334b346 lexbr RRE_FEFE "load rounded extended to short bfp" g5 esa,zarch
af169f23 335b344 ledbr RRE_FF "load rounded long to short bfp" g5 esa,zarch
5e4b319c 336b34c mxbr RRE_FEFE "multiply extended bfp" g5 esa,zarch
af169f23
MS
337b31c mdbr RRE_FF "multiply long bfp" g5 esa,zarch
338ed000000001c mdb RXE_FRRD "multiply long bfp" g5 esa,zarch
5e4b319c
AK
339b307 mxdbr RRE_FEF "multiply long to extended bfp" g5 esa,zarch
340ed0000000007 mxdb RXE_FERRD "multiply long to extended bfp" g5 esa,zarch
af169f23
MS
341b317 meebr RRE_FF "multiply short bfp" g5 esa,zarch
342ed0000000017 meeb RXE_FRRD "multiply short bfp" g5 esa,zarch
343b30c mdebr RRE_FF "multiply short to long bfp" g5 esa,zarch
344ed000000000c mdeb RXE_FRRD "multiply short to long bfp" g5 esa,zarch
345b31e madbr RRF_F0FF "multiply and add long bfp" g5 esa,zarch
346ed000000001e madb RXF_FRRDF "multiply and add long bfp" g5 esa,zarch
347b30e maebr RRF_F0FF "multiply and add short bfp" g5 esa,zarch
348ed000000000e maeb RXF_FRRDF "multiply and add short bfp" g5 esa,zarch
349b31f msdbr RRF_F0FF "multiply and subtract long bfp" g5 esa,zarch
350ed000000001f msdb RXF_FRRDF "multiply and subtract long bfp" g5 esa,zarch
351b30f msebr RRF_F0FF "multiply and subtract short bfp" g5 esa,zarch
352ed000000000f mseb RXF_FRRDF "multiply and subtract short bfp" g5 esa,zarch
fca086d9 353# efpc and sfpc have only one operand; retain RR register format for compatibility
1e2e8c52 354b384 sfpc RRE_RR "set fpc" g5 esa,zarch optparm
af169f23 355b299 srnm S_RD "set rounding mode" g5 esa,zarch
5e4b319c 356b316 sqxbr RRE_FEFE "square root extended bfp" g5 esa,zarch
af169f23
MS
357b315 sqdbr RRE_FF "square root long bfp" g5 esa,zarch
358ed0000000015 sqdb RXE_FRRD "square root long bfp" g5 esa,zarch
359b314 sqebr RRE_FF "square root short bfp" g5 esa,zarch
360ed0000000014 sqeb RXE_FRRD "square root short bfp" g5 esa,zarch
361b29c stfpc S_RD "store fpc" g5 esa,zarch
5e4b319c 362b34b sxbr RRE_FEFE "subtract extended bfp" g5 esa,zarch
af169f23
MS
363b31b sdbr RRE_FF "subtract long bfp" g5 esa,zarch
364ed000000001b sdb RXE_FRRD "subtract long bfp" g5 esa,zarch
365b30b sebr RRE_FF "subtract short bfp" g5 esa,zarch
366ed000000000b seb RXE_FRRD "subtract short bfp" g5 esa,zarch
5e4b319c 367ed0000000012 tcxb RXE_FERRD "test data class extended bfp" g5 esa,zarch
af169f23
MS
368ed0000000011 tcdb RXE_FRRD "test data class long bfp" g5 esa,zarch
369ed0000000010 tceb RXE_FRRD "test data class short bfp" g5 esa,zarch
370b274 siga S_RD "signal adapter" g5 esa,zarch
5e4b319c 371b2a6 cuutf RRE_RERE "convert unicode to utf-8" g5 esa,zarch
af169f23
MS
372b2a7 cutfu RRE_RR "convert utf-8 to unicode" g5 esa,zarch
373ee plo SS_RRRDRD2 "perform locked operation" g5 esa,zarch
374b25a bsa RRE_RR "branch and set authority" g5 esa,zarch
375b277 rp S_RD "resume program" g5 esa,zarch
3760107 sckpf E "set clock programmable field" g5 esa,zarch
377b27d stsi S_RD "store system information" g5 esa,zarch
37801ff trap2 E "trap" g5 esa,zarch
379b2ff trap4 S_RD "trap4" g5 esa,zarch
af169f23 380b278 stcke S_RD "store clock extended" g5 esa,zarch
5e4b319c
AK
381b2a5 tre RRE_RER "translate extended" g5 esa,zarch
382eb000000008e mvclu RSE_RERERD "move long unicode" g5 esa,zarch
b2e818b7 383e9 pka SS_L2RDRD "pack ascii" g5 esa,zarch
5333187a 384e1 pku SS_L2RDRD "pack unicode" g5 esa,zarch
5e4b319c
AK
385b993 troo RRE_RER "translate one to one" g5 esa,zarch
386b992 trot RRE_RER "translate one to two" g5 esa,zarch
387b991 trto RRE_RER "translate two to one" g5 esa,zarch
388b990 trtt RRE_RER "translate two to two" g5 esa,zarch
af169f23
MS
389ea unpka SS_L0RDRD "unpack ascii" g5 esa,zarch
390e2 unpku SS_L0RDRD "unpack unicode" g5 esa,zarch
b40d5eb9
AK
391b358 thder RRE_FF "convert short bfp to long hfp" g5 esa,zarch
392b359 thdr RRE_FF "convert long bfp to long hfp" g5 esa,zarch
af169f23
MS
393b350 tbedr RRF_U0FF "convert long hfp to short bfp" g5 esa,zarch
394b351 tbdr RRF_U0FF "convert long hfp to long bfp" g5 esa,zarch
98c3d905
AK
395b374 lzer RRE_F0 "load short zero" g5 esa,zarch
396b375 lzdr RRE_F0 "load long zero" g5 esa,zarch
5e4b319c 397b376 lzxr RRE_FE0 "load extended zero" g5 esa,zarch
a85d7ed0 398# Here are the new esame instructions:
af169f23
MS
399b946 bctgr RRE_RR "branch on count 64" z900 zarch
400b900 lpgr RRE_RR "load positive 64" z900 zarch
401b910 lpgfr RRE_RR "load positive 64<32" z900 zarch
402b901 lngr RRE_RR "load negative 64" z900 zarch
403b911 lngfr RRE_RR "load negative 64<32" z900 zarch
404b902 ltgr RRE_RR "load and test 64" z900 zarch
405b912 ltgfr RRE_RR "load and test 64<32" z900 zarch
406b903 lcgr RRE_RR "load complement 64" z900 zarch
407b913 lcgfr RRE_RR "load complement 64<32" z900 zarch
408b980 ngr RRE_RR "and 64" z900 zarch
409b921 clgr RRE_RR "compare logical 64" z900 zarch
410b931 clgfr RRE_RR "compare logical 64<32" z900 zarch
411b981 ogr RRE_RR "or 64" z900 zarch
412b982 xgr RRE_RR "exclusive or 64" z900 zarch
413b904 lgr RRE_RR "load 64" z900 zarch
414b914 lgfr RRE_RR "load 64<32" z900 zarch
415b920 cgr RRE_RR "compare 64" z900 zarch
416b930 cgfr RRE_RR "compare 64<32" z900 zarch
417b908 agr RRE_RR "add 64" z900 zarch
418b918 agfr RRE_RR "add 64<32" z900 zarch
ad101263 419b909 sgr RRE_RR "subtract 64" z900 zarch
af169f23
MS
420b919 sgfr RRE_RR "subtract 64<32" z900 zarch
421b90a algr RRE_RR "add logical 64" z900 zarch
422b91a algfr RRE_RR "add logical 64<32" z900 zarch
423b90b slgr RRE_RR "subtract logical 64" z900 zarch
424b91b slgfr RRE_RR "subtract logical 64<32" z900 zarch
425e30000000046 bctg RXE_RRRD "branch on count 64" z900 zarch
426e3000000002e cvdg RXE_RRRD "convert to decimal 64" z900 zarch
427e3000000000e cvbg RXE_RRRD "convert to binary 64" z900 zarch
428e30000000024 stg RXE_RRRD "store 64" z900 zarch
429e30000000080 ng RXE_RRRD "and 64" z900 zarch
430e30000000021 clg RXE_RRRD "compare logical 64" z900 zarch
d9aee5d7 431e30000000031 clgf RXE_RRRD "compare logical 64<32" z900 zarch
af169f23
MS
432e30000000081 og RXE_RRRD "or 64" z900 zarch
433e30000000082 xg RXE_RRRD "exclusive or 64" z900 zarch
434e30000000004 lg RXE_RRRD "load 64" z900 zarch
435e30000000014 lgf RXE_RRRD "load 64<32" z900 zarch
436e30000000015 lgh RXE_RRRD "load halfword 64" z900 zarch
437e30000000020 cg RXE_RRRD "compare 64" z900 zarch
438e30000000030 cgf RXE_RRRD "compare 64<32" z900 zarch
439e30000000008 ag RXE_RRRD "add 64" z900 zarch
440e30000000018 agf RXE_RRRD "add 64<32" z900 zarch
441e30000000009 sg RXE_RRRD "subtract 64" z900 zarch
442e30000000019 sgf RXE_RRRD "subtract 64<32" z900 zarch
443e3000000000a alg RXE_RRRD "add logical 64" z900 zarch
444e3000000001a algf RXE_RRRD "add logical 64<32" z900 zarch
445e3000000000b slg RXE_RRRD "subtract logical 64" z900 zarch
446e3000000001b slgf RXE_RRRD "subtract logical 64<32" z900 zarch
447e3000000000c msg RXE_RRRD "multiply single 64" z900 zarch
448e3000000001c msgf RXE_RRRD "multiply single 64<32" z900 zarch
c5306fed
JR
449ec0000000044 brxhg RIE_RRP "branch relative on index high 64" z900 zarch condjump
450ec0000000044 jxhg RIE_RRP "branch relative on index high 64" z900 zarch condjump
451ec0000000045 brxlg RIE_RRP "branch relative on index low or equal 64" z900 zarch condjump
452ec0000000045 jxleg RIE_RRP "branch relative on index low or equal 64" z900 zarch condjump
af169f23
MS
453eb0000000044 bxhg RSE_RRRD "branch on index high 64" z900 zarch
454eb0000000045 bxleg RSE_RRRD "branch on index low or equal 64" z900 zarch
455eb000000000c srlg RSE_RRRD "shift right single logical 64" z900 zarch
456eb000000000d sllg RSE_RRRD "shift left single logical 64" z900 zarch
457eb000000000a srag RSE_RRRD "shift right single 64" z900 zarch
458eb000000000b slag RSE_RRRD "shift left single 64" z900 zarch
459eb0000000024 stmg RSE_RRRD "store multiple 64" z900 zarch
460eb0000000026 stmh RSE_RRRD "store multiple high" z900 zarch
461eb0000000004 lmg RSE_RRRD "load multiple 64" z900 zarch
462eb0000000096 lmh RSE_RRRD "load multiple high" z900 zarch
463ef lmd SS_RRRDRD3 "load multiple disjoint" z900 zarch
464eb000000000f tracg RSE_RRRD "trace 64" z900 zarch
465e30000000003 lrag RXE_RRRD "load real address 64" z900 zarch
0b7fe784 466e502 strag SSE_RDRD "store read address" z900 zarch
ad101263
MS
467eb0000000025 stctg RSE_CCRD "store control 64" z900 zarch
468eb000000002f lctlg RSE_CCRD "load control 64" z900 zarch
af169f23 469eb0000000030 csg RSE_RRRD "compare and swap 64" z900 zarch
5e4b319c 470eb000000003e cdsg RSE_RERERD "compare double and swap 64" z900 zarch
af169f23
MS
471eb0000000020 clmh RSE_RURD "compare logical characters under mask high" z900 zarch
472eb000000002c stcmh RSE_RURD "store characters under mask high" z900 zarch
473eb0000000080 icmh RSE_RURD "insert characters under mask high" z900 zarch
474a702 tmhh RI_RU "test under mask high high" z900 zarch
475a703 tmhl RI_RU "test under mask high low" z900 zarch
c5306fed 476c004 brcl RIL_UP "branch relative on condition long" z900 esa,zarch condjump
2bf1f788 477# jlc omitted due to missing jl* (see jl*8) and not added as non-standard jgc
b10b530a 478c004 jgnop RIL_0P "nop jump long" z900 esa,zarch
c5306fed 479c004 jg*8 RIL_0P "conditional jump long" z900 esa,zarch condjump
2bf1f788 480# jl*8 omitted due to clash with non-standard j*8 flavors jle and jlh; exists as non-standard jg*8 instead
c5306fed
JR
481c004 br*8l RIL_0P "conditional jump long" z900 esa,zarch condjump
482c0f4 jg RIL_0P "unconditional jump long" z900 esa,zarch jump
483c0f4 brul RIL_0P "unconditional jump long" z900 esa,zarch jump
484c005 brasl RIL_RP "branch relative and save long" z900 esa,zarch jumpsr
485c005 jasl RIL_RP "branch relative and save long" z900 esa,zarch jumpsr
486a707 brctg RI_RP "branch relative on count 64" z900 zarch condjump
487a707 jctg RI_RP "branch relative on count 64" z900 zarch condjump
af169f23
MS
488a709 lghi RI_RI "load halfword immediate 64" z900 zarch
489a70b aghi RI_RI "add halfword immediate 64" z900 zarch
490a70d mghi RI_RI "multiply halfword immediate 64" z900 zarch
491a70f cghi RI_RI "compare halfword immediate 64" z900 zarch
492b925 sturg RRE_RR "store using real address 64" z900 zarch
493b90e eregg RRE_RR "extract stacked registers 64" z900 zarch
494b905 lurag RRE_RR "load using real address 64" z900 zarch
495b90c msgr RRE_RR "multiply single 64" z900 zarch
496b91c msgfr RRE_RR "multiply single 64<32" z900 zarch
98c3d905
AK
497b3a4 cegbr RRE_FR "convert from fixed 64 to short bfp" z900 zarch
498b3a5 cdgbr RRE_FR "convert from fixed 64 to long bfp" z900 zarch
5e4b319c 499b3a6 cxgbr RRE_FER "convert from fixed 64 to extended bfp" z900 zarch
929e4d1a
MS
500b3a8 cgebr RRF_U0RF "convert to fixed short bfd to 64" z900 zarch
501b3a9 cgdbr RRF_U0RF "convert to fixed long bfp to 64" z900 zarch
5e4b319c 502b3aa cgxbr RRF_U0RFE "convert to fixed extended bfp to 64" z900 zarch
98c3d905
AK
503b3c4 cegr RRE_FR "convert from fixed 64 to short hfp" z900 zarch
504b3c5 cdgr RRE_FR "convert from fixed 64 to long hfp" z900 zarch
5e4b319c 505b3c6 cxgr RRE_FER "convert from fixed 64 to extended hfp" z900 zarch
929e4d1a
MS
506b3c8 cger RRF_U0RF "convert to fixed short hfp to 64" z900 zarch
507b3c9 cgdr RRF_U0RF "convert to fixed long hfp to 64" z900 zarch
5e4b319c 508b3ca cgxr RRF_U0RFE "convert to fixed extended hfp to 64" z900 zarch
af169f23
MS
509010b tam E "test addressing mode" z900 esa,zarch
510010c sam24 E "set addressing mode 24" z900 esa,zarch
511010d sam31 E "set addressing mode 31" z900 esa,zarch
512010e sam64 E "set addressing mode 64" z900 zarch
513a500 iihh RI_RU "insert immediate high high" z900 zarch
514a501 iihl RI_RU "insert immediate high low" z900 zarch
515a502 iilh RI_RU "insert immediate low high" z900 zarch
516a503 iill RI_RU "insert immediate low low" z900 zarch
517a504 nihh RI_RU "and immediate high high" z900 zarch
518a505 nihl RI_RU "and immediate high low" z900 zarch
519a506 nilh RI_RU "and immediate low high" z900 zarch
520a507 nill RI_RU "and immediate low low" z900 zarch
521a508 oihh RI_RU "or immediate high high" z900 zarch
522a509 oihl RI_RU "or immediate high low" z900 zarch
523a50a oilh RI_RU "or immediate low high" z900 zarch
524a50b oill RI_RU "or immediate low low" z900 zarch
525a50c llihh RI_RU "load logical immediate high high" z900 zarch
526a50d llihl RI_RU "load logical immediate high low" z900 zarch
527a50e llilh RI_RU "load logical immediate low high" z900 zarch
528a50f llill RI_RU "load logical immediate low low" z900 zarch
2bf1f788 529a50f llghi RI_RU "load logical immediate" z900 zarch
af169f23
MS
530b2b1 stfl S_RD "store facility list" z900 esa,zarch
531b2b2 lpswe S_RD "load psw extended" z900 zarch
5e4b319c 532b90d dsgr RRE_RER "divide single 64" z900 zarch
af169f23
MS
533b90f lrvgr RRE_RR "load reversed 64" z900 zarch
534b916 llgfr RRE_RR "load logical 64<32" z900 zarch
535b917 llgtr RRE_RR "load logical thirty one bits" z900 zarch
5e4b319c 536b91d dsgfr RRE_RER "divide single 64<32" z900 zarch
af169f23 537b91f lrvr RRE_RR "load reversed 32" z900 esa,zarch
5e4b319c
AK
538b986 mlgr RRE_RER "multiply logical 64" z900 zarch
539b987 dlgr RRE_RER "divide logical 64" z900 zarch
af169f23
MS
540b988 alcgr RRE_RR "add logical with carry 64" z900 zarch
541b989 slbgr RRE_RR "subtract logical with borrow 64" z900 zarch
542b98d epsw RRE_RR "extract psw" z900 esa,zarch
5e4b319c
AK
543b996 mlr RRE_RER "multiply logical 32" z900 esa,zarch
544b997 dlr RRE_RER "divide logical 32" z900 esa,zarch
af169f23
MS
545b998 alcr RRE_RR "add logical with carry 32" z900 esa,zarch
546b999 slbr RRE_RR "subtract logical with borrow 32" z900 esa,zarch
547b99d esea RRE_R0 "extract and set extended authority" z900 zarch
548c000 larl RIL_RP "load address relative long" z900 esa,zarch
5e4b319c 549e3000000000d dsg RXE_RERRD "divide single 64" z900 zarch
af169f23
MS
550e3000000000f lrvg RXE_RRRD "load reversed 64" z900 zarch
551e30000000016 llgf RXE_RRRD "load logical 64<32" z900 zarch
552e30000000017 llgt RXE_RRRD "load logical thirty one bits" z900 zarch
5e4b319c 553e3000000001d dsgf RXE_RERRD "divide single 64<32" z900 zarch
af169f23
MS
554e3000000001e lrv RXE_RRRD "load reversed 32" z900 esa,zarch
555e3000000001f lrvh RXE_RRRD "load reversed 16" z900 esa,zarch
556e3000000002f strvg RXE_RRRD "store reversed 64" z900 zarch
557e3000000003e strv RXE_RRRD "store reversed 32" z900 esa,zarch
558e3000000003f strvh RXE_RRRD "store reversed 64" z900 esa,zarch
5e4b319c
AK
559e30000000086 mlg RXE_RERRD "multiply logical 64" z900 zarch
560e30000000087 dlg RXE_RERRD "divide logical 64" z900 zarch
af169f23
MS
561e30000000088 alcg RXE_RRRD "add logical with carry 64" z900 zarch
562e30000000089 slbg RXE_RRRD "subtract logical with borrow 64" z900 zarch
563e3000000008e stpq RXE_RRRD "store pair to quadword" z900 zarch
5e4b319c
AK
564e3000000008f lpq RXE_RERRD "load pair from quadword" z900 zarch
565e30000000096 ml RXE_RERRD "multiply logical 32" z900 esa,zarch
566e30000000097 dl RXE_RERRD "divide logical 32" z900 esa,zarch
af169f23
MS
567e30000000098 alc RXE_RRRD "add logical with carry 32" z900 esa,zarch
568e30000000099 slb RXE_RRRD "subtract logical with borrow 32" z900 esa,zarch
569e30000000090 llgc RXE_RRRD "load logical character" z900 zarch
570e30000000091 llgh RXE_RRRD "load logical halfword" z900 zarch
571eb000000001c rllg RSE_RRRD "rotate left single logical 64" z900 zarch
572eb000000001d rll RSE_RRRD "rotate left single logical 32" z900 esa,zarch
c8fa16ed 573b369 cxr RRE_FEFE "compare extended hfp" g5 esa,zarch
5e4b319c 574b3b6 cxfr RRE_FER "convert from fixed 32 to extended hfp" g5 esa,zarch
98c3d905
AK
575b3b5 cdfr RRE_FR "convert from fixed 32 to long hfp" g5 esa,zarch
576b3b4 cefr RRE_FR "convert from fixed 32 to short hfp" g5 esa,zarch
5e4b319c 577b3ba cfxr RRF_U0RFE "convert to fixed extended hfp to 32" g5 esa,zarch
be7a250d
AK
578b3b9 cfdr RRF_U0RF "convert to fixed long hfp to 32" g5 esa,zarch
579b3b8 cfer RRF_U0RF "convert to fixed short hfp to 32" g5 esa,zarch
5e4b319c
AK
580b362 ltxr RRE_FEFE "load and test extended hfp" g5 esa,zarch
581b363 lcxr RRE_FEFE "load complement extended hfp" g5 esa,zarch
582b367 fixr RRE_FEFE "load fp integer extended hfp" g5 esa,zarch
98c3d905
AK
583b37f fidr RRE_FF "load fp integer long hfp" g5 esa,zarch
584b377 fier RRE_FF "load fp integer short hfp" g5 esa,zarch
5e4b319c
AK
585b325 lxdr RRE_FEF "load lengthened long to extended hfp" g5 esa,zarch
586ed0000000025 lxd RXE_FERRD "load lengthened long to extended hfp" g5 esa,zarch
587b326 lxer RRE_FEF "load lengthened short to extended hfp" g5 esa,zarch
588ed0000000026 lxe RXE_FERRD "load lengthened short to extended hfp" g5 esa,zarch
bac02689
MS
589b324 lder RRE_FF "load lengthened short to long hfp" g5 esa,zarch
590ed0000000024 lde RXE_FRRD "load lengthened short to long hfp" g5 esa,zarch
5e4b319c
AK
591b361 lnxr RRE_FEFE "load negative extended hfp" g5 esa,zarch
592b360 lpxr RRE_FEFE "load positive extended hfp" g5 esa,zarch
593b366 lexr RRE_FFE "load rounded extended to short hfp" g5 esa,zarch
bac02689
MS
594b337 meer RRE_FF "multiply short hfp" g5 esa,zarch
595ed0000000037 mee RXE_FRRD "multiply short hfp" g5 esa,zarch
5e4b319c 596b336 sqxr RRE_FEFE "square root extended hfp" g5 esa,zarch
bac02689 597ed0000000034 sqe RXE_FRRD "square root short hfp" g5 esa,zarch
b40d5eb9 598ed0000000035 sqd RXE_FRRD "square root long hfp" g5 esa,zarch
bac02689
MS
599b263 cmpsc RRE_RR "compression call" g5 esa,zarch
600eb00000000c0 tp RSL_R0RD "test decimal" g5 esa,zarch
5e4b319c 601b365 lxr RRE_FEFE "load extended fp" g5 esa,zarch
bac02689
MS
602b22e pgin RRE_RR "page in" g5 esa,zarch
603b22f pgout RRE_RR "page out" g5 esa,zarch
604b276 xsch S_00 "cancel subchannel" g5 esa,zarch
605# New long displacement instructions on z990
606e3000000005a ay RXY_RRRD "add with long offset" z990 zarch
607e3000000007a ahy RXY_RRRD "add halfword with long offset" z990 zarch
608e3000000005e aly RXY_RRRD "add logical with long offset" z990 zarch
609eb0000000054 niy SIY_URD "and immediate with long offset" z990 zarch
610e30000000054 ny RXY_RRRD "and with long offset" z990 zarch
611e30000000059 cy RXY_RRRD "compare with long offset" z990 zarch
612eb0000000014 csy RSY_RRRD "compare and swap with long offset" z990 zarch
5e4b319c 613eb0000000031 cdsy RSY_RERERD "compare double and swap with long offset" z990 zarch
bac02689
MS
614e30000000079 chy RXY_RRRD "compare halfword with long offset" z990 zarch
615e30000000055 cly RXY_RRRD "compare logical with long offset" z990 zarch
616eb0000000055 cliy SIY_URD "compare logical immediate with long offset" z990 zarch
617eb0000000021 clmy RSY_RURD "compare logical characters under mask with long offset" z990 zarch
618e30000000006 cvby RXY_RRRD "convert to binary with long offset" z990 zarch
619e30000000026 cvdy RXY_RRRD "convert to decimal with long offset" z990 zarch
620eb0000000057 xiy SIY_URD "exclusive or immediate with long offset" z990 zarch
621e30000000057 xy RXY_RRRD "exclusive or with long offset" z990 zarch
622e30000000073 icy RXY_RRRD "insert character with long offset" z990 zarch
623eb0000000081 icmy RSY_RURD "insert characters with long offset" z990 zarch
624ed0000000065 ldy RXY_FRRD "load (long) with long offset" z990 zarch
625ed0000000064 ley RXY_FRRD "load (short) with long offset" z990 zarch
626e30000000058 ly RXY_RRRD "load with long offset" z990 zarch
627eb000000009a lamy RSY_AARD "load access multiple" z990 zarch
628e30000000071 lay RXY_RRRD "load address with long offset" z990 zarch
629e30000000076 lb RXY_RRRD "load byte with long offset" z990 zarch
630e30000000077 lgb RXY_RRRD "load byte with long offset 64" z990 zarch
631e30000000078 lhy RXY_RRRD "load halfword with long offset" z990 zarch
632eb0000000098 lmy RSY_RRRD "load multiple with long offset" z990 zarch
633e30000000013 lray RXY_RRRD "load real address with long offset" z990 zarch
634eb0000000052 mviy SIY_URD "move immediate with long offset" z990 zarch
635e30000000051 msy RXY_RRRD "multiply single with long offset" z990 zarch
636eb0000000056 oiy SIY_URD "or immediate with long offset" z990 zarch
637e30000000056 oy RXY_RRRD "or with long offset" z990 zarch
34b23dab
AK
638ed0000000067 stdy RXY_FRRD "store (long) with long offset" z990 zarch
639ed0000000066 stey RXY_FRRD "store (short) with long offset" z990 zarch
bac02689
MS
640e30000000050 sty RXY_RRRD "store with long offset" z990 zarch
641eb000000009b stamy RSY_AARD "store access multiple with long offset" z990 zarch
642e30000000072 stcy RXY_RRRD "store character with long offset" z990 zarch
643eb000000002d stcmy RSY_RURD "store characters under mask with long offset" z990 zarch
644e30000000070 sthy RXY_RRRD "store halfword with long offset" z990 zarch
645eb0000000090 stmy RSY_RRRD "store multiple with long offset" z990 zarch
646e3000000005b sy RXY_RRRD "subtract with long offset" z990 zarch
647e3000000007b shy RXY_RRRD "subtract halfword with long offset" z990 zarch
648e3000000005f sly RXY_RRRD "subtract logical with long offset" z990 zarch
649eb0000000051 tmy SIY_URD "test under mask with long offset" z990 zarch
650# 'old' instructions extended to long displacement
651# these instructions are entered into the opcode table twice.
652e30000000003 lrag RXY_RRRD "load real address with long offset 64" z990 zarch
64025b4e 653e30000000004 lg RXY_RRRD "load 64" z990 zarch
bac02689
MS
654e30000000008 ag RXY_RRRD "add with long offset 64" z990 zarch
655e30000000009 sg RXY_RRRD "subtract with long offset 64" z990 zarch
656e3000000000a alg RXY_RRRD "add logical with long offset 64" z990 zarch
657e3000000000b slg RXY_RRRD "subtract logical with long offset 64" z990 zarch
658e3000000000c msg RXY_RRRD "multiply single with long offset 64" z990 zarch
5e4b319c 659e3000000000d dsg RXY_RERRD "divide single 64" z990 zarch
bac02689
MS
660e3000000000e cvbg RXY_RRRD "convert to binary with long offset 64" z990 zarch
661e3000000000f lrvg RXY_RRRD "load reversed 64" z990 zarch
662e30000000014 lgf RXY_RRRD "load 64<32" z990 zarch
663e30000000015 lgh RXY_RRRD "load halfword 64" z990 zarch
664e30000000016 llgf RXY_RRRD "load logical 64<32" z990 zarch
665e30000000017 llgt RXY_RRRD "load logical thirty one bits" z990 zarch
666e30000000018 agf RXY_RRRD "add with long offset 64<32" z990 zarch
667e30000000019 sgf RXY_RRRD "subtract with long offset 64<32" z990 zarch
668e3000000001a algf RXY_RRRD "add logical with long offset 64<32" z990 zarch
669e3000000001b slgf RXY_RRRD "subtract logical with long offset 64<32" z990 zarch
670e3000000001c msgf RXY_RRRD "multiply single with long offset 64<32" z990 zarch
5e4b319c 671e3000000001d dsgf RXY_RERRD "divide single 64<32" z990 zarch
0451f5df
MS
672e3000000001e lrv RXY_RRRD "load reversed 32" z990 esa,zarch
673e3000000001f lrvh RXY_RRRD "load reversed 16" z990 esa,zarch
bac02689
MS
674e30000000020 cg RXY_RRRD "compare with long offset 64" z990 zarch
675e30000000021 clg RXY_RRRD "compare logical with long offset 64" z990 zarch
676e30000000024 stg RXY_RRRD "store with long offset 64" z990 zarch
677e3000000002e cvdg RXY_RRRD "convert to decimal with long offset 64" z990 zarch
678e3000000002f strvg RXY_RRRD "store reversed 64" z990 zarch
679e30000000030 cgf RXY_RRRD "compare with long offset 64<32" z990 zarch
680e30000000031 clgf RXY_RRRD "compare logical with long offset 64<32" z990 zarch
0451f5df 681e3000000003e strv RXY_RRRD "store reversed 32" z990 esa,zarch
bac02689
MS
682e3000000003f strvh RXY_RRRD "store reversed 64" z990 zarch
683e30000000046 bctg RXY_RRRD "branch on count 64" z990 zarch
684e30000000080 ng RXY_RRRD "and with long offset 64" z990 zarch
685e30000000081 og RXY_RRRD "or with long offset 64" z990 zarch
686e30000000082 xg RXY_RRRD "exclusive or with long offset 64" z990 zarch
5e4b319c
AK
687e30000000086 mlg RXY_RERRD "multiply logical 64" z990 zarch
688e30000000087 dlg RXY_RERRD "divide logical 64" z990 zarch
bac02689
MS
689e30000000088 alcg RXY_RRRD "add logical with carry 64" z990 zarch
690e30000000089 slbg RXY_RRRD "subtract logical with borrow 64" z990 zarch
691e3000000008e stpq RXY_RRRD "store pair to quadword" z990 zarch
5e4b319c 692e3000000008f lpq RXY_RERRD "load pair from quadword" z990 zarch
bac02689
MS
693e30000000090 llgc RXY_RRRD "load logical character" z990 zarch
694e30000000091 llgh RXY_RRRD "load logical halfword" z990 zarch
5e4b319c
AK
695e30000000096 ml RXY_RERRD "multiply logical 32" z990 esa,zarch
696e30000000097 dl RXY_RERRD "divide logical 32" z990 esa,zarch
0451f5df
MS
697e30000000098 alc RXY_RRRD "add logical with carry 32" z990 esa,zarch
698e30000000099 slb RXY_RRRD "subtract logical with borrow 32" z990 esa,zarch
bac02689
MS
699eb0000000004 lmg RSY_RRRD "load multiple with long offset 64" z990 zarch
700eb000000000a srag RSY_RRRD "shift right single 64" z990 zarch
701eb000000000b slag RSY_RRRD "shift left single 64" z990 zarch
702eb000000000c srlg RSY_RRRD "shift right single logical 64" z990 zarch
703eb000000000d sllg RSY_RRRD "shift left single logical 64" z990 zarch
704eb000000000f tracg RSY_RRRD "trace 64" z990 zarch
705eb000000001c rllg RSY_RRRD "rotate left single logical 64" z990 zarch
0451f5df 706eb000000001d rll RSY_RRRD "rotate left single logical 32" z990 esa,zarch
bac02689
MS
707eb0000000020 clmh RSY_RURD "compare logical characters under mask high with long offset" z990 zarch
708eb0000000024 stmg RSY_RRRD "store multiple with long offset 64" z990 zarch
ad101263 709eb0000000025 stctg RSY_CCRD "store control 64" z990 zarch
bac02689
MS
710eb0000000026 stmh RSY_RRRD "store multiple high" z990 zarch
711eb000000002c stcmh RSY_RURD "store characters under mask high with long offset" z990 zarch
ad101263 712eb000000002f lctlg RSY_CCRD "load control 64" z990 zarch
bac02689 713eb0000000030 csg RSY_RRRD "compare and swap with long offset 64" z990 zarch
5e4b319c 714eb000000003e cdsg RSY_RERERD "compare double and swap with long offset 64" z990 zarch
bac02689
MS
715eb0000000044 bxhg RSY_RRRD "branch on index high 64" z990 zarch
716eb0000000045 bxleg RSY_RRRD "branch on index low or equal 64" z990 zarch
717eb0000000080 icmh RSY_RURD "insert characters under mask high with long offset" z990 zarch
5e4b319c 718eb000000008e mvclu RSY_RERERD "move long unicode" z990 esa,zarch
b40d5eb9 719eb000000008f clclu RSY_RRRD "compare logical long unicode with long offset" z990 esa,zarch
bac02689
MS
720eb0000000096 lmh RSY_RRRD "load multiple high" z990 zarch
721# new z990 instructions
722b98a cspg RRE_RR "compare and swap and purge" z990 zarch
19fb31c0 723b98e idte RRF_RURR2 "invalidate dat table entry" z990 zarch optparm
bac02689
MS
724b33e madr RRF_F0FF "multiply and add long hfp" z990 esa,zarch
725ed000000003e mad RXF_FRRDF "multiply and add long hfp" z990 esa,zarch
726b32e maer RRF_F0FF "multiply and add short hfp" z990 esa,zarch
ad101263 727ed000000002e mae RXF_FRRDF "multiply and add short hfp" z990 esa,zarch
bac02689
MS
728b33f msdr RRF_F0FF "multiply and subtract long hfp" z990 esa,zarch
729ed000000003f msd RXF_FRRDF "multiply and subtract long hfp" z990 esa,zarch
730b32f mser RRF_F0FF "mutliply and subtract short hfp" z990 esa,zarch
731ed000000002f mse RXF_FRRDF "multiply and subttract short hfp" z990 esa,zarch
732b92e km RRE_RR "cipher message" z990 esa,zarch
733b92f kmc RRE_RR "cipher message with chaining" z990 esa,zarch
734b93e kimd RRE_RR "compute intermediate message digest" z990 esa,zarch
735b93f klmd RRE_RR "compute last message digest" z990 esa,zarch
736b91e kmac RRE_RR "compute message authentication code" z990 esa,zarch
aac129d7
AK
737b99a epair RRE_R0 "extract primary ASN and instance" z990 esa,zarch
738b99b esair RRE_R0 "extract secondary ASN and instance" z990 esa,zarch
739b99e pti RRE_RR "program transfer with instance" z990 esa,zarch
740b99f ssair RRE_R0 "set secondary ASN with instance" z990 esa,zarch
741
ad101263
MS
742# z9-109 extended immediate instructions
743c209 afi RIL_RI "add immediate 32" z9-109 zarch
744c208 agfi RIL_RI "add immediate 64<32" z9-109 zarch
745c20b alfi RIL_RU "add logical immediate 32" z9-109 zarch
746c20a algfi RIL_RU "add logical immediate 64<32" z9-109 zarch
747c00a nihf RIL_RU "and immediate high" z9-109 zarch
748c00b nilf RIL_RU "and immediate low" z9-109 zarch
749c20d cfi RIL_RI "compare immediate 32" z9-109 zarch
750c20c cgfi RIL_RI "compare immediate 64<32" z9-109 zarch
751c20f clfi RIL_RU "compare logical immediate 32" z9-109 zarch
752c20e clgfi RIL_RU "compare logical immediate 64<32" z9-109 zarch
753c006 xihf RIL_RU "exclusive or immediate high" z9-109 zarch
754c007 xilf RIL_RU "exclusive or immediate low" z9-109 zarch
755c008 iihf RIL_RU "insert immediate high" z9-109 zarch
756c009 iilf RIL_RU "insert immediate low" z9-109 zarch
2bf1f788 757c009 lfi RIL_RU "insert immediate 32" z9-109 zarch
ad101263 758# z9-109 misc instruction
a3dcb6c5 759b983 flogr RRE_RER "find leftmost one" z9-109 zarch
ad101263
MS
760e30000000012 lt RXY_RRRD "load and test 32" z9-109 zarch
761e30000000002 ltg RXY_RRRD "load and test 64" z9-109 zarch
762b926 lbr RRE_RR "load byte 32" z9-109 zarch
763b906 lgbr RRE_RR "load byte 64" z9-109 zarch
764b927 lhr RRE_RR "load halfword 32" z9-109 zarch
765b907 lghr RRE_RR "load halfword 64" z9-109 zarch
766c001 lgfi RIL_RI "load immediate 64<32" z9-109 zarch
767e30000000094 llc RXY_RRRD "load logical character 32" z9-109 zarch
768b994 llcr RRE_RR "load logical character 32" z9-109 zarch
769b984 llgcr RRE_RR "load logical character 64" z9-109 zarch
770e30000000095 llh RXY_RRRD "load logical halfword 32" z9-109 zarch
771b995 llhr RRE_RR "load logical halfword 32" z9-109 zarch
772b985 llghr RRE_RR "load logical halfword 64" z9-109 zarch
773c00e llihf RIL_RU "load logical immediate high" z9-109 zarch
774c00f llilf RIL_RU "load logical immediate low" z9-109 zarch
2bf1f788 775c00f llgfi RIL_RU "load logical immediate" z9-109 zarch
ad101263
MS
776c00c oihf RIL_RU "or immediate high" z9-109 zarch
777c00d oilf RIL_RU "or immediate low" z9-109 zarch
778c205 slfi RIL_RU "subtract logical immediate 32" z9-109 zarch
779c204 slgfi RIL_RU "subtract logical immediate 64<32" z9-109 zarch
03a9f008 7800104 ptff E "perform timing facility function" z9-109 zarch
ad101263
MS
781# z9-109 store facility list extended
782b2b0 stfle S_RD "store facility list extended" z9-109 zarch
783# z9-109 store clock fast
784b27c stckf S_RD "store clock fast" z9-109 zarch
785# z9-109 move with optional specifications instruction
786c800 mvcos SSF_RRDRD "move with optional specifications" z9-109 zarch
787# z9-109 load page-table-entry address instruction
aac129d7 788b9aa lptea RRF_RURR2 "load page-table-entry address" z9-109 zarch
ad101263 789# z9-109 conditional sske facility, sske instruction entered twice
1e2e8c52 790b22b sske RRF_U0RR "set storage key extended" z9-109 zarch optparm
ad101263 791# z9-109 etf2-enhancement facility, instructions entered twice
c46eb7b8
AK
792b993 troo RRF_U0RER "translate one to one" z9-109 esa,zarch optparm
793b992 trot RRF_U0RER "translate one to two" z9-109 esa,zarch optparm
794b991 trto RRF_U0RER "translate two to one" z9-109 esa,zarch optparm
795b990 trtt RRF_U0RER "translate two to two" z9-109 esa,zarch optparm
ad101263 796# z9-109 etf3-enhancement facility, some instructions entered twice
1e2e8c52
AK
797b9b1 cu24 RRF_U0RERE "convert utf-16 to utf-32" z9-109 zarch optparm
798b2a6 cu21 RRF_U0RERE "convert utf-16 to utf-8" z9-109 zarch optparm
799b2a6 cuutf RRF_U0RERE "convert unicode to utf-8" z9-109 zarch optparm
5e4b319c
AK
800b9b3 cu42 RRE_RERE "convert utf-32 to utf-16" z9-109 zarch
801b9b2 cu41 RRE_RERE "convert utf-32 to utf-8" z9-109 zarch
1e2e8c52
AK
802b2a7 cu12 RRF_U0RERE "convert utf-8 to utf-16" z9-109 zarch optparm
803b2a7 cutfu RRF_U0RERE "convert utf-8 to unicode" z9-109 zarch optparm
804b9b0 cu14 RRF_U0RERE "convert utf-8 to utf-32" z9-109 zarch optparm
0b7fe784 805b9be srstu RRE_RR "search string unicode" z9-109 zarch
d9aee5d7 806d0 trtr SS_L0RDRD "tranlate and test reverse" z9-109 zarch
8dd744b6 807# z9-109 unnormalized hfp multiply & multiply and add
5e4b319c 808b33b myr RRF_FE0FF "multiply unnormalized long hfp" z9-109 zarch
8dd744b6
MS
809b33d myhr RRF_F0FF "multiply unnormalized long hfp high" z9-109 zarch
810b339 mylr RRF_F0FF "multiply unnormalized long hfp low" z9-109 zarch
5e4b319c 811ed000000003b my RXF_FRRDFE "multiply unnormalized long hfp" z9-109 zarch
8dd744b6
MS
812ed000000003d myh RXF_FRRDF "multiply unnormalized long hfp high" z9-109 zarch
813ed0000000039 myl RXF_FRRDF "multiply unnormalized long hfp low" z9-109 zarch
814b33a mayr RRF_F0FF "multiply and add unnormalized long hfp" z9-109 zarch
815b33c mayhr RRF_F0FF "multiply and add unnormalized long hfp high" z9-109 zarch
816b338 maylr RRF_F0FF "multiply and add unnormalized long hfp low" z9-109 zarch
817ed000000003a may RXF_FRRDF "multiply and add unnormalized long hfp" z9-109 zarch
818ed000000003c mayh RXF_FRRDF "multiply and add unnormalized long hfp high" z9-109 zarch
819ed0000000038 mayl RXF_FRRDF "multiply and add unnormalized long hfp low" z9-109 zarch
b5639b37
MS
820b370 lpdfr RRE_FF "load positive no cc" z9-ec zarch
821b371 lndfr RRE_FF "load negative no cc" z9-ec zarch
822b372 cpsdr RRF_F0FF2 "copy sign" z9-ec zarch
823b373 lcdfr RRE_FF "load complement no cc" z9-ec zarch
8e194ff8
JR
824b3c1 ldgr RRE_FR "load FPR from GR" z9-ec zarch
825b3cd lgdr RRE_RF "load GR from FPR" z9-ec zarch
b5639b37 826b3d2 adtr RRR_F0FF "add long dfp" z9-ec zarch
5e4b319c 827b3da axtr RRR_FE0FEFE "add extended dfp" z9-ec zarch
b5639b37 828b3e4 cdtr RRE_FF "compare long dfp" z9-ec zarch
5e4b319c 829b3ec cxtr RRE_FEFE "compare extended dfp" z9-ec zarch
b5639b37
MS
830b3e0 kdtr RRE_FF "compare and signal long dfp" z9-ec zarch
831b3e8 kxtr RRE_FF "compare and signal extended dfp" z9-ec zarch
832b3f4 cedtr RRE_FF "compare exponent long dfp" z9-ec zarch
5e4b319c 833b3fc cextr RRE_FEFE "compare exponent extended dfp" z9-ec zarch
b5639b37 834b3f1 cdgtr RRE_FR "convert from fixed long dfp" z9-ec zarch
5e4b319c 835b3f9 cxgtr RRE_FER "convert from fixed extended dfp" z9-ec zarch
b5639b37
MS
836b3f3 cdstr RRE_FR "convert from signed bcd long dfp" z9-ec zarch
837b3fb cxstr RRE_FR "convert from signed bcd extended dfp" z9-ec zarch
838b3f2 cdutr RRE_FR "convert from unsigned bcd to long dfp" z9-ec zarch
5e4b319c 839b3fa cxutr RRE_FER "convert from unsigned bcd to extended dfp" z9-ec zarch
b5639b37 840b3e1 cgdtr RRF_U0RF "convert from long dfp to fixed" z9-ec zarch
5e4b319c 841b3e9 cgxtr RRF_U0RFE "convert from extended dfp to fixed" z9-ec zarch
ca87ae74
AK
842b3e3 csdtr RRF_0URF "convert from long dfp to signed bcd" z9-ec zarch
843b3eb csxtr RRF_0UREFE "convert from extended dfp to signed bcd" z9-ec zarch
b5639b37 844b3e2 cudtr RRE_RF "convert from long dfp to unsigned bcd" z9-ec zarch
5e4b319c 845b3ea cuxtr RRE_RFE "convert from extended dfp to unsigned bcd" z9-ec zarch
b5639b37 846b3d1 ddtr RRR_F0FF "divide long dfp" z9-ec zarch
5e4b319c 847b3d9 dxtr RRR_FE0FEFE "divide extended dfp" z9-ec zarch
b5639b37 848b3e5 eedtr RRE_RF "extract biased exponent from long dfp" z9-ec zarch
5e4b319c 849b3ed eextr RRE_RFE "extract biased exponent from extended dfp" z9-ec zarch
b5639b37 850b3e7 esdtr RRE_RF "extract significance from long dfp" z9-ec zarch
5e4b319c 851b3ef esxtr RRE_RFE "extract significance from extended dfp" z9-ec zarch
b5639b37 852b3f6 iedtr RRF_F0FR "insert biased exponent long dfp" z9-ec zarch
5e4b319c 853b3fe iextr RRF_FE0FER "insert biased exponent extended dfp" z9-ec zarch
b5639b37 854b3d6 ltdtr RRE_FF "load and test long dfp" z9-ec zarch
5e4b319c 855b3de ltxtr RRE_FEFE "load and test extended dfp" z9-ec zarch
b5639b37 856b3d7 fidtr RRF_UUFF "load fp integer long dfp" z9-ec zarch
5e4b319c 857b3df fixtr RRF_UUFEFE "load fp integer extended dfp" z9-ec zarch
b5639b37
MS
858b2bd lfas S_RD "load fpd and signal" z9-ec zarch
859b3d4 ldetr RRF_0UFF "load lengthened long dfp" z9-ec zarch
5e4b319c 860b3dc lxdtr RRF_0UFEF "load lengthened extended dfp" z9-ec zarch
b5639b37 861b3d5 ledtr RRF_UUFF "load rounded long dfp" z9-ec zarch
5e4b319c 862b3dd ldxtr RRF_UUFFE "load rounded extended dfp" z9-ec zarch
b5639b37 863b3d0 mdtr RRR_F0FF "multiply long dfp" z9-ec zarch
5e4b319c 864b3d8 mxtr RRR_FE0FEFE "multiply extended dfp" z9-ec zarch
b40d5eb9 865b3f5 qadtr RRF_FUFF "Quantize long dfp" z9-ec zarch
5e4b319c 866b3fd qaxtr RRF_FEUFEFE "Quantize extended dfp" z9-ec zarch
7dc6076f 867b3f7 rrdtr RRF_FFRU "Reround long dfp" z9-ec zarch
5e4b319c 868b3ff rrxtr RRF_FEFERU "Reround extended dfp" z9-ec zarch
b5639b37
MS
869b2b9 srnmt S_RD "set rounding mode dfp" z9-ec zarch
870b385 sfasr RRE_R0 "set fpc and signal" z9-ec zarch
871ed0000000040 sldt RXF_FRRDF "shift coefficient left long dfp" z9-ec zarch
5e4b319c 872ed0000000048 slxt RXF_FERRDFE "shift coefficient left extended dfp" z9-ec zarch
b5639b37 873ed0000000041 srdt RXF_FRRDF "shift coefficient right long dfp" z9-ec zarch
5e4b319c 874ed0000000049 srxt RXF_FERRDFE "shift coefficient right extended dfp" z9-ec zarch
b5639b37 875b3d3 sdtr RRR_F0FF "subtract long dfp" z9-ec zarch
5e4b319c 876b3db sxtr RRR_FE0FEFE "subtract extended dfp" z9-ec zarch
5f1c91d9
AK
877ed0000000050 tdcet RXE_FRRD "test data class short dfp" z9-ec zarch
878ed0000000054 tdcdt RXE_FRRD "test data class long dfp" z9-ec zarch
5e4b319c 879ed0000000058 tdcxt RXE_FERRD "test data class extended dfp" z9-ec zarch
5f1c91d9
AK
880ed0000000051 tdget RXE_FRRD "test data group short dfp" z9-ec zarch
881ed0000000055 tdgdt RXE_FRRD "test data group long dfp" z9-ec zarch
5e4b319c 882ed0000000059 tdgxt RXE_FERRD "test data group extended dfp" z9-ec zarch
dacc8b01
MS
883010a pfpo E "perform floating point operation" z9-ec zarch
884c801 ectg SSF_RRDRD "extract cpu time" z9-ec zarch
885c802 csst SSF_RRDRD "compare and swap and store" z9-ec zarch
aac129d7 886
45a5551e 887# The new instructions of the System z10 Enterprise Class
5746fb46
AK
888eb000000006a asi SIY_IRD "add immediate (32<8)" z10 zarch
889eb000000007a agsi SIY_IRD "add immediate (64<8)" z10 zarch
890eb000000006e alsi SIY_IRD "add logical with signed immediate (32<8)" z10 zarch
891eb000000007e algsi SIY_IRD "add logical with signed immediate (64<8)" z10 zarch
892c60d crl RIL_RP "compare relative long (32)" z10 zarch
893c608 cgrl RIL_RP "compare relative long (64)" z10 zarch
894c60c cgfrl RIL_RP "compare relative long (64<32)" z10 zarch
45a5551e 895ec00000000f6 crb$32 RRS_RRRD0 "compare and branch (32)" z10 zarch
5746fb46 896ec00000000f6 crb RRS_RRRDU "compare and branch (32)" z10 zarch
45a5551e 897ec00000000e4 cgrb$32 RRS_RRRD0 "compare and branch (64)" z10 zarch
5746fb46 898ec00000000e4 cgrb RRS_RRRDU "compare and branch (64)" z10 zarch
c5306fed
JR
899ec0000000076 crj$32 RIE_RRP0 "compare and branch relative (32)" z10 zarch condjump
900ec0000000076 crj RIE_RRPU "compare and branch relative (32)" z10 zarch condjump
901ec0000000064 cgrj$32 RIE_RRP0 "compare and branch relative (64)" z10 zarch condjump
902ec0000000064 cgrj RIE_RRPU "compare and branch relative (64)" z10 zarch condjump
45a5551e 903ec00000000fe cib$12 RIS_R0RDI "compare immediate and branch (32<8)" z10 zarch
5746fb46 904ec00000000fe cib RIS_RURDI "compare immediate and branch (32<8)" z10 zarch
45a5551e 905ec00000000fc cgib$12 RIS_R0RDI "compare immediate and branch (64<8)" z10 zarch
5746fb46 906ec00000000fc cgib RIS_RURDI "compare immediate and branch (64<8)" z10 zarch
c5306fed
JR
907ec000000007e cij$12 RIE_R0PI "compare immediate and branch relative (32<8)" z10 zarch condjump
908ec000000007e cij RIE_RUPI "compare immediate and branch relative (32<8)" z10 zarch condjump
909ec000000007c cgij$12 RIE_R0PI "compare immediate and branch relative (64<8)" z10 zarch condjump
910ec000000007c cgij RIE_RUPI "compare immediate and branch relative (64<8)" z10 zarch condjump
d660d565 911b9720000 crt$16 RRF_00RR "compare and trap" z10 zarch
5746fb46 912b972 crt RRF_U0RR "compare and trap" z10 zarch
d660d565 913b9600000 cgrt$16 RRF_00RR "compare and trap 64" z10 zarch
5746fb46 914b960 cgrt RRF_U0RR "compare and trap 64" z10 zarch
45a5551e 915ec0000000072 cit$32 RIE_R0I0 "compare immediate and trap (32<16)" z10 zarch
5746fb46 916ec0000000072 cit RIE_R0IU "compare immediate and trap (32<16)" z10 zarch
45a5551e 917ec0000000070 cgit$32 RIE_R0I0 "compare immediate and trap (64<16)" z10 zarch
5746fb46
AK
918ec0000000070 cgit RIE_R0IU "compare immediate and trap (64<16)" z10 zarch
919e30000000034 cgh RXY_RRRD "compare halfword (64<16)" z10 zarch
920e554 chhsi SIL_RDI "compare halfword immediate (16<16)" z10 zarch
921e55c chsi SIL_RDI "compare halfword immediate (32<16)" z10 zarch
922e558 cghsi SIL_RDI "compare halfword immediate (64<16)" z10 zarch
923c605 chrl RIL_RP "compare halfword relative long (32<8)" z10 zarch
924c604 cghrl RIL_RP "compare halfword relative long (64<8)" z10 zarch
925e555 clhhsi SIL_RDU "compare logical immediate (16<16)" z10 zarch
926e55d clfhsi SIL_RDU "compare logical immediate (32<16)" z10 zarch
927e559 clghsi SIL_RDU "compare logical immediate (64<16)" z10 zarch
928c60f clrl RIL_RP "compare logical relative long (32)" z10 zarch
929c60a clgrl RIL_RP "compare logical relative long (64)" z10 zarch
930c60e clgfrl RIL_RP "compare logical relative long (64<32)" z10 zarch
931c607 clhrl RIL_RP "compare logical relative long (32<16)" z10 zarch
932c606 clghrl RIL_RP "compare logical relative long (64<16)" z10 zarch
45a5551e 933ec00000000f7 clrb$32 RRS_RRRD0 "compare logical and branch (32)" z10 zarch
5746fb46 934ec00000000f7 clrb RRS_RRRDU "compare logical and branch (32)" z10 zarch
45a5551e 935ec00000000e5 clgrb$32 RRS_RRRD0 "compare logical and branch (64)" z10 zarch
5746fb46 936ec00000000e5 clgrb RRS_RRRDU "compare logical and branch (64)" z10 zarch
c5306fed
JR
937ec0000000077 clrj$32 RIE_RRP0 "compare logical and branch relative (32)" z10 zarch condjump
938ec0000000077 clrj RIE_RRPU "compare logical and branch relative (32)" z10 zarch condjump
939ec0000000065 clgrj$32 RIE_RRP0 "compare logical and branch relative (64)" z10 zarch condjump
940ec0000000065 clgrj RIE_RRPU "compare logical and branch relative (64)" z10 zarch condjump
45a5551e 941ec00000000ff clib$12 RIS_R0RDU "compare logical immediate and branch (32<8)" z10 zarch
5746fb46 942ec00000000ff clib RIS_RURDU "compare logical immediate and branch (32<8)" z10 zarch
45a5551e 943ec00000000fd clgib$12 RIS_R0RDU "compare logical immediate and branch (64<8)" z10 zarch
5746fb46 944ec00000000fd clgib RIS_RURDU "compare logical immediate and branch (64<8)" z10 zarch
676b9807
JR
945ec000000007f clij$12 RIE_R0PU "compare logical immediate and branch relative (32<8)" z10 zarch condjump
946ec000000007f clij RIE_RUPU "compare logical immediate and branch relative (32<8)" z10 zarch condjump
947ec000000007d clgij$12 RIE_R0PU "compare logical immediate and branch relative (64<8)" z10 zarch condjump
948ec000000007d clgij RIE_RUPU "compare logical immediate and branch relative (64<8)" z10 zarch condjump
d660d565 949b9730000 clrt$16 RRF_00RR "compare logical and trap (32)" z10 zarch
5746fb46 950b973 clrt RRF_U0RR "compare logical and trap (32)" z10 zarch
d660d565 951b9610000 clgrt$16 RRF_00RR "compare logical and trap (64)" z10 zarch
5746fb46 952b961 clgrt RRF_U0RR "compare logical and trap (64)" z10 zarch
45a5551e 953ec0000000073 clfit$32 RIE_R0U0 "compare logical and trap (32<16)" z10 zarch
5746fb46 954ec0000000073 clfit RIE_R0UU "compare logical and trap (32<16)" z10 zarch
45a5551e 955ec0000000071 clgit$32 RIE_R0U0 "compare logical and trap (64<16)" z10 zarch
5746fb46
AK
956ec0000000071 clgit RIE_R0UU "compare logical and trap (64<16)" z10 zarch
957eb000000004c ecag RSY_RRRD "extract cache attribute" z10 zarch
958c40d lrl RIL_RP "load relative long (32)" z10 zarch
959c408 lgrl RIL_RP "load relative long (64)" z10 zarch
960c40c lgfrl RIL_RP "load relative long (64<32)" z10 zarch
961e30000000075 laey RXY_RRRD "load address extended" z10 zarch
962e30000000032 ltgf RXY_RRRD "load and test (64<32)" z10 zarch
963c405 lhrl RIL_RP "load halfword relative long (32<16)" z10 zarch
964c404 lghrl RIL_RP "load halfword relative long (64<16)" z10 zarch
965c40e llgfrl RIL_RP "load logical relative long (64<32)" z10 zarch
966c402 llhrl RIL_RP "load logical halfword relative long (32<16)" z10 zarch
967c406 llghrl RIL_RP "load logical halfword relative long (64<16)" z10 zarch
968e544 mvhhi SIL_RDI "move (16<16)" z10 zarch
969e54c mvhi SIL_RDI "move (32<16)" z10 zarch
970e548 mvghi SIL_RDI "move (64<16)" z10 zarch
5e4b319c 971e3000000005c mfy RXY_RERRD "multiply" z10 zarch
5746fb46
AK
972e3000000007c mhy RXY_RRRD "multiply halfword" z10 zarch
973c201 msfi RIL_RI "multiply single immediate (32)" z10 zarch
974c200 msgfi RIL_RI "multiply single immediate (64)" z10 zarch
975e30000000036 pfd RXY_URRD "prefetch data" z10 zarch
976c602 pfdrl RIL_UP "prefetch data relative long" z10 zarch
fca086d9 977ec0000000054 rnsbg RIE_RRUUU "rotate then and selected bits" z10 zarch optparm
2bf1f788 978ec0080000054 rnsbgt RIE_RRUUU4 "rotate then and selected bits and test results" z10 zarch optparm
fca086d9 979ec0000000057 rxsbg RIE_RRUUU "rotate then exclusive or selected bits" z10 zarch optparm
2bf1f788 980ec0080000057 rxsbgt RIE_RRUUU4 "rotate then exclusive or selected bits and test results" z10 zarch optparm
fca086d9 981ec0000000056 rosbg RIE_RRUUU "rotate then or selected bits" z10 zarch optparm
2bf1f788 982ec0080000056 rosbgt RIE_RRUUU4 "rotate then or selected bits and test results" z10 zarch optparm
fca086d9
JR
983ec0000000055 risbg RIE_RRUUU "rotate then insert selected bits" z10 zarch optparm
984ec0000800055 risbgz RIE_RRUUU2 "rotate then insert selected bits and zero remaining bits" z10 zarch optparm
5746fb46
AK
985c40f strl RIL_RP "store relative long (32)" z10 zarch
986c40b stgrl RIL_RP "store relative long (64)" z10 zarch
987c407 sthrl RIL_RP "store halfword relative long" z10 zarch
988c600 exrl RIL_RP "execute relative long" z10 zarch
989af00 mc SI_URD "monitor call" z10 zarch
990b9a2 ptf RRE_R0 "perform topology function" z10 zarch
991b9af pfmf RRE_RR "perform frame management function" z10 zarch
1e2e8c52
AK
992b9bf trte RRF_U0RER "translate and test extended" z10 zarch optparm
993b9bd trtre RRF_U0RER "translate and test reverse extended" z10 zarch optparm
9cae27dc
AK
994b2ed ecpga RRE_RR "extract coprocessor-group address" z10 zarch
995b2e4 ecctr RRE_RR "extract cpu counter" z10 zarch
996b2e5 epctr RRE_RR "extract peripheral counter" z10 zarch
997b284 lcctl S_RD "load cpu-counter-set controls" z10 zarch
998b285 lpctl S_RD "load peripheral-counter-set controls" z10 zarch
999b287 lsctl S_RD "load sampling controls" z10 zarch
1000b28e qctri S_RD "query counter information" z10 zarch
1001b286 qsi S_RD "query sampling information" z10 zarch
1002b2e0 scctr RRE_RR "set cpu counter" z10 zarch
1003b2e1 spctr RRE_RR "set peripheral counter" z10 zarch
1004b280 lpp S_RD "load program parameter" z10 zarch
aac129d7
AK
1005
1006# The new instructions of the IBM zEnterprise z196
d9aee5d7
AK
1007b9c8 ahhhr RRF_R0RR2 "add high high" z196 zarch
1008b9d8 ahhlr RRF_R0RR2 "add high low" z196 zarch
1009cc08 aih RIL_RI "add immediate high" z196 zarch
1010b9ca alhhhr RRF_R0RR2 "add logical high high" z196 zarch
1011b9da alhhlr RRF_R0RR2 "add logical high low" z196 zarch
1012cc0a alsih RIL_RI "add logical with signed immediate high with cc" z196 zarch
1013cc0b alsihn RIL_RI "add logical with signed immediate high no cc" z196 zarch
c5306fed
JR
1014cc06 brcth RIL_RP "branch relative on count high" z196 zarch condjump
1015cc06 jcth RIL_RP "jump on count high" z196 zarch condjump
d9aee5d7
AK
1016b9cd chhr RRE_RR "compare high high" z196 zarch
1017b9dd chlr RRE_RR "compare high low" z196 zarch
1018e300000000cd chf RXY_RRRD "compare high" z196 zarch
1019cc0d cih RIL_RI "compare immediate high" z196 zarch
1020b9cf clhhr RRE_RR "compare logical high high" z196 zarch
1021b9df clhlr RRE_RR "compare logical high low" z196 zarch
1022e300000000cf clhf RXY_RRRD "compare logical high" z196 zarch
e3f9e852 1023cc0f clih RIL_RU "compare logical immediate" z196 zarch
d9aee5d7
AK
1024e300000000c0 lbh RXY_RRRD "load byte high" z196 zarch
1025e300000000c4 lhh RXY_RRRD "load halfword high" z196 zarch
1026e300000000ca lfh RXY_RRRD "load high" z196 zarch
1027e300000000c2 llch RXY_RRRD "load logical character high" z196 zarch
1028e300000000c6 llhh RXY_RRRD "load logical halfword high" z196 zarch
fca086d9 1029ec000000005d risbhg RIE_RRUUU "rotate then insert selected bits high" z196 zarch optparm
2bf1f788 1030ec000080005d risbhgz RIE_RRUUU3 "rotate then insert selected bits high and zero remaining bits" z196 zarch optparm
fca086d9 1031ec0000000051 risblg RIE_RRUUU "rotate then insert selected bits low" z196 zarch optparm
2bf1f788 1032ec0000800051 risblgz RIE_RRUUU3 "rotate then insert selected bits low and zero remaining bits" z196 zarch optparm
d9aee5d7
AK
1033e300000000c3 stch RXY_RRRD "store character high" z196 zarch
1034e300000000c7 sthh RXY_RRRD "store halfword high" z196 zarch
1035e300000000cb stfh RXY_RRRD "store high" z196 zarch
1036b9c9 shhhr RRF_R0RR2 "subtract high high" z196 zarch
1037b9d9 shhlr RRF_R0RR2 "subtract high low" z196 zarch
1038b9cb slhhhr RRF_R0RR2 "subtract logical high high" z196 zarch
1039b9db slhhlr RRF_R0RR2 "subtract logical high low" z196 zarch
1040eb00000000f8 laa RSY_RRRD "load and add 32 bit" z196 zarch
1041eb00000000e8 laag RSY_RRRD "load and add 64 bit" z196 zarch
1042eb00000000fa laal RSY_RRRD "load and add logical 32 bit" z196 zarch
1043eb00000000ea laalg RSY_RRRD "load and add logical 64 bit" z196 zarch
1044eb00000000f4 lan RSY_RRRD "load and and 32 bit" z196 zarch
1045eb00000000e4 lang RSY_RRRD "load and and 64 bit" z196 zarch
1046eb00000000f7 lax RSY_RRRD "load and exclusive or 32 bit" z196 zarch
1047eb00000000e7 laxg RSY_RRRD "load and exclusive or 64 bit" z196 zarch
1048eb00000000f6 lao RSY_RRRD "load and or 32 bit" z196 zarch
1049eb00000000e6 laog RSY_RRRD "load and or 64 bit" z196 zarch
5e4b319c
AK
1050c804 lpd SSF_RERDRD2 "load pair disjoint 32 bit" z196 zarch
1051c805 lpdg SSF_RERDRD2 "load pair disjoint 64 bit" z196 zarch
d9aee5d7 1052b9f2 locr RRF_U0RR "load on condition 32 bit" z196 zarch
d660d565 1053b9f20000 locr*16 RRF_00RR "load on condition 32 bit" z196 zarch
d9aee5d7 1054b9e2 locgr RRF_U0RR "load on condition 64 bit" z196 zarch
d660d565 1055b9e20000 locgr*16 RRF_00RR "load on condition 64 bit" z196 zarch
cfc72779
AK
1056eb00000000f2 loc RSY_RURD2 "load on condition 32 bit" z196 zarch
1057eb00000000f2 loc*12 RSY_R0RD "load on condition 32 bit" z196 zarch
1058eb00000000e2 locg RSY_RURD2 "load on condition 64 bit" z196 zarch
1059eb00000000e2 locg*12 RSY_R0RD "load on condition 64 bit" z196 zarch
1060eb00000000f3 stoc RSY_RURD2 "store on condition 32 bit" z196 zarch
1061eb00000000f3 stoc*12 RSY_R0RD "store on condition 32 bit" z196 zarch
1062eb00000000e3 stocg RSY_RURD2 "store on condition 64 bit" z196 zarch
1063eb00000000e3 stocg*12 RSY_R0RD "store on condition 64 bit" z196 zarch
d9aee5d7
AK
1064b9f8 ark RRF_R0RR2 "add 3 operands 32 bit" z196 zarch
1065b9e8 agrk RRF_R0RR2 "add 3 operands 64 bit" z196 zarch
1066ec00000000d8 ahik RIE_RRI0 "add immediate 3 operands 32 bit" z196 zarch
1067ec00000000d9 aghik RIE_RRI0 "add immediate 3 operands 64 bit" z196 zarch
1068b9fa alrk RRF_R0RR2 "add logical 3 operands 32 bit" z196 zarch
1069b9ea algrk RRF_R0RR2 "add logical 3 operands 64 bit" z196 zarch
1070ec00000000da alhsik RIE_RRI0 "add logical immediate 3 operands 32 bit" z196 zarch
1071ec00000000db alghsik RIE_RRI0 "add logical immediate 3 operands 64 bit" z196 zarch
1072b9f4 nrk RRF_R0RR2 "and 3 operands 32 bit" z196 zarch
1073b9e4 ngrk RRF_R0RR2 "and 3 operands 64 bit" z196 zarch
1074b9f7 xrk RRF_R0RR2 "xor 3 operands 32 bit" z196 zarch
1075b9e7 xgrk RRF_R0RR2 "xor 3 operands 64 bit" z196 zarch
1076b9f6 ork RRF_R0RR2 "or 3 operands 32 bit" z196 zarch
1077b9e6 ogrk RRF_R0RR2 "or 3 operands 64 bit" z196 zarch
1078eb00000000dd slak RSY_RRRD "shift left single 3 operands 32 bit" z196 zarch
1079eb00000000df sllk RSY_RRRD "shift left single logical 3 operands 32 bit" z196 zarch
1080eb00000000dc srak RSY_RRRD "shift right single 3 operands 32 bit" z196 zarch
1081eb00000000de srlk RSY_RRRD "shift right single logical 3 operands 32 bit" z196 zarch
1082b9f9 srk RRF_R0RR2 "subtract 3 operands 32 bit" z196 zarch
1083b9e9 sgrk RRF_R0RR2 "subtract 3 operands 64 bit" z196 zarch
1084b9fb slrk RRF_R0RR2 "subtract logical 3 operands 32 bit" z196 zarch
1085b9eb slgrk RRF_R0RR2 "subtract logical 3 operands 64 bit" z196 zarch
1086b9e1 popcnt RRE_RR "population count" z196 zarch
1087b9ae rrbm RRE_RR "reset reference bits multiple" z196 zarch
1088b394 cefbra RRF_UUFR "convert from 32 bit fixed to short bfp with rounding mode" z196 zarch
1089b395 cdfbra RRF_UUFR "convert from 32 bit fixed to long bfp with rounding mode" z196 zarch
5e4b319c 1090b396 cxfbra RRF_UUFER "convert from 32 bit fixed to extended bfp with rounding mode" z196 zarch
d9aee5d7
AK
1091b3a4 cegbra RRF_UUFR "convert from 64 bit fixed to short bfp with rounding mode" z196 zarch
1092b3a5 cdgbra RRF_UUFR "convert from 64 bit fixed to long bfp with rounding mode" z196 zarch
5e4b319c 1093b3a6 cxgbra RRF_UUFER "convert from 64 bit fixed to extended bfp with rounding mode" z196 zarch
d9aee5d7
AK
1094b390 celfbr RRF_UUFR "convert from 32 bit logical fixed to short bfp with rounding mode" z196 zarch
1095b391 cdlfbr RRF_UUFR "convert from 32 bit logical fixed to long bfp with rounding mode" z196 zarch
5e4b319c 1096b392 cxlfbr RRF_UUFER "convert from 32 bit logical fixed to extended bfp with rounding mode" z196 zarch
d9aee5d7
AK
1097b3a0 celgbr RRF_UUFR "convert from 64 bit logical fixed to short bfp with rounding mode" z196 zarch
1098b3a1 cdlgbr RRF_UUFR "convert from 64 bit logical fixed to long bfp with rounding mode" z196 zarch
5e4b319c 1099b3a2 cxlgbr RRF_UUFER "convert from 64 bit logical fixed to extended bfp with rounding mode" z196 zarch
d9aee5d7
AK
1100b398 cfebra RRF_UURF "convert to 32 bit fixed from short bfp with rounding mode" z196 zarch
1101b399 cfdbra RRF_UURF "convert to 32 bit fixed from long bfp with rounding mode" z196 zarch
5e4b319c 1102b39a cfxbra RRF_UURFE "convert to 32 bit fixed from extended bfp with rounding mode" z196 zarch
d9aee5d7
AK
1103b3a8 cgebra RRF_UURF "convert to 64 bit fixed from short bfp with rounding mode" z196 zarch
1104b3a9 cgdbra RRF_UURF "convert to 64 bit fixed from long bfp with rounding mode" z196 zarch
5e4b319c 1105b3aa cgxbra RRF_UURFE "convert to 64 bit fixed from extended bfp with rounding mode" z196 zarch
d9aee5d7
AK
1106b39c clfebr RRF_UURF "convert to 32 bit fixed logical from short bfp with rounding mode" z196 zarch
1107b39d clfdbr RRF_UURF "convert to 32 bit fixed logical from long bfp with rounding mode" z196 zarch
5e4b319c 1108b39e clfxbr RRF_UURFE "convert to 32 bit fixed logical from extended bfp with rounding mode" z196 zarch
d9aee5d7
AK
1109b3ac clgebr RRF_UURF "convert to 64 bit fixed logical from short bfp with rounding mode" z196 zarch
1110b3ad clgdbr RRF_UURF "convert to 64 bit fixed logical from long bfp with rounding mode" z196 zarch
5e4b319c 1111b3ae clgxbr RRF_UURFE "convert to 64 bit fixed logical from extended bfp with rounding mode" z196 zarch
c8094e01
AK
1112b357 fiebra RRF_UUFF "load fp integer short bfp with inexact suppression" z196 zarch
1113b35f fidbra RRF_UUFF "load fp integer long bfp with inexact suppression" z196 zarch
1114b347 fixbra RRF_UUFEFE "load fp integer extended bfp with inexact suppression" z196 zarch
d9aee5d7 1115b344 ledbra RRF_UUFF "load rounded short/long bfp to short/long bfp with rounding mode" z196 zarch
5e4b319c
AK
1116b345 ldxbra RRF_UUFEFE "load rounded long/extended bfp to long/extended bfp with rounding mode" z196 zarch
1117b346 lexbra RRF_UUFEFE "load rounded short/extended bfp to short/extended bfp with rounding mode" z196 zarch
d9aee5d7 1118b3d2 adtra RRF_FUFF2 "add long dfp with rounding mode" z196 zarch
5e4b319c 1119b3da axtra RRF_FEUFEFE2 "add extended dfp with rounding mode" z196 zarch
d9aee5d7
AK
1120b3f1 cdgtra RRF_UUFR "convert from fixed long dfp with rounding mode" z196 zarch
1121b951 cdftr RRF_UUFR "convert from 32 bit fixed to long dfp with rounding mode" z196 zarch
5e4b319c
AK
1122b959 cxftr RRF_UUFER "convert from 32 bit fixed to extended dfp with rounding mode" z196 zarch
1123b3f9 cxgtra RRF_UUFER "convert from fixed extended dfp with rounding mode" z196 zarch
d9aee5d7 1124b952 cdlgtr RRF_UUFR "convert from 64 bit fixed logical to long dfp with rounding mode" z196 zarch
5e4b319c 1125b95a cxlgtr RRF_UUFER "convert from 64 bit fixed logical to extended dfp with rounding mode" z196 zarch
d9aee5d7
AK
1126b953 cdlftr RRF_UUFR "convert from 32 bit fixed logical to long dfp with rounding mode" z196 zarch
1127b95b cxlftr RRF_UUFR "convert from 32 bit fixed logical to extended dfp with rounding mode" z196 zarch
1128b3e1 cgdtra RRF_UURF "convert to 64 bit fixed from long dfp with rounding mode" z196 zarch
5e4b319c 1129b3e9 cgxtra RRF_UURFE "convert to 64 bit fixed from extended dfp with rounding mode" z196 zarch
d9aee5d7
AK
1130b941 cfdtr RRF_UURF "convert to 32 bit fixed from long dfp source with rounding mode" z196 zarch
1131b949 cfxtr RRF_UURF "convert to 32 bit fixed from extended dfp source with rounding mode" z196 zarch
1132b942 clgdtr RRF_UURF "convert to 64 bit fixed logical from long dfp with rounding mode" z196 zarch
5e4b319c 1133b94a clgxtr RRF_UURFE "convert to 64 bit fixed logical from extended dfp with rounding mode" z196 zarch
d9aee5d7 1134b943 clfdtr RRF_UURF "convert to 32 bit fixed logical from long dfp with rounding mode" z196 zarch
5e4b319c 1135b94b clfxtr RRF_UURFE "convert to 32 bit fixed logical from extended dfp with rounding mode" z196 zarch
d9aee5d7 1136b3d1 ddtra RRF_FUFF2 "divide long dfp with rounding mode" z196 zarch
5e4b319c 1137b3d9 dxtra RRF_FEUFEFE2 "divide extended dfp with rounding mode" z196 zarch
d9aee5d7 1138b3d0 mdtra RRF_FUFF2 "multiply long dfp with rounding mode" z196 zarch
5e4b319c 1139b3d8 mxtra RRF_FEUFEFE2 "multiply extended dfp with rounding mode" z196 zarch
d9aee5d7 1140b3d3 sdtra RRF_FUFF2 "subtract long dfp with rounding mode" z196 zarch
5e4b319c 1141b3db sxtra RRF_FEUFEFE2 "subtract extended dfp with rounding mode" z196 zarch
d9aee5d7 1142b2b8 srnmb S_RD "set 3 bit bfp rounding mode" z196 zarch
aac129d7
AK
1143b92a kmf RRE_RR "cipher message with CFB" z196 zarch
1144b92b kmo RRE_RR "cipher message with OFB" z196 zarch
1145b92c pcc RRE_00 "perform cryptographic computation" z196 zarch
8b71537b 1146b92d kmctr RRF_R0RR "cipher message with counter" z196 zarch
a0a110b0 1147b928 pckmo RRE_00 "perform cryptographic key management operation" z196 zarch
aac129d7
AK
1148
1149# The new instructions of the IBM zEnterprise EC12
7ecc513a
DV
1150b2ec etnd RRE_R0 "extract transaction nesting depth" zEC12 zarch htm
1151e30000000025 ntstg RXY_RRRD "nontransactional store" zEC12 zarch htm
1152b2fc tabort S_RD "transaction abort" zEC12 zarch htm
1153e560 tbegin SIL_RDU "transaction begin" zEC12 zarch htm
1154e561 tbeginc SIL_RDU "constrained transaction begin" zEC12 zarch htm
1155b2f8 tend S_00 "transaction end" zEC12 zarch htm
cfc72779 1156c7 bpp SMI_U0RDP "branch prediction preload" zEC12 zarch
fb798c50 1157c5 bprp MII_UPP "branch prediction relative preload" zEC12 zarch
f559b440 1158b2e8 ppa RRF_U0RR "perform processor assist" zEC12 zarch htm
cfc72779 1159b2fa niai IE_UU "next instruction access intent" zEC12 zarch
1e2e8c52 1160b98f crdte RRF_RURR2 "compare and replace DAT table entry" zEC12 zarch optparm
cfc72779
AK
1161e3000000009f lat RXY_RRRD "load and trap 32 bit" zEC12 zarch
1162e30000000085 lgat RXY_RRRD "load and trap 64 bit" zEC12 zarch
1163e300000000c8 lfhat RXY_RRRD "load high and trap" zEC12 zarch
1164e3000000009d llgfat RXY_RRRD "load logical and trap 32>64" zEC12 zarch
1165e3000000009c llgtat RXY_RRRD "load logical thirty one bits and trap 31>64" zEC12 zarch
1166eb0000000023 clt RSY_RURD "compare logical and trap 32 bit reg-mem" zEC12 zarch
1167eb0000000023 clt$12 RSY_R0RD "compare logical and trap 32 bit reg-mem" zEC12 zarch
1168eb000000002b clgt RSY_RURD "compare logical and trap 64 bit reg-mem" zEC12 zarch
1169eb000000002b clgt$12 RSY_R0RD "compare logical and trap 64 bit reg-mem" zEC12 zarch
fca086d9
JR
1170ec0000000059 risbgn RIE_RRUUU "rotate then insert selected bits nocc" zEC12 zarch optparm
1171ec0000800059 risbgnz RIE_RRUUU2 "rotate then insert selected bits and zero remaining bits nocc" zEC12 zarch optparm
cfc72779
AK
1172ed00000000aa cdzt RSL_LRDFU "convert from zoned long" zEC12 zarch
1173ed00000000ab cxzt RSL_LRDFEU "convert from zoned extended" zEC12 zarch
1174ed00000000a8 czdt RSL_LRDFU "convert to zoned long" zEC12 zarch
1175ed00000000a9 czxt RSL_LRDFEU "convert to zoned extended" zEC12 zarch
1e2e8c52
AK
1176
1177# The new instructions of IBM z13
1178
7ecc513a 1179e70000000027 lcbb RXE_RRRDU "load count to block boundary" z13 zarch vx
1e2e8c52
AK
1180
1181# Chapter 21
7ecc513a
DV
1182e70000000013 vgef VRV_VVXRDU "vector gather element 4 byte elements" z13 zarch vx
1183e70000000012 vgeg VRV_VVXRDU "vector gather element 8 byte elements" z13 zarch vx
1184e70000000044 vgbm VRI_V0U "vector generate byte mask" z13 zarch vx
1185e70000000044 vzero VRI_V "vector set to zero" z13 zarch vx
1186e700ffff0044 vone VRI_V "vector set to ones" z13 zarch vx
1187e70000000046 vgm VRI_V0UUU "vector generate mask" z13 zarch vx
1188e70000000046 vgmb VRI_V0UU "vector generate mask byte" z13 zarch vx
1189e70000001046 vgmh VRI_V0UU "vector generate mask halfword" z13 zarch vx
1190e70000002046 vgmf VRI_V0UU "vector generate mask word" z13 zarch vx
1191e70000003046 vgmg VRI_V0UU "vector generate mask double word" z13 zarch vx
7ecc513a
DV
1192e70000000056 vlr VRX_VV "vector register load" z13 zarch vx
1193e70000000005 vlrep VRX_VRRDU "vector load and replicate" z13 zarch vx
1194e70000000005 vlrepb VRX_VRRD "vector load and replicate byte elements" z13 zarch vx
1195e70000001005 vlreph VRX_VRRD "vector load and replicate halfword elements" z13 zarch vx
1196e70000002005 vlrepf VRX_VRRD "vector load and replicate word elements" z13 zarch vx
1197e70000003005 vlrepg VRX_VRRD "vector load and replicate double word elements" z13 zarch vx
1198e70000000000 vleb VRX_VRRDU "vector load byte element" z13 zarch vx
1199e70000000001 vleh VRX_VRRDU "vector load halfword element" z13 zarch vx
1200e70000000003 vlef VRX_VRRDU "vector load word element" z13 zarch vx
1201e70000000002 vleg VRX_VRRDU "vector load double word element" z13 zarch vx
1202e70000000040 vleib VRI_V0IU "vector load byte element immediate" z13 zarch vx
1203e70000000041 vleih VRI_V0IU "vector load halfword element immediate" z13 zarch vx
1204e70000000043 vleif VRI_V0IU "vector load word element immediate" z13 zarch vx
1205e70000000042 vleig VRI_V0IU "vector load double word element immediate" z13 zarch vx
8e194ff8
JR
1206e70000000021 vlgv VRS_RVRDU "vector load GR from VR element" z13 zarch vx
1207e70000000021 vlgvb VRS_RVRD "vector load GR from VR byte element" z13 zarch vx
1208e70000001021 vlgvh VRS_RVRD "vector load GR from VR halfword element" z13 zarch vx
1209e70000002021 vlgvf VRS_RVRD "vector load GR from VR word element" z13 zarch vx
1210e70000003021 vlgvg VRS_RVRD "vector load GR from VR double word element" z13 zarch vx
7ecc513a
DV
1211e70000000004 vllez VRX_VRRDU "vector load logical element and zero" z13 zarch vx
1212e70000000004 vllezb VRX_VRRD "vector load logical byte element and zero" z13 zarch vx
1213e70000001004 vllezh VRX_VRRD "vector load logical halfword element and zero" z13 zarch vx
1214e70000002004 vllezf VRX_VRRD "vector load logical word element and zero" z13 zarch vx
1215e70000003004 vllezg VRX_VRRD "vector load logical double word element and zero" z13 zarch vx
7ecc513a
DV
1216e70000000007 vlbb VRX_VRRDU "vector load to block boundary" z13 zarch vx
1217e70000000022 vlvg VRS_VRRDU "vector load VR element from GR" z13 zarch vx
1218e70000000022 vlvgb VRS_VRRD "vector load VR byte element from GR" z13 zarch vx
1219e70000001022 vlvgh VRS_VRRD "vector load VR halfword element from GR" z13 zarch vx
1220e70000002022 vlvgf VRS_VRRD "vector load VR word element from GR" z13 zarch vx
1221e70000003022 vlvgg VRS_VRRD "vector load VR double word element from GR" z13 zarch vx
1222e70000000062 vlvgp VRR_VRR "vector load VR from GRs disjoint" z13 zarch vx
1223e70000000037 vll VRS_VRRD "vector load with length" z13 zarch vx
1224e70000000061 vmrh VRR_VVV0U "vector merge high" z13 zarch vx
1225e70000000061 vmrhb VRR_VVV "vector merge high byte" z13 zarch vx
1226e70000001061 vmrhh VRR_VVV "vector merge high halfword" z13 zarch vx
1227e70000002061 vmrhf VRR_VVV "vector merge high word" z13 zarch vx
1228e70000003061 vmrhg VRR_VVV "vector merge high double word" z13 zarch vx
1229e70000000060 vmrl VRR_VVV0U "vector merge low" z13 zarch vx
1230e70000000060 vmrlb VRR_VVV "vector merge low byte" z13 zarch vx
1231e70000001060 vmrlh VRR_VVV "vector merge low halfword" z13 zarch vx
1232e70000002060 vmrlf VRR_VVV "vector merge low word" z13 zarch vx
1233e70000003060 vmrlg VRR_VVV "vector merge low double word" z13 zarch vx
1234e70000000094 vpk VRR_VVV0U "vector pack" z13 zarch vx
1235e70000001094 vpkh VRR_VVV "vector pack halfword" z13 zarch vx
1236e70000002094 vpkf VRR_VVV "vector pack word" z13 zarch vx
1237e70000003094 vpkg VRR_VVV "vector pack double word" z13 zarch vx
1238e70000000097 vpks VRR_VVV0U0U "vector pack saturate" z13 zarch vx
1239e70000001097 vpksh VRR_VVV "vector pack saturate halfword" z13 zarch vx
1240e70000002097 vpksf VRR_VVV "vector pack saturate word" z13 zarch vx
1241e70000003097 vpksg VRR_VVV "vector pack saturate double word" z13 zarch vx
1242e70000101097 vpkshs VRR_VVV "vector pack saturate halfword" z13 zarch vx
1243e70000102097 vpksfs VRR_VVV "vector pack saturate word" z13 zarch vx
1244e70000103097 vpksgs VRR_VVV "vector pack saturate double word" z13 zarch vx
1245e70000000095 vpkls VRR_VVV0U0U "vector pack logical saturate" z13 zarch vx
1246e70000001095 vpklsh VRR_VVV "vector pack logical saturate halfword" z13 zarch vx
1247e70000002095 vpklsf VRR_VVV "vector pack logical saturate word" z13 zarch vx
1248e70000003095 vpklsg VRR_VVV "vector pack logical saturate double word" z13 zarch vx
1249e70000101095 vpklshs VRR_VVV "vector pack logical saturate halfword" z13 zarch vx
1250e70000102095 vpklsfs VRR_VVV "vector pack logical saturate word" z13 zarch vx
1251e70000103095 vpklsgs VRR_VVV "vector pack logical saturate double word" z13 zarch vx
1252e7000000008c vperm VRR_VVV0V "vector permute" z13 zarch vx
1253e70000000084 vpdi VRR_VVV0U "vector permute double word immediate" z13 zarch vx
1254e7000000004d vrep VRI_VVUU "vector replicate" z13 zarch vx
1255e7000000004d vrepb VRI_VVU "vector replicate byte" z13 zarch vx
1256e7000000104d vreph VRI_VVU "vector replicate halfword" z13 zarch vx
1257e7000000204d vrepf VRI_VVU "vector replicate word" z13 zarch vx
1258e7000000304d vrepg VRI_VVU "vector replicate double word" z13 zarch vx
1259e70000000045 vrepi VRI_V0IU "vector replicate immediate" z13 zarch vx
1260e70000000045 vrepib VRI_V0I "vector replicate immediate byte" z13 zarch vx
1261e70000001045 vrepih VRI_V0I "vector replicate immediate halfword" z13 zarch vx
1262e70000002045 vrepif VRI_V0I "vector replicate immediate word" z13 zarch vx
1263e70000003045 vrepig VRI_V0I "vector replicate immediate double word" z13 zarch vx
1264e7000000001b vscef VRV_VVXRDU "vector scatter element 4 byte" z13 zarch vx
1265e7000000001a vsceg VRV_VVXRDU "vector scatter element 8 byte" z13 zarch vx
1266e7000000008d vsel VRR_VVV0V "vector select" z13 zarch vx
1267e7000000005f vseg VRR_VV0U "vector sign extend to double word" z13 zarch vx
1268e7000000005f vsegb VRR_VV "vector sign extend byte to double word" z13 zarch vx
1269e7000000105f vsegh VRR_VV "vector sign extend halfword to double word" z13 zarch vx
1270e7000000205f vsegf VRR_VV "vector sign extend word to double word" z13 zarch vx
7ecc513a
DV
1271e70000000008 vsteb VRX_VRRDU "vector store byte element" z13 zarch vx
1272e70000000009 vsteh VRX_VRRDU "vector store halfword element" z13 zarch vx
1273e7000000000b vstef VRX_VRRDU "vector store word element" z13 zarch vx
1274e7000000000a vsteg VRX_VRRDU "vector store double word element" z13 zarch vx
7ecc513a
DV
1275e7000000003f vstl VRS_VRRD "vector store with length" z13 zarch vx
1276e700000000d7 vuph VRR_VV0U "vector unpack high" z13 zarch vx
1277e700000000d7 vuphb VRR_VV "vector unpack high byte" z13 zarch vx
1278e700000010d7 vuphh VRR_VV "vector unpack high halfword" z13 zarch vx
1279e700000020d7 vuphf VRR_VV "vector unpack high word" z13 zarch vx
1280e700000000d5 vuplh VRR_VV0U "vector unpack logical high" z13 zarch vx
1281e700000000d5 vuplhb VRR_VV "vector unpack logical high byte" z13 zarch vx
1282e700000010d5 vuplhh VRR_VV "vector unpack logical high halfword" z13 zarch vx
1283e700000020d5 vuplhf VRR_VV "vector unpack logical high word" z13 zarch vx
1284e700000000d6 vupl VRR_VV0U "vector unpack low" z13 zarch vx
1285e700000000d6 vuplb VRR_VV "vector unpack low byte" z13 zarch vx
1286e700000010d6 vuplhw VRR_VV "vector unpack low halfword" z13 zarch vx
1287e700000020d6 vuplf VRR_VV "vector unpack low word" z13 zarch vx
1288e700000000d4 vupll VRR_VV0U "vector unpack logical low" z13 zarch vx
1289e700000000d4 vupllb VRR_VV "vector unpack logical low byte" z13 zarch vx
1290e700000010d4 vupllh VRR_VV "vector unpack logical low halfword" z13 zarch vx
1291e700000020d4 vupllf VRR_VV "vector unpack logical low word" z13 zarch vx
1e2e8c52
AK
1292
1293# Chapter 22
7ecc513a
DV
1294e700000000f3 va VRR_VVV0U "vector add" z13 zarch vx
1295e700000000f3 vab VRR_VVV "vector add byte" z13 zarch vx
1296e700000010f3 vah VRR_VVV "vector add halfword" z13 zarch vx
1297e700000020f3 vaf VRR_VVV "vector add word" z13 zarch vx
1298e700000030f3 vag VRR_VVV "vector add double word" z13 zarch vx
1299e700000040f3 vaq VRR_VVV "vector add quad word" z13 zarch vx
1300e700000000f1 vacc VRR_VVV0U "vector add compute carry" z13 zarch vx
1301e700000000f1 vaccb VRR_VVV "vector add compute carry byte" z13 zarch vx
1302e700000010f1 vacch VRR_VVV "vector add compute carry halfword" z13 zarch vx
1303e700000020f1 vaccf VRR_VVV "vector add compute carry word" z13 zarch vx
1304e700000030f1 vaccg VRR_VVV "vector add compute carry doubleword" z13 zarch vx
1305e700000040f1 vaccq VRR_VVV "vector add compute carry quadword" z13 zarch vx
1306e700000000bb vac VRR_VVVU0V "vector add with carry" z13 zarch vx
1307e700040000bb vacq VRR_VVV0V "vector add with carry quadword" z13 zarch vx
1308e700000000b9 vaccc VRR_VVVU0V "vector add with carry compute carry" z13 zarch vx
1309e700040000b9 vacccq VRR_VVV0V "vector add with carry compute carry quadword" z13 zarch vx
1310e70000000068 vn VRR_VVV "vector and" z13 zarch vx
1311e70000000069 vnc VRR_VVV "vector and with complement" z13 zarch vx
1312e700000000f2 vavg VRR_VVV0U "vector average" z13 zarch vx
1313e700000000f2 vavgb VRR_VVV "vector average byte" z13 zarch vx
1314e700000010f2 vavgh VRR_VVV "vector average half word" z13 zarch vx
1315e700000020f2 vavgf VRR_VVV "vector average word" z13 zarch vx
1316e700000030f2 vavgg VRR_VVV "vector average double word" z13 zarch vx
1317e700000000f0 vavgl VRR_VVV0U "vector average logical" z13 zarch vx
1318e700000000f0 vavglb VRR_VVV "vector average logical byte" z13 zarch vx
1319e700000010f0 vavglh VRR_VVV "vector average logical half word" z13 zarch vx
1320e700000020f0 vavglf VRR_VVV "vector average logical word" z13 zarch vx
1321e700000030f0 vavglg VRR_VVV "vector average logical double word" z13 zarch vx
1322e70000000066 vcksm VRR_VVV "vector checksum" z13 zarch vx
1323e700000000db vec VRR_VV0U "vector element compare" z13 zarch vx
1324e700000000db vecb VRR_VV "vector element compare byte" z13 zarch vx
1325e700000010db vech VRR_VV "vector element compare half word" z13 zarch vx
1326e700000020db vecf VRR_VV "vector element compare word" z13 zarch vx
1327e700000030db vecg VRR_VV "vector element compare double word" z13 zarch vx
1328e700000000d9 vecl VRR_VV0U "vector element compare logical" z13 zarch vx
1329e700000000d9 veclb VRR_VV "vector element compare logical byte" z13 zarch vx
1330e700000010d9 veclh VRR_VV "vector element compare logical half word" z13 zarch vx
1331e700000020d9 veclf VRR_VV "vector element compare logical word" z13 zarch vx
1332e700000030d9 veclg VRR_VV "vector element compare logical double word" z13 zarch vx
1333e700000000f8 vceq VRR_VVV0U0U "vector compare equal" z13 zarch vx
1334e700000000f8 vceqb VRR_VVV "vector compare equal byte" z13 zarch vx
1335e700000010f8 vceqh VRR_VVV "vector compare equal half word" z13 zarch vx
1336e700000020f8 vceqf VRR_VVV "vector compare equal word" z13 zarch vx
1337e700000030f8 vceqg VRR_VVV "vector compare equal double word" z13 zarch vx
1338e700001000f8 vceqbs VRR_VVV "vector compare equal byte" z13 zarch vx
1339e700001010f8 vceqhs VRR_VVV "vector compare equal half word" z13 zarch vx
1340e700001020f8 vceqfs VRR_VVV "vector compare equal word" z13 zarch vx
1341e700001030f8 vceqgs VRR_VVV "vector compare equal double word" z13 zarch vx
1342e700000000fb vch VRR_VVV0U0U "vector compare high" z13 zarch vx
1343e700000000fb vchb VRR_VVV "vector compare high byte" z13 zarch vx
1344e700000010fb vchh VRR_VVV "vector compare high half word" z13 zarch vx
1345e700000020fb vchf VRR_VVV "vector compare high word" z13 zarch vx
1346e700000030fb vchg VRR_VVV "vector compare high double word" z13 zarch vx
1347e700001000fb vchbs VRR_VVV "vector compare high byte" z13 zarch vx
1348e700001010fb vchhs VRR_VVV "vector compare high half word" z13 zarch vx
1349e700001020fb vchfs VRR_VVV "vector compare high word" z13 zarch vx
1350e700001030fb vchgs VRR_VVV "vector compare high double word" z13 zarch vx
1351e700000000f9 vchl VRR_VVV0U0U "vector compare high logical" z13 zarch vx
1352e700000000f9 vchlb VRR_VVV "vector compare high logical byte" z13 zarch vx
1353e700000010f9 vchlh VRR_VVV "vector compare high logical half word" z13 zarch vx
1354e700000020f9 vchlf VRR_VVV "vector compare high logical word" z13 zarch vx
1355e700000030f9 vchlg VRR_VVV "vector compare high logical double word" z13 zarch vx
1356e700001000f9 vchlbs VRR_VVV "vector compare high logical byte" z13 zarch vx
1357e700001010f9 vchlhs VRR_VVV "vector compare high logical half word" z13 zarch vx
1358e700001020f9 vchlfs VRR_VVV "vector compare high logical word" z13 zarch vx
1359e700001030f9 vchlgs VRR_VVV "vector compare high logical double word" z13 zarch vx
1360e70000000053 vclz VRR_VV0U "vector count leading zeros" z13 zarch vx
1361e70000000053 vclzb VRR_VV "vector count leading zeros byte" z13 zarch vx
1362e70000001053 vclzh VRR_VV "vector count leading zeros halfword" z13 zarch vx
1363e70000002053 vclzf VRR_VV "vector count leading zeros word" z13 zarch vx
1364e70000003053 vclzg VRR_VV "vector count leading zeros doubleword" z13 zarch vx
1365e70000000052 vctz VRR_VV0U "vector count trailing zeros" z13 zarch vx
1366e70000000052 vctzb VRR_VV "vector count trailing zeros byte" z13 zarch vx
1367e70000001052 vctzh VRR_VV "vector count trailing zeros halfword" z13 zarch vx
1368e70000002052 vctzf VRR_VV "vector count trailing zeros word" z13 zarch vx
1369e70000003052 vctzg VRR_VV "vector count trailing zeros doubleword" z13 zarch vx
1370e7000000006d vx VRR_VVV "vector exclusive or" z13 zarch vx
1371e700000000b4 vgfm VRR_VVV0U "vector galois field multiply sum" z13 zarch vx
1372e700000000b4 vgfmb VRR_VVV "vector galois field multiply sum byte" z13 zarch vx
1373e700000010b4 vgfmh VRR_VVV "vector galois field multiply sum halfword" z13 zarch vx
1374e700000020b4 vgfmf VRR_VVV "vector galois field multiply sum word" z13 zarch vx
1375e700000030b4 vgfmg VRR_VVV "vector galois field multiply sum doubleword" z13 zarch vx
1376e700000000bc vgfma VRR_VVVU0V "vector galois field multiply sum and accumulate" z13 zarch vx
1377e700000000bc vgfmab VRR_VVV0V "vector galois field multiply sum and accumulate byte" z13 zarch vx
1378e700010000bc vgfmah VRR_VVV0V "vector galois field multiply sum and accumulate halfword" z13 zarch vx
1379e700020000bc vgfmaf VRR_VVV0V "vector galois field multiply sum and accumulate word" z13 zarch vx
1380e700030000bc vgfmag VRR_VVV0V "vector galois field multiply sum and accumulate doubleword" z13 zarch vx
1381e700000000de vlc VRR_VV0U "vector load complement" z13 zarch vx
1382e700000000de vlcb VRR_VV "vector load complement byte" z13 zarch vx
1383e700000010de vlch VRR_VV "vector load complement halfword" z13 zarch vx
1384e700000020de vlcf VRR_VV "vector load complement word" z13 zarch vx
1385e700000030de vlcg VRR_VV "vector load complement doubleword" z13 zarch vx
1386e700000000df vlp VRR_VV0U "vector load positive" z13 zarch vx
1387e700000000df vlpb VRR_VV "vector load positive byte" z13 zarch vx
1388e700000010df vlph VRR_VV "vector load positive halfword" z13 zarch vx
1389e700000020df vlpf VRR_VV "vector load positive word" z13 zarch vx
1390e700000030df vlpg VRR_VV "vector load positive doubleword" z13 zarch vx
1391e700000000ff vmx VRR_VVV0U "vector maximum" z13 zarch vx
1392e700000000ff vmxb VRR_VVV "vector maximum byte" z13 zarch vx
1393e700000010ff vmxh VRR_VVV "vector maximum halfword" z13 zarch vx
1394e700000020ff vmxf VRR_VVV "vector maximum word" z13 zarch vx
1395e700000030ff vmxg VRR_VVV "vector maximum doubleword" z13 zarch vx
1396e700000000fd vmxl VRR_VVV0U "vector maximum logical" z13 zarch vx
1397e700000000fd vmxlb VRR_VVV "vector maximum logical byte" z13 zarch vx
1398e700000010fd vmxlh VRR_VVV "vector maximum logical halfword" z13 zarch vx
1399e700000020fd vmxlf VRR_VVV "vector maximum logical word" z13 zarch vx
1400e700000030fd vmxlg VRR_VVV "vector maximum logical doubleword" z13 zarch vx
1401e700000000fe vmn VRR_VVV0U "vector minimum" z13 zarch vx
1402e700000000fe vmnb VRR_VVV "vector minimum byte" z13 zarch vx
1403e700000010fe vmnh VRR_VVV "vector minimum halfword" z13 zarch vx
1404e700000020fe vmnf VRR_VVV "vector minimum word" z13 zarch vx
1405e700000030fe vmng VRR_VVV "vector minimum doubleword" z13 zarch vx
1406e700000000fc vmnl VRR_VVV0U "vector minimum logical" z13 zarch vx
1407e700000000fc vmnlb VRR_VVV "vector minimum logical byte" z13 zarch vx
1408e700000010fc vmnlh VRR_VVV "vector minimum logical halfword" z13 zarch vx
1409e700000020fc vmnlf VRR_VVV "vector minimum logical word" z13 zarch vx
1410e700000030fc vmnlg VRR_VVV "vector minimum logical doubleword" z13 zarch vx
1411e700000000aa vmal VRR_VVVU0V "vector multiply and add low" z13 zarch vx
1412e700000000aa vmalb VRR_VVV0V "vector multiply and add low byte" z13 zarch vx
1413e700010000aa vmalhw VRR_VVV0V "vector multiply and add low halfword" z13 zarch vx
1414e700020000aa vmalf VRR_VVV0V "vector multiply and add low word" z13 zarch vx
1415e700000000ab vmah VRR_VVVU0V "vector multiply and add high" z13 zarch vx
1416e700000000ab vmahb VRR_VVV0V "vector multiply and add high byte" z13 zarch vx
1417e700010000ab vmahh VRR_VVV0V "vector multiply and add high halfword" z13 zarch vx
1418e700020000ab vmahf VRR_VVV0V "vector multiply and add high word" z13 zarch vx
1419e700000000a9 vmalh VRR_VVVU0V "vector multiply and add logical high" z13 zarch vx
1420e700000000a9 vmalhb VRR_VVV0V "vector multiply and add logical high byte" z13 zarch vx
1421e700010000a9 vmalhh VRR_VVV0V "vector multiply and add logical high halfword" z13 zarch vx
1422e700020000a9 vmalhf VRR_VVV0V "vector multiply and add logical high word" z13 zarch vx
1423e700000000ae vmae VRR_VVVU0V "vector multiply and add even" z13 zarch vx
1424e700000000ae vmaeb VRR_VVV0V "vector multiply and add even byte" z13 zarch vx
1425e700010000ae vmaeh VRR_VVV0V "vector multiply and add even halfword" z13 zarch vx
1426e700020000ae vmaef VRR_VVV0V "vector multiply and add even word" z13 zarch vx
1427e700000000ac vmale VRR_VVVU0V "vector multiply and add logical even" z13 zarch vx
1428e700000000ac vmaleb VRR_VVV0V "vector multiply and add logical even byte" z13 zarch vx
1429e700010000ac vmaleh VRR_VVV0V "vector multiply and add logical even halfword" z13 zarch vx
1430e700020000ac vmalef VRR_VVV0V "vector multiply and add logical even word" z13 zarch vx
1431e700000000af vmao VRR_VVVU0V "vector multiply and add odd" z13 zarch vx
1432e700000000af vmaob VRR_VVV0V "vector multiply and add odd byte" z13 zarch vx
1433e700010000af vmaoh VRR_VVV0V "vector multiply and add odd halfword" z13 zarch vx
1434e700020000af vmaof VRR_VVV0V "vector multiply and add odd word" z13 zarch vx
1435e700000000ad vmalo VRR_VVVU0V "vector multiply and add logical odd" z13 zarch vx
1436e700000000ad vmalob VRR_VVV0V "vector multiply and add logical odd byte" z13 zarch vx
1437e700010000ad vmaloh VRR_VVV0V "vector multiply and add logical odd halfword" z13 zarch vx
1438e700020000ad vmalof VRR_VVV0V "vector multiply and add logical odd word" z13 zarch vx
1439e700000000a3 vmh VRR_VVV0U "vector multiply high" z13 zarch vx
1440e700000000a3 vmhb VRR_VVV "vector multiply high byte" z13 zarch vx
1441e700000010a3 vmhh VRR_VVV "vector multiply high halfword" z13 zarch vx
1442e700000020a3 vmhf VRR_VVV "vector multiply high word" z13 zarch vx
1443e700000000a1 vmlh VRR_VVV0U "vector multiply logical high" z13 zarch vx
1444e700000000a1 vmlhb VRR_VVV "vector multiply logical high byte" z13 zarch vx
1445e700000010a1 vmlhh VRR_VVV "vector multiply logical high halfword" z13 zarch vx
1446e700000020a1 vmlhf VRR_VVV "vector multiply logical high word" z13 zarch vx
1447e700000000a2 vml VRR_VVV0U "vector multiply low" z13 zarch vx
1448e700000000a2 vmlb VRR_VVV "vector multiply low byte" z13 zarch vx
1449e700000010a2 vmlhw VRR_VVV "vector multiply low halfword" z13 zarch vx
1450e700000020a2 vmlf VRR_VVV "vector multiply low word" z13 zarch vx
1451e700000000a6 vme VRR_VVV0U "vector multiply even" z13 zarch vx
1452e700000000a6 vmeb VRR_VVV "vector multiply even byte" z13 zarch vx
1453e700000010a6 vmeh VRR_VVV "vector multiply even halfword" z13 zarch vx
1454e700000020a6 vmef VRR_VVV "vector multiply even word" z13 zarch vx
1455e700000000a4 vmle VRR_VVV0U "vector multiply logical even" z13 zarch vx
1456e700000000a4 vmleb VRR_VVV "vector multiply logical even byte" z13 zarch vx
1457e700000010a4 vmleh VRR_VVV "vector multiply logical even halfword" z13 zarch vx
1458e700000020a4 vmlef VRR_VVV "vector multiply logical even word" z13 zarch vx
1459e700000000a7 vmo VRR_VVV0U "vector multiply odd" z13 zarch vx
1460e700000000a7 vmob VRR_VVV "vector multiply odd byte" z13 zarch vx
1461e700000010a7 vmoh VRR_VVV "vector multiply odd halfword" z13 zarch vx
1462e700000020a7 vmof VRR_VVV "vector multiply odd word" z13 zarch vx
1463e700000000a5 vmlo VRR_VVV0U "vector multiply logical odd" z13 zarch vx
1464e700000000a5 vmlob VRR_VVV "vector multiply logical odd byte" z13 zarch vx
1465e700000010a5 vmloh VRR_VVV "vector multiply logical odd halfword" z13 zarch vx
1466e700000020a5 vmlof VRR_VVV "vector multiply logical odd word" z13 zarch vx
1467e7000000006b vno VRR_VVV "vector nor" z13 zarch vx
1468e7000000006b vnot VRR_VVV2 "vector not" z13 zarch vx
1469e7000000006a vo VRR_VVV "vector or" z13 zarch vx
1470e70000000050 vpopct VRR_VV0U "vector population count" z13 zarch vx
1471e70000000073 verllv VRR_VVV0U "vector element rotate left logical reg" z13 zarch vx
1472e70000000073 verllvb VRR_VVV "vector element rotate left logical reg byte" z13 zarch vx
1473e70000001073 verllvh VRR_VVV "vector element rotate left logical reg halfword" z13 zarch vx
1474e70000002073 verllvf VRR_VVV "vector element rotate left logical reg word" z13 zarch vx
1475e70000003073 verllvg VRR_VVV "vector element rotate left logical reg doubleword" z13 zarch vx
1476e70000000033 verll VRS_VVRDU "vector element rotate left logical mem" z13 zarch vx
1477e70000000033 verllb VRS_VVRD "vector element rotate left logical mem byte" z13 zarch vx
1478e70000001033 verllh VRS_VVRD "vector element rotate left logical mem halfword" z13 zarch vx
1479e70000002033 verllf VRS_VVRD "vector element rotate left logical mem word" z13 zarch vx
1480e70000003033 verllg VRS_VVRD "vector element rotate left logical mem doubleword" z13 zarch vx
1481e70000000072 verim VRI_VVV0UU "vector element rotate and insert under mask" z13 zarch vx
1482e70000000072 verimb VRI_VVV0U "vector element rotate and insert under mask byte" z13 zarch vx
1483e70000001072 verimh VRI_VVV0U "vector element rotate and insert under mask halfword" z13 zarch vx
1484e70000002072 verimf VRI_VVV0U "vector element rotate and insert under mask word" z13 zarch vx
1485e70000003072 verimg VRI_VVV0U "vector element rotate and insert under mask doubleword" z13 zarch vx
1486e70000000070 veslv VRR_VVV0U "vector element shift left reg" z13 zarch vx
1487e70000000070 veslvb VRR_VVV "vector element shift left reg byte" z13 zarch vx
1488e70000001070 veslvh VRR_VVV "vector element shift left reg halfword" z13 zarch vx
1489e70000002070 veslvf VRR_VVV "vector element shift left reg word" z13 zarch vx
1490e70000003070 veslvg VRR_VVV "vector element shift left reg doubleword" z13 zarch vx
1491e70000000030 vesl VRS_VVRDU "vector element shift left mem" z13 zarch vx
1492e70000000030 veslb VRS_VVRD "vector element shift left mem byte" z13 zarch vx
1493e70000001030 veslh VRS_VVRD "vector element shift left mem halfword" z13 zarch vx
1494e70000002030 veslf VRS_VVRD "vector element shift left mem word" z13 zarch vx
1495e70000003030 veslg VRS_VVRD "vector element shift left mem doubleword" z13 zarch vx
1496e7000000007a vesrav VRR_VVV0U "vector element shift right arithmetic reg" z13 zarch vx
1497e7000000007a vesravb VRR_VVV "vector element shift right arithmetic reg byte" z13 zarch vx
1498e7000000107a vesravh VRR_VVV "vector element shift right arithmetic reg halfword" z13 zarch vx
1499e7000000207a vesravf VRR_VVV "vector element shift right arithmetic reg word" z13 zarch vx
1500e7000000307a vesravg VRR_VVV "vector element shift right arithmetic reg doubleword" z13 zarch vx
1501e7000000003a vesra VRS_VVRDU "vector element shift right arithmetic mem" z13 zarch vx
1502e7000000003a vesrab VRS_VVRD "vector element shift right arithmetic mem byte" z13 zarch vx
1503e7000000103a vesrah VRS_VVRD "vector element shift right arithmetic mem halfword" z13 zarch vx
1504e7000000203a vesraf VRS_VVRD "vector element shift right arithmetic mem word" z13 zarch vx
1505e7000000303a vesrag VRS_VVRD "vector element shift right arithmetic mem doubleword" z13 zarch vx
1506e70000000078 vesrlv VRR_VVV0U "vector element shift right logical reg" z13 zarch vx
1507e70000000078 vesrlvb VRR_VVV "vector element shift right logical reg byte" z13 zarch vx
1508e70000001078 vesrlvh VRR_VVV "vector element shift right logical reg halfword" z13 zarch vx
1509e70000002078 vesrlvf VRR_VVV "vector element shift right logical reg word" z13 zarch vx
1510e70000003078 vesrlvg VRR_VVV "vector element shift right logical reg doubleword" z13 zarch vx
1511e70000000038 vesrl VRS_VVRDU "vector element shift right logical mem" z13 zarch vx
1512e70000000038 vesrlb VRS_VVRD "vector element shift right logical mem byte" z13 zarch vx
1513e70000001038 vesrlh VRS_VVRD "vector element shift right logical mem halfword" z13 zarch vx
1514e70000002038 vesrlf VRS_VVRD "vector element shift right logical mem word" z13 zarch vx
1515e70000003038 vesrlg VRS_VVRD "vector element shift right logical mem doubleword" z13 zarch vx
1516e70000000074 vsl VRR_VVV "vector shift left" z13 zarch vx
1517e70000000075 vslb VRR_VVV "vector shift left by byte" z13 zarch vx
1518e70000000077 vsldb VRI_VVV0U "vector shift left double by byte" z13 zarch vx
1519e7000000007e vsra VRR_VVV "vector shift right arithmetic" z13 zarch vx
1520e7000000007f vsrab VRR_VVV "vector shift right arithmetic by byte" z13 zarch vx
1521e7000000007c vsrl VRR_VVV "vector shift right logical" z13 zarch vx
1522e7000000007d vsrlb VRR_VVV "vector shift right logical by byte" z13 zarch vx
1523e700000000f7 vs VRR_VVV0U "vector subtract" z13 zarch vx
1524e700000000f7 vsb VRR_VVV "vector subtract byte" z13 zarch vx
1525e700000010f7 vsh VRR_VVV "vector subtract halfword" z13 zarch vx
1526e700000020f7 vsf VRR_VVV "vector subtract word" z13 zarch vx
1527e700000030f7 vsg VRR_VVV "vector subtract doubleword" z13 zarch vx
1528e700000040f7 vsq VRR_VVV "vector subtract quadword" z13 zarch vx
1529e700000000f5 vscbi VRR_VVV0U "vector subtract compute borrow indication" z13 zarch vx
1530e700000000f5 vscbib VRR_VVV "vector subtract compute borrow indication byte" z13 zarch vx
1531e700000010f5 vscbih VRR_VVV "vector subtract compute borrow indication halfword" z13 zarch vx
1532e700000020f5 vscbif VRR_VVV "vector subtract compute borrow indication word" z13 zarch vx
1533e700000030f5 vscbig VRR_VVV "vector subtract compute borrow indication doubleword" z13 zarch vx
1534e700000040f5 vscbiq VRR_VVV "vector subtract compute borrow indication quadword" z13 zarch vx
1535e700000000bf vsbi VRR_VVVU0V "vector subtract with borrow indication" z13 zarch vx
1536e700040000bf vsbiq VRR_VVV0V "vector subtract with borrow indication quadword" z13 zarch vx
1537e700000000bd vsbcbi VRR_VVVU0V "vector subtract with borrow compute borrow indication" z13 zarch vx
1538e700040000bd vsbcbiq VRR_VVV0V "vector subtract with borrow compute borrow indication quadword" z13 zarch vx
1539e70000000065 vsumg VRR_VVV0U "vector sum across doubleword" z13 zarch vx
1540e70000001065 vsumgh VRR_VVV "vector sum across doubleword - halfword" z13 zarch vx
1541e70000002065 vsumgf VRR_VVV "vector sum across doubleword - word" z13 zarch vx
1542e70000000067 vsumq VRR_VVV0U "vector sum across quadword" z13 zarch vx
1543e70000002067 vsumqf VRR_VVV "vector sum across quadword - word elements" z13 zarch vx
1544e70000003067 vsumqg VRR_VVV "vector sum across quadword - doubleword elements" z13 zarch vx
1545e70000000064 vsum VRR_VVV0U "vector sum across word" z13 zarch vx
1546e70000000064 vsumb VRR_VVV "vector sum across word - byte elements" z13 zarch vx
1547e70000001064 vsumh VRR_VVV "vector sum across word - halfword elements" z13 zarch vx
1548e700000000d8 vtm VRR_VV "vector test under mask" z13 zarch vx
1e2e8c52
AK
1549
1550# Chapter 23 - Vector String Instructions
7ecc513a
DV
1551e70000000082 vfae VRR_VVV0U0U "vector find any element equal" z13 zarch optparm,vx
1552e70000000082 vfaeb VRR_VVV0U0 "vector find any element equal byte" z13 zarch optparm,vx
1553e70000001082 vfaeh VRR_VVV0U0 "vector find any element equal halfword" z13 zarch optparm,vx
1554e70000002082 vfaef VRR_VVV0U0 "vector find any element equal word" z13 zarch optparm,vx
1555e70000100082 vfaebs VRR_VVV0U1 "vector find any element equal" z13 zarch optparm,vx
1556e70000101082 vfaehs VRR_VVV0U1 "vector find any element equal" z13 zarch optparm,vx
1557e70000102082 vfaefs VRR_VVV0U1 "vector find any element equal" z13 zarch optparm,vx
1558e70000200082 vfaezb VRR_VVV0U2 "vector find any element equal" z13 zarch optparm,vx
1559e70000201082 vfaezh VRR_VVV0U2 "vector find any element equal" z13 zarch optparm,vx
1560e70000202082 vfaezf VRR_VVV0U2 "vector find any element equal" z13 zarch optparm,vx
1561e70000300082 vfaezbs VRR_VVV0U3 "vector find any element equal" z13 zarch optparm,vx
1562e70000301082 vfaezhs VRR_VVV0U3 "vector find any element equal" z13 zarch optparm,vx
1563e70000302082 vfaezfs VRR_VVV0U3 "vector find any element equal" z13 zarch optparm,vx
1564e70000000080 vfee VRR_VVV0U0U "vector find element equal" z13 zarch optparm,vx
1565e70000000080 vfeeb VRR_VVV0U0 "vector find element equal byte" z13 zarch optparm,vx
1566e70000001080 vfeeh VRR_VVV0U0 "vector find element equal halfword" z13 zarch optparm,vx
1567e70000002080 vfeef VRR_VVV0U0 "vector find element equal word" z13 zarch optparm,vx
1568e70000100080 vfeebs VRR_VVV "vector find element equal byte" z13 zarch vx
1569e70000101080 vfeehs VRR_VVV "vector find element equal halfword" z13 zarch vx
1570e70000102080 vfeefs VRR_VVV "vector find element equal word" z13 zarch vx
1571e70000200080 vfeezb VRR_VVV "vector find element equal byte" z13 zarch vx
1572e70000201080 vfeezh VRR_VVV "vector find element equal halfword" z13 zarch vx
1573e70000202080 vfeezf VRR_VVV "vector find element equal word" z13 zarch vx
1574e70000300080 vfeezbs VRR_VVV "vector find element equal byte" z13 zarch vx
1575e70000301080 vfeezhs VRR_VVV "vector find element equal halfword" z13 zarch vx
1576e70000302080 vfeezfs VRR_VVV "vector find element equal word" z13 zarch vx
1577e70000000081 vfene VRR_VVV0U0U "vector find element not equal" z13 zarch optparm,vx
1578e70000000081 vfeneb VRR_VVV0U0 "vector find element not equal byte" z13 zarch optparm,vx
1579e70000001081 vfeneh VRR_VVV0U0 "vector find element not equal halfword" z13 zarch optparm,vx
1580e70000002081 vfenef VRR_VVV0U0 "vector find element not equal word" z13 zarch optparm,vx
1581e70000100081 vfenebs VRR_VVV "vector find element not equal byte" z13 zarch vx
1582e70000101081 vfenehs VRR_VVV "vector find element not equal halfword" z13 zarch vx
1583e70000102081 vfenefs VRR_VVV "vector find element not equal word" z13 zarch vx
1584e70000200081 vfenezb VRR_VVV "vector find element not equal byte" z13 zarch vx
1585e70000201081 vfenezh VRR_VVV "vector find element not equal halfword" z13 zarch vx
1586e70000202081 vfenezf VRR_VVV "vector find element not equal word" z13 zarch vx
1587e70000300081 vfenezbs VRR_VVV "vector find element not equal byte" z13 zarch vx
1588e70000301081 vfenezhs VRR_VVV "vector find element not equal halfword" z13 zarch vx
1589e70000302081 vfenezfs VRR_VVV "vector find element not equal word" z13 zarch vx
1590e7000000005c vistr VRR_VV0U0U "vector isolate string" z13 zarch optparm,vx
1591e7000000005c vistrb VRR_VV0U2 "vector isolate string byte" z13 zarch optparm,vx
1592e7000000105c vistrh VRR_VV0U2 "vector isolate string halfword" z13 zarch optparm,vx
1593e7000000205c vistrf VRR_VV0U2 "vector isolate string word" z13 zarch optparm,vx
1594e7000010005c vistrbs VRR_VV "vector isolate string byte" z13 zarch vx
1595e7000010105c vistrhs VRR_VV "vector isolate string halfword" z13 zarch vx
1596e7000010205c vistrfs VRR_VV "vector isolate string word" z13 zarch vx
1597e7000000008a vstrc VRR_VVVUU0V "vector string range compare" z13 zarch optparm,vx
1598e7000000008a vstrcb VRR_VVVU0VB "vector string range compare byte" z13 zarch optparm,vx
1599e7000100008a vstrch VRR_VVVU0VB "vector string range compare halfword" z13 zarch optparm,vx
1600e7000200008a vstrcf VRR_VVVU0VB "vector string range compare word" z13 zarch optparm,vx
1601e7000010008a vstrcbs VRR_VVVU0VB1 "vector string range compare byte" z13 zarch optparm,vx
1602e7000110008a vstrchs VRR_VVVU0VB1 "vector string range compare halfword" z13 zarch optparm,vx
1603e7000210008a vstrcfs VRR_VVVU0VB1 "vector string range compare word" z13 zarch optparm,vx
1604e7000020008a vstrczb VRR_VVVU0VB2 "vector string range compare byte" z13 zarch optparm,vx
1605e7000120008a vstrczh VRR_VVVU0VB2 "vector string range compare halfword" z13 zarch optparm,vx
1606e7000220008a vstrczf VRR_VVVU0VB2 "vector string range compare word" z13 zarch optparm,vx
1607e7000030008a vstrczbs VRR_VVVU0VB3 "vector string range compare byte" z13 zarch optparm,vx
1608e7000130008a vstrczhs VRR_VVVU0VB3 "vector string range compare halfword" z13 zarch optparm,vx
1609e7000230008a vstrczfs VRR_VVVU0VB3 "vector string range compare word" z13 zarch optparm,vx
1e2e8c52
AK
1610
1611# Chapter 24
7ecc513a 1612e700000000e3 vfa VRR_VVV0UU "vector fp add" z13 zarch vx
64025b4e
AK
1613e700000030e3 vfadb VRR_VVV "vector fp add long" z13 zarch vx
1614e700000830e3 wfadb VRR_VVV "vector fp add long" z13 zarch vx
7ecc513a 1615e700000000cb wfc VRR_VV0UU2 "vector fp compare scalar" z13 zarch vx
64025b4e 1616e700000030cb wfcdb VRR_VV "vector fp compare scalar long" z13 zarch vx
7ecc513a 1617e700000000ca wfk VRR_VV0UU2 "vector fp compare and signal scalar" z13 zarch vx
64025b4e 1618e700000030ca wfkdb VRR_VV "vector fp compare and signal scalar long" z13 zarch vx
7ecc513a 1619e700000000e8 vfce VRR_VVV0UUU "vector fp compare equal" z13 zarch vx
64025b4e
AK
1620e700000030e8 vfcedb VRR_VVV "vector fp compare equal long" z13 zarch vx
1621e700001030e8 vfcedbs VRR_VVV "vector fp compare equal long" z13 zarch vx
1622e700000830e8 wfcedb VRR_VVV "vector fp compare equal long" z13 zarch vx
1623e700001830e8 wfcedbs VRR_VVV "vector fp compare equal long" z13 zarch vx
7ecc513a 1624e700000000eb vfch VRR_VVV0UUU "vector fp compare high" z13 zarch vx
64025b4e
AK
1625e700000030eb vfchdb VRR_VVV "vector fp compare high long" z13 zarch vx
1626e700001030eb vfchdbs VRR_VVV "vector fp compare high long" z13 zarch vx
1627e700000830eb wfchdb VRR_VVV "vector fp compare high long" z13 zarch vx
1628e700001830eb wfchdbs VRR_VVV "vector fp compare high long" z13 zarch vx
7ecc513a 1629e700000000ea vfche VRR_VVV0UUU "vector fp compare high or equal" z13 zarch vx
64025b4e
AK
1630e700000030ea vfchedb VRR_VVV "vector fp compare high or equal long" z13 zarch vx
1631e700001030ea vfchedbs VRR_VVV "vector fp compare high or equal long" z13 zarch vx
1632e700000830ea wfchedb VRR_VVV "vector fp compare high or equal long" z13 zarch vx
1633e700001830ea wfchedbs VRR_VVV "vector fp compare high or equal long" z13 zarch vx
7ecc513a
DV
1634e700000000c3 vcdg VRR_VV0UUU "vector fp convert from fixed 64 bit" z13 zarch vx
1635e700000030c3 vcdgb VRR_VV0UU "vector fp convert from fixed 64 bit" z13 zarch vx
1636e700000830c3 wcdgb VRR_VV0UU8 "vector fp convert from fixed 64 bit" z13 zarch vx
1637e700000000c1 vcdlg VRR_VV0UUU "vector fp convert from logical 64 bit" z13 zarch vx
1638e700000030c1 vcdlgb VRR_VV0UU "vector fp convert from logical 64 bit" z13 zarch vx
1639e700000830c1 wcdlgb VRR_VV0UU8 "vector fp convert from logical 64 bit" z13 zarch vx
1640e700000000c2 vcgd VRR_VV0UUU "vector fp convert to fixed 64 bit" z13 zarch vx
1641e700000030c2 vcgdb VRR_VV0UU "vector fp convert to fixed 64 bit" z13 zarch vx
1642e700000830c2 wcgdb VRR_VV0UU8 "vector fp convert to fixed 64 bit" z13 zarch vx
1643e700000000c0 vclgd VRR_VV0UUU "vector fp convert to logical 64 bit" z13 zarch vx
1644e700000030c0 vclgdb VRR_VV0UU "vector fp convert to logical 64 bit" z13 zarch vx
1645e700000830c0 wclgdb VRR_VV0UU8 "vector fp convert to logical 64 bit" z13 zarch vx
1646e700000000e5 vfd VRR_VVV0UU "vector fp divide" z13 zarch vx
64025b4e
AK
1647e700000030e5 vfddb VRR_VVV "vector fp divide long" z13 zarch vx
1648e700000830e5 wfddb VRR_VVV "vector fp divide long" z13 zarch vx
7ecc513a 1649e700000000c7 vfi VRR_VV0UUU "vector load fp integer" z13 zarch vx
64025b4e
AK
1650e700000030c7 vfidb VRR_VV0UU "vector load fp integer long" z13 zarch vx
1651e700000830c7 wfidb VRR_VV0UU8 "vector load fp integer long" z13 zarch vx
7ecc513a 1652e700000000c4 vlde VRR_VV0UU2 "vector fp load lengthened" z13 zarch vx
64025b4e
AK
1653e700000020c4 vldeb VRR_VV "vector fp load lengthened short to long" z13 zarch vx
1654e700000820c4 wldeb VRR_VV "vector fp load lengthened short to long" z13 zarch vx
7ecc513a 1655e700000000c5 vled VRR_VV0UUU "vector fp load rounded" z13 zarch vx
64025b4e
AK
1656e700000030c5 vledb VRR_VV0UU "vector fp load rounded long to short" z13 zarch vx
1657e700000830c5 wledb VRR_VV0UU8 "vector fp load rounded long to short" z13 zarch vx
7ecc513a 1658e700000000e7 vfm VRR_VVV0UU "vector fp multiply" z13 zarch vx
64025b4e
AK
1659e700000030e7 vfmdb VRR_VVV "vector fp multiply long" z13 zarch vx
1660e700000830e7 wfmdb VRR_VVV "vector fp multiply long" z13 zarch vx
7ecc513a 1661e7000000008f vfma VRR_VVVU0UV "vector fp multiply and add" z13 zarch vx
64025b4e
AK
1662e7000300008f vfmadb VRR_VVVV "vector fp multiply and add long" z13 zarch vx
1663e7000308008f wfmadb VRR_VVVV "vector fp multiply and add long" z13 zarch vx
7ecc513a 1664e7000000008e vfms VRR_VVVU0UV "vector fp multiply and subtract" z13 zarch vx
64025b4e
AK
1665e7000300008e vfmsdb VRR_VVVV "vector fp multiply and subtract long" z13 zarch vx
1666e7000308008e wfmsdb VRR_VVVV "vector fp multiply and subtract long" z13 zarch vx
7ecc513a 1667e700000000cc vfpso VRR_VV0UUU "vector fp perform sign operation" z13 zarch vx
64025b4e
AK
1668e700000030cc vfpsodb VRR_VV0U2 "vector fp perform sign operation long" z13 zarch vx
1669e700000830cc wfpsodb VRR_VV0U2 "vector fp perform sign operation long" z13 zarch vx
1670e700000030cc vflcdb VRR_VV "vector fp perform sign operation long" z13 zarch vx
1671e700000830cc wflcdb VRR_VV "vector fp perform sign operation long" z13 zarch vx
1672e700001030cc vflndb VRR_VV "vector fp perform sign operation long" z13 zarch vx
1673e700001830cc wflndb VRR_VV "vector fp perform sign operation long" z13 zarch vx
1674e700002030cc vflpdb VRR_VV "vector fp perform sign operation long" z13 zarch vx
1675e700002830cc wflpdb VRR_VV "vector fp perform sign operation long" z13 zarch vx
7ecc513a 1676e700000000ce vfsq VRR_VV0UU2 "vector fp square root" z13 zarch vx
64025b4e
AK
1677e700000030ce vfsqdb VRR_VV "vector fp square root long" z13 zarch vx
1678e700000830ce wfsqdb VRR_VV "vector fp square root long" z13 zarch vx
7ecc513a 1679e700000000e2 vfs VRR_VVV0UU "vector fp subtract" z13 zarch vx
64025b4e
AK
1680e700000030e2 vfsdb VRR_VVV "vector fp subtract long" z13 zarch vx
1681e700000830e2 wfsdb VRR_VVV "vector fp subtract long" z13 zarch vx
7ecc513a
DV
1682e7000000004a vftci VRI_VVUUU "vector fp test data class immediate" z13 zarch vx
1683e7000000304a vftcidb VRI_VVU2 "vector fp test data class immediate" z13 zarch vx
1684e7000008304a wftcidb VRI_VVU2 "vector fp test data class immediate" z13 zarch vx
6b1d7593
AK
1685
1686ed00000000ae cdpt RSL_LRDFU "convert from packed to long dfp" z13 zarch
1687ed00000000af cxpt RSL_LRDFEU "convert from packed to extended dfp" z13 zarch
1688ed00000000ac cpdt RSL_LRDFU "convert from long dfp to packed" z13 zarch
1689ed00000000ad cpxt RSL_LRDFEU "convert from extended dfp to packed" z13 zarch
1690
1691b9e0 locfhr RRF_U0RR "load high on condition from gpr" z13 zarch
d660d565 1692b9e00000 locfhr*16 RRF_00RR "load high on condition from gpr" z13 zarch
6b1d7593
AK
1693eb00000000e0 locfh RSY_RURD2 "load high on condition from memory" z13 zarch
1694eb00000000e0 locfh*12 RSY_R0RD "load high on condition from memory" z13 zarch
1695ec0000000042 lochi RIE_RUI0 "load halfword immediate on condition into 32 bit gpr" z13 zarch
1696ec0000000042 lochi*12 RIE_R0I0 "load halfword immediate on condition into 32 bit gpr" z13 zarch
1697ec0000000046 locghi RIE_RUI0 "load halfword immediate on condition into 64 bit gpr" z13 zarch
1698ec0000000046 locghi*12 RIE_R0I0 "load halfword immediate on condition into 64 bit gpr" z13 zarch
1699ec000000004e lochhi RIE_RUI0 "load halfword high immediate on condition" z13 zarch
1700ec000000004e lochhi*12 RIE_R0I0 "load halfword high immediate on condition" z13 zarch
1701eb00000000e1 stocfh RSY_RURD2 "store high on condition" z13 zarch
1702eb00000000e1 stocfh*12 RSY_R0RD "store high on condition" z13 zarch
1703
1704e3000000003a llzrgf RXY_RRRD "load logical and zero rightmost bytes 32->64" z13 zarch
1705e3000000003b lzrf RXY_RRRD "load and zero rightmost byte 32->32" z13 zarch
1706e3000000002a lzrg RXY_RRRD "load and zero rightmost byte 64->64" z13 zarch
c694bafc 1707b93c ppno RRE_RR "perform pseudorandom number operation" z13 zarch
64025b4e 1708
f687f5f5
SSF
1709# Aligned vector store hints
1710
1711e70000000006 vl VRX_VRRDU "vector memory load" z13 zarch optparm,vx
1712e70000000036 vlm VRS_VVRDU "vector load multiple" z13 zarch optparm,vx
1713e7000000000e vst VRX_VRRDU "vector store" z13 zarch optparm,vx
1714e7000000003e vstm VRS_VVRDU "vector store multiple" z13 zarch optparm,vx
1715
64025b4e
AK
1716# arch12 instructions
1717
1718# Vector Enhancements Facility 1
1719
1720e70000000085 vbperm VRR_VVV "vector bit permute" arch12 zarch
2253c8f0
AK
1721e70000006004 vllezlf VRX_VRRD "vector load logical word element and zero - left aligned" arch12 zarch
1722e700000000b8 vmsl VRR_VVVUU0V "vector multiply sum logical" arch12 zarch
1723e700030000b8 vmslg VRR_VVVU0VB "vector multiply sum logical double word" arch12 zarch
1724e7000000006c vnx VRR_VVV "vector not exclusive or" arch12 zarch
64025b4e 1725e7000000006e vnn VRR_VVV "vector nand" arch12 zarch
2253c8f0
AK
1726e7000000006f voc VRR_VVV "vector or with complement" arch12 zarch
1727e70000000050 vpopctb VRR_VV "vector population count byte" arch12 zarch
1728e70000001050 vpopcth VRR_VV "vector population count halfword" arch12 zarch
1729e70000002050 vpopctf VRR_VV "vector population count word" arch12 zarch
1730e70000003050 vpopctg VRR_VV "vector population count double word" arch12 zarch
1731e700000020e3 vfasb VRR_VVV "vector fp add short" arch12 zarch
1732e700000820e3 wfasb VRR_VVV "scalar vector fp add scalar short" arch12 zarch
1733e700000840e3 wfaxb VRR_VVV "scalar vector fp add scalar extended" arch12 zarch
1734e700000020cb wfcsb VRR_VV "scalar vector fp compare scalar short" arch12 zarch
1735e700000040cb wfcxb VRR_VV "scalar vector fp compare scalar extended" arch12 zarch
1736e700000020ca wfksb VRR_VV "scalar vector fp compare and signal scalar short" arch12 zarch
1737e700000040ca wfkxb VRR_VV "scalar vector fp compare and signal scalar extended" arch12 zarch
64025b4e 1738
2253c8f0
AK
1739e700000020e8 vfcesb VRR_VVV "vector fp compare equal short" arch12 zarch
1740e700001020e8 vfcesbs VRR_VVV "vector fp compare equal short" arch12 zarch
1741e700000820e8 wfcesb VRR_VVV "scalar vector fp compare equal scalar short" arch12 zarch
1742e700001820e8 wfcesbs VRR_VVV "scalar fp compare equal scalar short" arch12 zarch
1743e700000840e8 wfcexb VRR_VVV "scalar vector fp compare equal scalar extended" arch12 zarch
1744e700001840e8 wfcexbs VRR_VVV "scalar vector fp compare equal scalar extended" arch12 zarch
64025b4e 1745
2253c8f0
AK
1746e700000420e8 vfkesb VRR_VVV "vector fp compare and signal equal short" arch12 zarch
1747e700001420e8 vfkesbs VRR_VVV "vector fp compare and signal equal short" arch12 zarch
1748e700000c20e8 wfkesb VRR_VVV "scalar vector fp compare and signal equal scalar short" arch12 zarch
1749e700001c20e8 wfkesbs VRR_VVV "scalar fp compare and signal equal scalar short" arch12 zarch
64025b4e
AK
1750e700000430e8 vfkedb VRR_VVV "vector fp compare and signal equal long" arch12 zarch vx
1751e700001430e8 vfkedbs VRR_VVV "vector fp compare and signal equal long" arch12 zarch vx
1752e700000c30e8 wfkedb VRR_VVV "vector fp compare and signal equal long" arch12 zarch vx
1753e700001c30e8 wfkedbs VRR_VVV "vector fp compare and signal equal long" arch12 zarch vx
2253c8f0
AK
1754e700000c40e8 wfkexb VRR_VVV "scalar vector fp compare and signal equal scalar extended" arch12 zarch
1755e700001c40e8 wfkexbs VRR_VVV "scalar vector fp compare and signal equal scalar extended" arch12 zarch
64025b4e 1756
2253c8f0
AK
1757e700000020eb vfchsb VRR_VVV "vector fp compare high short" arch12 zarch
1758e700001020eb vfchsbs VRR_VVV "vector fp compare high short" arch12 zarch
1759e700000820eb wfchsb VRR_VVV "scalar vector fp compare high scalar short" arch12 zarch
1760e700001820eb wfchsbs VRR_VVV "scalar vector fp compare high scalar short" arch12 zarch
1761e700000840eb wfchxb VRR_VVV "scalar vector fp compare high scalar extended" arch12 zarch
1762e700001840eb wfchxbs VRR_VVV "scalar vector fp compare high scalar extended" arch12 zarch
64025b4e 1763
2253c8f0
AK
1764e700000420eb vfkhsb VRR_VVV "vector fp compare and signal high short" arch12 zarch
1765e700001420eb vfkhsbs VRR_VVV "vector fp compare and signal high short" arch12 zarch
1766e700000c20eb wfkhsb VRR_VVV "scalar vector fp compare and signal high scalar short" arch12 zarch
1767e700001c20eb wfkhsbs VRR_VVV "scalar vector fp compare and signal high scalar short" arch12 zarch
64025b4e
AK
1768e700000430eb vfkhdb VRR_VVV "vector fp compare and signal high long" arch12 zarch vx
1769e700001430eb vfkhdbs VRR_VVV "vector fp compare and signal high long" arch12 zarch vx
1770e700000c30eb wfkhdb VRR_VVV "vector fp compare and signal high long" arch12 zarch vx
1771e700001c30eb wfkhdbs VRR_VVV "vector fp compare and signal high long" arch12 zarch vx
2253c8f0
AK
1772e700000c40eb wfkhxb VRR_VVV "scalar vector fp compare and signal high scalar extended" arch12 zarch
1773e700001c40eb wfkhxbs VRR_VVV "scalar vector fp compare and signal high scalar extended" arch12 zarch
64025b4e 1774
2253c8f0
AK
1775e700000020ea vfchesb VRR_VVV "vector fp compare high or equal short" arch12 zarch
1776e700001020ea vfchesbs VRR_VVV "vector fp compare high or equal short" arch12 zarch
1777e700000820ea wfchesb VRR_VVV "scalar vector fp compare high or equal scalar short" arch12 zarch
1778e700001820ea wfchesbs VRR_VVV "scalar vector fp compare high or equal scalar short" arch12 zarch
1779e700000840ea wfchexb VRR_VVV "scalar vector fp compare high or equal scalar extended" arch12 zarch
1780e700001840ea wfchexbs VRR_VVV "scalar vector fp compare high or equal scalar extended" arch12 zarch
64025b4e 1781
2253c8f0
AK
1782e700000420ea vfkhesb VRR_VVV "vector fp compare and signal high or equal short" arch12 zarch
1783e700001420ea vfkhesbs VRR_VVV "vector fp compare and signal high or equal short" arch12 zarch
1784e700000c20ea wfkhesb VRR_VVV "scalar vector fp compare and signal high or equal scalar short" arch12 zarch
1785e700001c20ea wfkhesbs VRR_VVV "scalar vector fp compare and signal high or equal scalar short" arch12 zarch
64025b4e
AK
1786e700000430ea vfkhedb VRR_VVV "vector fp compare and signal high or equal long" arch12 zarch vx
1787e700001430ea vfkhedbs VRR_VVV "vector fp compare and signal high or equal long" arch12 zarch vx
1788e700000c30ea wfkhedb VRR_VVV "vector fp compare and signal high or equal long" arch12 zarch vx
1789e700001c30ea wfkhedbs VRR_VVV "vector fp compare and signal high or equal long" arch12 zarch vx
2253c8f0
AK
1790e700000c40ea wfkhexb VRR_VVV "scalar vector fp compare and signal high or equal scalar extended" arch12 zarch
1791e700001c40ea wfkhexbs VRR_VVV "scalar vector fp compare and signal high or equal scalar extended" arch12 zarch
64025b4e 1792
2253c8f0
AK
1793e700000020e5 vfdsb VRR_VVV "vector fp divide short" arch12 zarch
1794e700000820e5 wfdsb VRR_VVV "scalar vector fp divide scalar short" arch12 zarch
1795e700000840e5 wfdxb VRR_VVV "scalar vector fp divide scalar extended" arch12 zarch
1796e700000020c7 vfisb VRR_VV0UU "vector load fp integer short" arch12 zarch
1797e700000820c7 wfisb VRR_VV0UU8 "scalar vector load fp integer scalar short" arch12 zarch
1798e700000840c7 wfixb VRR_VV0UU8 "scalar vector load fp integer scalar extended" arch12 zarch
1799e700000000c4 vfll VRR_VV0UU2 "vector fp load lengthened" arch12 zarch
1800e700000020c4 vflls VRR_VV "vector fp load lengthened" arch12 zarch
1801e700000820c4 wflls VRR_VV "scalar vector fp load lengthened short" arch12 zarch
1802e700000830c4 wflld VRR_VV "scalar vector fp load lengthened long" arch12 zarch
1803e700000000c5 vflr VRR_VV0UUU "vector fp load rounded" arch12 zarch
1804e700000030c5 vflrd VRR_VV0UU "vector fp load rounded long" arch12 zarch
1805e700000830c5 wflrd VRR_VV0UU8 "scalar vector fp load rounded long" arch12 zarch
1806e700000840c5 wflrx VRR_VV0UU8 "scalar vector fp load rounded extended" arch12 zarch
1807e700000000ef vfmax VRR_VVV0UUU "vector fp maximum" arch12 zarch
1808e700000020ef vfmaxsb VRR_VVV0U0 "vector fp maximum short" arch12 zarch
1809e700000030ef vfmaxdb VRR_VVV0U0 "vector fp maximum long" arch12 zarch
1810e700000820ef wfmaxsb VRR_VVV0U0 "scalar fp maximum scalar short" arch12 zarch
1811e700000830ef wfmaxdb VRR_VVV0U0 "scalar fp maximum scalar long" arch12 zarch
1812e700000840ef wfmaxxb VRR_VVV0U0 "scalar fp maximum scalar extended" arch12 zarch
1813e700000000ee vfmin VRR_VVV0UUU "vector fp minimum" arch12 zarch
1814e700000020ee vfminsb VRR_VVV0U0 "vector fp minimum short" arch12 zarch
1815e700000030ee vfmindb VRR_VVV0U0 "vector fp minimum long" arch12 zarch
1816e700000820ee wfminsb VRR_VVV0U0 "scalar fp minimum scalar short" arch12 zarch
1817e700000830ee wfmindb VRR_VVV0U0 "scalar fp minimum scalar long" arch12 zarch
1818e700000840ee wfminxb VRR_VVV0U0 "scalar fp minimum scalar extended" arch12 zarch
1819e700000020e7 vfmsb VRR_VVV "vector fp multiply short" arch12 zarch
1820e700000820e7 wfmsb VRR_VVV "scalar vector fp multiply scalar short" arch12 zarch
1821e700000840e7 wfmxb VRR_VVV "scalar vector fp multiply scalar extended" arch12 zarch
1822e7000200008f vfmasb VRR_VVVV "vector fp multiply and add short" arch12 zarch
1823e7000208008f wfmasb VRR_VVVV "scalar vector fp multiply and add scalar short" arch12 zarch
1824e7000408008f wfmaxb VRR_VVVV "scalar vector fp multiply and add scalar extended" arch12 zarch
1825e7000200008e vfmssb VRR_VVVV "vector fp multiply and subtract short" arch12 zarch
1826e7000208008e wfmssb VRR_VVVV "scalar vector fp multiply and subtract scalar short" arch12 zarch
1827e7000408008e wfmsxb VRR_VVVV "scalar vector fp multiply and subtract scalar extended" arch12 zarch
1828e7000000009f vfnma VRR_VVVU0UV "vector fp negative multiply and add" arch12 zarch
1829e7000200009f vfnmasb VRR_VVVV "vector fp negative multiply and add short" arch12 zarch
1830e7000208009f wfnmasb VRR_VVVV "scalar vector fp negative multiply and add scalar short" arch12 zarch
1831e7000300009f vfnmadb VRR_VVVV "vector fp negative multiply and add long" arch12 zarch
1832e7000308009f wfnmadb VRR_VVVV "scalar vector fp negative multiply and add scalar long" arch12 zarch
1833e7000408009f wfnmaxb VRR_VVVV "scalar vector fp negative multiply and add scalar extended" arch12 zarch
1834e7000000009e vfnms VRR_VVVU0UV "vector fp negative multiply and subtract" arch12 zarch
1835e7000200009e vfnmssb VRR_VVVV "vector fp negative multiply and subtract short" arch12 zarch
1836e7000208009e wfnmssb VRR_VVVV "scalar vector fp negative multiply and subtract scalar short" arch12 zarch
1837e7000300009e vfnmsdb VRR_VVVV "vector fp negative multiply and subtract long" arch12 zarch
1838e7000308009e wfnmsdb VRR_VVVV "scalar vector fp negative multiply and subtract scalar long" arch12 zarch
1839e7000408009e wfnmsxb VRR_VVVV "scalar vector fp negative multiply and subtract scalar extended" arch12 zarch
1840e700000020cc vfpsosb VRR_VV0U2 "vector fp perform sign operation short" arch12 zarch
1841e700000820cc wfpsosb VRR_VV0U2 "scalar vector fp perform sign operation scalar short" arch12 zarch
1842e700000020cc vflcsb VRR_VV "vector fp perform sign operation short" arch12 zarch
1843e700000820cc wflcsb VRR_VV "scalar vector fp perform sign operation scalar short" arch12 zarch
1844e700001020cc vflnsb VRR_VV "vector fp perform sign operation short" arch12 zarch
1845e700001820cc wflnsb VRR_VV "scalar vector fp perform sign operation scalar short" arch12 zarch
1846e700002020cc vflpsb VRR_VV "vector fp perform sign operation short" arch12 zarch
1847e700002820cc wflpsb VRR_VV "scalar vector fp perform sign operation scalar short" arch12 zarch
1848e700000840cc wfpsoxb VRR_VV0U2 "scalar vector fp perform sign operation scalar extended" arch12 zarch
1849e700000840cc wflcxb VRR_VV "scalar vector fp perform sign operation scalar extended" arch12 zarch
1850e700001840cc wflnxb VRR_VV "scalar vector fp perform sign operation scalar extended" arch12 zarch
1851e700002840cc wflpxb VRR_VV "scalar vector fp perform sign operation scalar extended" arch12 zarch
1852e700000020ce vfsqsb VRR_VV "vector fp square root short" arch12 zarch
1853e700000820ce wfsqsb VRR_VV "scalar vector fp square root scalar short" arch12 zarch
1854e700000840ce wfsqxb VRR_VV "scalar vector fp square root scalar extended" arch12 zarch
1855e700000020e2 vfssb VRR_VVV "vector fp subtract short" arch12 zarch
1856e700000820e2 wfssb VRR_VVV "scalar vector fp subtract scalar short" arch12 zarch
1857e700000840e2 wfsxb VRR_VVV "scalar vector fp subtract scalar extended" arch12 zarch
1858e7000000204a vftcisb VRI_VVU2 "vector fp test data class immediate short" arch12 zarch
1859e7000008204a wftcisb VRI_VVU2 "scalar vector fp test data class immediate scalar short" arch12 zarch
1860e7000008404a wftcixb VRI_VVU2 "scalar vector fp test data class immediate scalar extended" arch12 zarch
64025b4e
AK
1861
1862# Miscellaneous Instruction Extensions Facility 2
1863
1864e30000000038 agh RXY_RRRD "add halfword to 64 bit value" arch12 zarch
1865e30000000047 bic RXY_URRD "branch indirect on condition" arch12 zarch
1866e3f000000047 bi RXY_0RRD "unconditional indirect branch" arch12 zarch
1867e30000000047 bi*8 RXY_0RRD "branch indirect on condition" arch12 zarch
c54a6211
AK
1868b9ec mgrk RRF_R0RER "multiply 64x64reg -> 128" arch12 zarch
1869e30000000084 mg RXY_RERRD "multiply 64x64mem -> 128" arch12 zarch
64025b4e
AK
1870e3000000003c mgh RXY_RRRD "multiply halfword 64x16mem -> 64" arch12 zarch
1871b9fd msrkc RRF_R0RR2 "multiply single 32x32 -> 32" arch12 zarch
1872b9ed msgrkc RRF_R0RR2 "multiply single 64x64 -> 64" arch12 zarch
1873e30000000053 msc RXY_RRRD "multiply single 32x32mem -> 32" arch12 zarch
1874e30000000083 msgc RXY_RRRD "multiply single 64x64mem -> 64" arch12 zarch
1875e30000000039 sgh RXY_RRRD "subtract halfword from 64 bit value" arch12 zarch
1876
1877# Vector packed decimal facility
1878
2253c8f0
AK
1879e60000000037 vlrlr VRS_RRDV "vector load rightmost with length" arch12 zarch
1880e60000000035 vlrl VSI_URDV "vector load rightmost with immediate length" arch12 zarch
1881e6000000003f vstrlr VRS_RRDV "vector store rightmost with length" arch12 zarch
1882e6000000003d vstrl VSI_URDV "vector store rightmost with immediate length" arch12 zarch
1883e60000000071 vap VRI_VVV0UU2 "vector add decimal" arch12 zarch
1884e60000000077 vcp VRR_0VV0U "vector compare decimal" arch12 zarch
1885e60000000050 vcvb VRR_RV0U "vector convert to binary 32 bit" arch12 zarch
1886e60000000052 vcvbg VRR_RV0U "vector convert to binary 64 bit" arch12 zarch
1887e60000000058 vcvd VRI_VR0UU "vector convert to decimal 32 bit" arch12 zarch
1888e6000000005a vcvdg VRI_VR0UU "vector convert to decimal 64 bit" arch12 zarch
1889e6000000007a vdp VRI_VVV0UU2 "vector divide decimal" arch12 zarch
1890e60000000049 vlip VRI_V0UU2 "vector load immediate decimal" arch12 zarch
1891e60000000078 vmp VRI_VVV0UU2 "vector multiply decimal" arch12 zarch
1892e60000000079 vmsp VRI_VVV0UU2 "vector multiply and shift decimal" arch12 zarch
1893e60000000034 vpkz VSI_URDV "vector pack zoned" arch12 zarch
1894e6000000005b vpsop VRI_VVUUU2 "vector perform sign operation decimal" arch12 zarch
1895e6000000007b vrp VRI_VVV0UU2 "vector remainder decimal" arch12 zarch
1896e6000000007e vsdp VRI_VVV0UU2 "vector shift and divide decimal" arch12 zarch
1897e60000000059 vsrp VRI_VVUUU2 "vector shift and round decimal" arch12 zarch
1898e60000000073 vsp VRI_VVV0UU2 "vector subtract decimal" arch12 zarch
1899e6000000005f vtp VRR_0V "vector test decimal" arch12 zarch
1900e6000000003c vupkz VSI_URDV "vector unpack zoned" arch12 zarch
64025b4e
AK
1901
1902# Guarded storage facility
1903
1904e3000000004c lgg RXY_RRRD "load guarded 64 bit" arch12 zarch
1905e30000000048 llgfsg RXY_RRRD "load logical and shift guarded 64 bit" arch12 zarch
1906e3000000004d lgsc RXY_RRRD "load guarded storage controls" arch12 zarch
1907e30000000049 stgsc RXY_RRRD "store guarded storage controls" arch12 zarch
1908
1909# Message-Security-Assist Extension 8
1910
1911b929 kma RRF_R0RR "cipher message with galois counter mode" arch12 zarch
8e464506 1912
6e1d1b2e 1913b93c prno RRE_RR "perform random number operation" arch12 zarch
8e464506
AK
1914b9a1 tpei RRE_RR "test pending external interruption" arch12 zarch
1915b9ac irbm RRE_RR "insert reference bits multiple" arch12 zarch
f47998d6 1916
fc60b8c8
AK
1917# arch13 instructions
1918
ba354106
AK
1919
1920# Miscellaneous Instruction Extensions Facility 2
1921
1922b9f5 ncrk RRF_R0RR2 "and with complement 32 bit" arch13 zarch
1923b9e5 ncgrk RRF_R0RR2 "and with complement 64 bit" arch13 zarch
1924e50a mvcrl SSE_RDRD "move right to left" arch13 zarch
1925b974 nnrk RRF_R0RR2 "nand 32 bit" arch13 zarch
1926b964 nngrk RRF_R0RR2 "nand 64 bit" arch13 zarch
1927b976 nork RRF_R0RR2 "nor 32 bit" arch13 zarch
2bf1f788 1928b976 notr RRF_R0RR4 "not 32 bit" arch13 zarch
ba354106 1929b966 nogrk RRF_R0RR2 "nor 64 bit" arch13 zarch
2bf1f788 1930b966 notgr RRF_R0RR4 "not 64 bit" arch13 zarch
ba354106
AK
1931b977 nxrk RRF_R0RR2 "not exclusive or 32 bit" arch13 zarch
1932b967 nxgrk RRF_R0RR2 "not exclusive or 64 bit" arch13 zarch
1933b975 ocrk RRF_R0RR2 "or with complement 32 bit" arch13 zarch
1934b965 ocgrk RRF_R0RR2 "or with complement 64 bit" arch13 zarch
1935b9e1 popcnt RRF_U0RR "population count arch13" arch13 zarch optparm
1936b9f0 selr RRF_RURR "select 32 bit" arch13 zarch
1937b9f00000 selr*20 RRF_R0RR3 "select 32 bit" arch13 zarch
1938b9e3 selgr RRF_RURR "select 64 bit" arch13 zarch
1939b9e30000 selgr*20 RRF_R0RR3 "select 64 bit" arch13 zarch
40f382e8
AK
1940b9c0 selfhr RRF_RURR "select high" arch13 zarch
1941b9c00000 selfhr*20 RRF_R0RR3 "select high" arch13 zarch
ba354106
AK
1942
1943# Vector Enhancements Facility 2
1944
1945e60000000006 vlbr VRX_VRRDU "vector load byte reversed elements" arch13 zarch
1946e60000001006 vlbrh VRX_VRRD "vector load byte reversed halfword elements" arch13 zarch
1947e60000002006 vlbrf VRX_VRRD "vector load byte reversed word elements" arch13 zarch
1948e60000003006 vlbrg VRX_VRRD "vector load byte reversed doubleword elements" arch13 zarch
1949e60000004006 vlbrq VRX_VRRD "vector load byte reversed quadword elements" arch13 zarch
1950
1951e60000000007 vler VRX_VRRDU "vector load elements reversed" arch13 zarch
1952e60000001007 vlerh VRX_VRRD "vector load halfword elements reversed" arch13 zarch
1953e60000002007 vlerf VRX_VRRD "vector load word elements reversed" arch13 zarch
1954e60000003007 vlerg VRX_VRRD "vector load doubleword elements reversed" arch13 zarch
1955
1956e60000000004 vllebrz VRX_VRRDU "vector load byte reversed element and zero" arch13 zarch
1957e60000001004 vllebrzh VRX_VRRD "vector load byte reversed halfword element and zero" arch13 zarch
1958e60000002004 vllebrzf VRX_VRRD "vector load byte reversed word element and zero" arch13 zarch
1959e60000003004 ldrv VRX_VRRD "load byte reversed doubleword" arch13 zarch
1960e60000003004 vllebrzg VRX_VRRD "vector load byte reversed doubleword element and zero" arch13 zarch
1961e60000006004 lerv VRX_VRRD "load byte reversed word" arch13 zarch
1962e60000006004 vllebrze VRX_VRRD "vector load byte reversed word element left-aligned and zero" arch13 zarch
1963
1964e60000000001 vlebrh VRX_VRRDU "vector load byte reversed halfword element" arch13 zarch
1965e60000000003 vlebrf VRX_VRRDU "vector load byte reversed word element" arch13 zarch
1966e60000000002 vlebrg VRX_VRRDU "vector load byte reversed doubleword element" arch13 zarch
1967
1968e60000000005 vlbrrep VRX_VRRDU "vector load byte reversed element and replicate" arch13 zarch
1969e60000001005 vlbrreph VRX_VRRD "vector load byte reversed halfword element and replicate" arch13 zarch
1970e60000002005 vlbrrepf VRX_VRRD "vector load byte reversed word element and replicate" arch13 zarch
1971e60000003005 vlbrrepg VRX_VRRD "vector load byte reversed doubleword element and replicate" arch13 zarch
1972
1973e6000000000e vstbr VRX_VRRDU "vector store byte reversed elements" arch13 zarch
1974e6000000100e vstbrh VRX_VRRD "vector store byte reversed halfword elements" arch13 zarch
1975e6000000200e vstbrf VRX_VRRD "vector store byte reversed word elements" arch13 zarch
1976e6000000300e vstbrg VRX_VRRD "vector store byte reversed doubleword elements" arch13 zarch
1977e6000000400e vstbrq VRX_VRRD "vector store byte reversed quadword elements" arch13 zarch
1978
1979e6000000000f vster VRX_VRRDU "vector store elements reversed" arch13 zarch
1980e6000000100f vsterh VRX_VRRD "vector store halfword elements reversed" arch13 zarch
1981e6000000200f vsterf VRX_VRRD "vector store word elements reversed" arch13 zarch
1982e6000000300f vsterg VRX_VRRD "vector store doubleword elements reversed" arch13 zarch
1983
1984e60000000009 vstebrh VRX_VRRDU "vector store byte reversed halfword element" arch13 zarch
1985e6000000000b vstebrf VRX_VRRDU "vector store byte reversed word element" arch13 zarch
1986e6000000000b sterv VRX_VRRD "store byte reversed word" arch13 zarch
1987e6000000000a vstebrg VRX_VRRDU "vector store byte reversed doubleword element" arch13 zarch
1988e6000000000a stdrv VRX_VRRD "store byte reversed doubleword" arch13 zarch
1989
1990e70000000086 vsld VRI_VVV0U "vector shift left double by bit" arch13 zarch
1991e70000000087 vsrd VRI_VVV0U "vector shift right double by bit" arch13 zarch
1992
1993e7000000008b vstrs VRR_VVVUU0V "vector string search" arch13 zarch optparm
1994
1995e7000000008b vstrsb VRR_VVVU0VB "vector string search byte" arch13 zarch optparm
1996e7000100008b vstrsh VRR_VVVU0VB "vector string search halfword" arch13 zarch optparm
1997e7000200008b vstrsf VRR_VVVU0VB "vector string search word" arch13 zarch optparm
1998
40f382e8
AK
1999e7000020008b vstrszb VRR_VVV0V "vector string search byte zero" arch13 zarch
2000e7000120008b vstrszh VRR_VVV0V "vector string search halfword zero" arch13 zarch
2001e7000220008b vstrszf VRR_VVV0V "vector string search word zero" arch13 zarch
ba354106
AK
2002
2003e700000000c3 vcfps VRR_VV0UUU "vector fp convert from fixed" arch13 zarch
2004e700000020c3 vcefb VRR_VV0UU "vector fp convert from fixed 32 bit" arch13 zarch
2005e700000820c3 wcefb VRR_VV0UU8 "vector fp convert from fixed 32 bit" arch13 zarch
2006
2007e700000000c1 vcfpl VRR_VV0UUU "vector fp convert from logical" arch13 zarch
2008e700000020c1 vcelfb VRR_VV0UU "vector fp convert from logical 32 bit" arch13 zarch
2009e700000820c1 wcelfb VRR_VV0UU8 "vector fp convert from logical 32 bit" arch13 zarch
2010
2011e700000000c2 vcsfp VRR_VV0UUU "vector fp convert to fixed" arch13 zarch
2012e700000020c2 vcfeb VRR_VV0UU "vector fp convert to fixed 32 bit" arch13 zarch
2013e700000820c2 wcfeb VRR_VV0UU8 "vector fp convert to fixed 32 bit" arch13 zarch
2014
2015e700000000c0 vclfp VRR_VV0UUU "vector fp convert to logical" arch13 zarch
2016e700000020c0 vclfeb VRR_VV0UU "vector fp convert to logical 32 bit" arch13 zarch
2017e700000820c0 wclfeb VRR_VV0UU8 "vector fp convert to logical 32 bit" arch13 zarch
2018
2019# Deflate conversion facility
2020
2021b939 dfltcc RRF_R0RR2 "deflate conversion call" arch13 zarch
2022
2023# Enhanced-Sort Facility
2024
2025b938 sortl RRE_RR "sort lists" arch13 zarch
2026
2027# Vector packed decimal enhancement facility
2028
2029e60000000050 vcvb VRR_RV0UU "vector convert to binary 32 bit" arch13 zarch optparm
2030e60000000052 vcvbg VRR_RV0UU "vector convert to binary 64 bit" arch13 zarch optparm
2031
2032# Message Security Assist Extension 9
2033
2034b93a kdsa RRE_RR "compute digital signature authentication" arch13 zarch
ba2b480f
AK
2035
2036
2ff609b4
JR
2037# arch14 (z16) instructions
2038
2039# Vector-Packed-Decimal-Enhancement Facility 2
2040
2041e60000000074 vschp VRR_VVV0U0U "decimal scale and convert to hfp" arch14 zarch
2042e60000002074 vschsp VRR_VVV0U0 "decimal scale and convert to short hfp" arch14 zarch
2043e60000003074 vschdp VRR_VVV0U0 "decimal scale and convert to long hfp" arch14 zarch
2044e60000004074 vschxp VRR_VVV0U0 "decimal scale and convert to extended hfp" arch14 zarch
2045e6000000007c vscshp VRR_VVV "decimal scale and convert and split to hfp" arch14 zarch
2046e6000000007d vcsph VRR_VVV0U0 "vector convert hfp to scaled decimal" arch14 zarch
2047e60000000051 vclzdp VRR_VV0U2 "vector count leading zero digits" arch14 zarch
2048e60000000070 vpkzr VRI_VVV0UU2 "vector pack zoned register" arch14 zarch
2049e60000000072 vsrpr VRI_VVV0UU2 "vector shift and round decimal register" arch14 zarch
2050e60000000054 vupkzh VRR_VV0U2 "vector unpack zoned high" arch14 zarch
2051e6000000005c vupkzl VRR_VV0U2 "vector unpack zoned low" arch14 zarch
2052
2053# Neural-Network-Processing-Assist Facility
2054
2055b93b nnpa RRE_00 "neural network processing assist" arch14 zarch
2056e60000000056 vclfnh VRR_VV0UU2 "vector fp convert and lengthen from nnp high" arch14 zarch
2057e6000000005e vclfnl VRR_VV0UU2 "vector fp convert and lengthen from nnp low" arch14 zarch
2058e60000000075 vcrnf VRR_VVV0UU "vector fp convert and round to nnp" arch14 zarch
2059e6000000005d vcfn VRR_VV0UU2 "vector fp convert from nnp" arch14 zarch
2060e60000000055 vcnf VRR_VV0UU2 "vector fp convert to nnp" arch14 zarch
2061
2062# Reset-DAT-Protection Facility
2063
2064b98B rdp RRF_RURR2 "reset dat protection" arch14 zarch optparm
2065
2066# BEAR-Enhancement Facility
2067
2068eb0000000071 lpswey SIY_RD "load PSW extended" arch14 zarch
2069b200 lbear S_RD "load bear" arch14 zarch
2070b201 stbear S_RD "store bear" arch14 zarch
2071
2072# Processor-Activity-Instrumentation Facility
2073
2074b28f qpaci S_RD "query processor activity counter information" arch14 zarch